JP2013084997A - Gas supply member, plasma processing apparatus, and formation method of yttria containing film - Google Patents

Gas supply member, plasma processing apparatus, and formation method of yttria containing film Download PDF

Info

Publication number
JP2013084997A
JP2013084997A JP2013018418A JP2013018418A JP2013084997A JP 2013084997 A JP2013084997 A JP 2013084997A JP 2013018418 A JP2013018418 A JP 2013018418A JP 2013018418 A JP2013018418 A JP 2013018418A JP 2013084997 A JP2013084997 A JP 2013084997A
Authority
JP
Japan
Prior art keywords
gas supply
discharge port
supply member
yttria
gas flow
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013018418A
Other languages
Japanese (ja)
Other versions
JP5389282B2 (en
Inventor
Hideo Eto
英雄 江藤
Sachiyo Ito
祥代 伊藤
Rikyu Ikariyama
理究 碇山
Makoto Saito
誠 齋藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2013018418A priority Critical patent/JP5389282B2/en
Publication of JP2013084997A publication Critical patent/JP2013084997A/en
Application granted granted Critical
Publication of JP5389282B2 publication Critical patent/JP5389282B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Abstract

PROBLEM TO BE SOLVED: To provide a protective film inhibiting the deterioration of a coating film which is caused by shedding of yttria particles and cracks even if the coating film is formed by a material including yttria having high plasma resistance.SOLUTION: According to one embodiment of this invention, a gas supply member 41 includes a gas supply passage 42 which has a gas passage 421 having a first diameter and extending in a gas flow direction and a discharge port 422 which is connected with one end part of the gas passage 421 and is provided on a surface 41A at the downstream side of the gas flow of the gas supply member 41. At least a partial surface of a surface forming the discharge port 422 is formed by a curved surface. Further, an yttria containing film 50 are provided on the surface forming the discharge port 422 and the downstream side surface 41A of the gas supply member 41.

Description

本発明の実施形態は、ガス供給部材、プラズマ処理装置およびイットリア含有膜の形成方法に関する。   Embodiments described herein relate generally to a gas supply member, a plasma processing apparatus, and a method for forming an yttria-containing film.

従来、半導体装置や液晶表示装置などの製造における微細加工プロセスでは、RIE(Reactive Ion Etching)装置が用いられる。RIE装置では、チャンバ内を低圧状態にし、フッ素系ガスや塩素系ガスをチャンバ内に導入してプラズマ化し、エッチングを行っている。このようなRIE装置の内壁や内部構成部材は、プラズマに曝されることで腐食され易い問題があるため、保護膜としてイットリア、アルミナなどのプラズマ耐性の高い材料がコーティングされる。   Conventionally, an RIE (Reactive Ion Etching) apparatus is used in a microfabrication process in manufacturing a semiconductor device, a liquid crystal display device, or the like. In the RIE apparatus, the inside of the chamber is brought into a low pressure state, and fluorine gas or chlorine gas is introduced into the chamber to form plasma and etching is performed. Since the inner wall and internal components of such an RIE apparatus have a problem of being easily corroded when exposed to plasma, a material having high plasma resistance such as yttria and alumina is coated as a protective film.

国際公開第2008/044555号International Publication No. 2008/044555

しかしながら、RIE装置の内壁や内部構成部材にイットリアなどの保護膜をコーティングした場合でも、粒子の脱粒やクラック等により、保護膜が劣化してしまう問題点があった。   However, even when a protective film such as yttria is coated on the inner wall or an internal component of the RIE apparatus, there is a problem that the protective film deteriorates due to particle detachment or cracks.

本発明の一つの実施形態は、プラズマ耐性の高いイットリアを含む材料で保護膜を形成した場合でも、イットリア粒子の脱粒やクラック等による劣化を抑えることができるガス供給部材、プラズマ処理装置およびイットリア含有膜の形成方法を提供することを目的とする。   One embodiment of the present invention provides a gas supply member, a plasma processing apparatus, and a yttria-containing material capable of suppressing deterioration due to degreasing or cracking of yttria particles even when a protective film is formed of a material containing yttria having high plasma resistance. An object is to provide a method for forming a film.

本発明の一つの実施形態によれば、ガス供給部材は、第1の径を有し、ガス流方向に延伸するガス流路と、前記ガス流路の一方の端部に接続され、前記ガス供給部材のガス流の下流側の面に設けられる吐出口と、を有するガス供給路を備える。前記吐出口を構成する面の少なくとも一部の面は曲面によって構成される。また、前記吐出口を構成する面上と、前記ガス供給部材の前記下流側の面上とにイットリア含有膜を備える。   According to one embodiment of the present invention, the gas supply member has a first diameter, is connected to a gas flow path extending in the gas flow direction, and one end of the gas flow path, and A gas supply path having a discharge port provided on a downstream surface of the gas flow of the supply member. At least a part of the surfaces constituting the discharge port is formed by a curved surface. Further, an yttria-containing film is provided on a surface constituting the discharge port and on the downstream surface of the gas supply member.

図1は、第1の実施形態によるプラズマ処理装置の構成の一例を模式的に示す断面図である。FIG. 1 is a cross-sectional view schematically showing an example of the configuration of the plasma processing apparatus according to the first embodiment. 図2は、第1の実施形態によるシャワーヘッドの吐出口付近の様子を模式的に示す一部断面図である。FIG. 2 is a partial cross-sectional view schematically showing the vicinity of the discharge port of the shower head according to the first embodiment. 図3は、保護膜の一例を模式的に示す断面図である。FIG. 3 is a cross-sectional view schematically showing an example of the protective film. 図4は、第1の実施形態による保護膜の形成方法の手順の一例を模式的に示す断面図である。FIG. 4 is a cross-sectional view schematically showing an example of the procedure of the protective film forming method according to the first embodiment. 図5は、一般的なシャワーヘッドの吐出口付近の様子を模式的に示す断面図である。FIG. 5 is a cross-sectional view schematically showing a state in the vicinity of a discharge port of a general shower head. 図6は、第2の実施形態によるシャワーヘッドの吐出口付近の様子を模式的に示す断面図である。FIG. 6 is a cross-sectional view schematically showing the vicinity of the discharge port of the shower head according to the second embodiment. 図7は、第3の実施形態によるシャワーヘッドの吐出口付近の様子を模式的に示す断面図である。FIG. 7 is a cross-sectional view schematically showing the vicinity of the outlet of the shower head according to the third embodiment. 図8は、第4の実施形態によるシャワーヘッドの吐出口付近の様子を模式的に示す断面図である。FIG. 8 is a cross-sectional view schematically showing the vicinity of the discharge port of the shower head according to the fourth embodiment. 図9は、第4の実施形態によるシャワーヘッドへの保護膜の第1の形成方法の手順の一例を模式的に示す断面図である。FIG. 9 is a cross-sectional view schematically showing an example of the procedure of the first forming method of the protective film on the shower head according to the fourth embodiment. 図10は、第4の実施形態によるシャワーヘッドへの保護膜の第2の形成方法の手順の一例を模式的に示す断面図である。FIG. 10 is a cross-sectional view schematically showing an example of the procedure of the second forming method of the protective film on the shower head according to the fourth embodiment.

以下に添付図面を参照して、実施形態にかかるガス供給部材、プラズマ処理装置およびイットリア含有膜の形成方法を詳細に説明する。なお、これらの実施形態により本発明が限定されるものではない。また、以下の実施形態で用いられる膜の断面図は模式的なものであり、層の厚みと幅との関係や各層の厚みの比率などは現実のものとは異なる。   Exemplary embodiments of a gas supply member, a plasma processing apparatus, and a method for forming an yttria-containing film will be described below in detail with reference to the accompanying drawings. Note that the present invention is not limited to these embodiments. In addition, the cross-sectional views of the films used in the following embodiments are schematic, and the relationship between the thickness and width of the layers, the ratio of the thicknesses of the layers, and the like are different from the actual ones.

(第1の実施形態)
第1の実施形態では、プラズマの暴露に対して耐性を有する膜をプラズマ処理装置の内壁に適用した場合を例に挙げて説明する。図1は、第1の実施形態によるプラズマ処理装置の構成の一例を模式的に示す断面図である。ここでは、プラズマ処理装置10として、RIE装置を例示している。プラズマ処理装置10は、気密に構成されたたとえばアルミニウム製のチャンバ11を有している。このチャンバ11は接地されている。
(First embodiment)
In the first embodiment, a case where a film having resistance to plasma exposure is applied to the inner wall of a plasma processing apparatus will be described as an example. FIG. 1 is a cross-sectional view schematically showing an example of the configuration of the plasma processing apparatus according to the first embodiment. Here, an RIE apparatus is illustrated as the plasma processing apparatus 10. The plasma processing apparatus 10 includes a chamber 11 made of, for example, aluminum that is airtight. This chamber 11 is grounded.

チャンバ11内には、処理対象としてのウェハ100を水平に支持するとともに、下部電極として機能する支持テーブル21が設けられている。支持テーブル21の表面上には、図示しないがウェハ100を静電吸着する静電チャック機構など保持機構が設けられている。支持テーブル21の側面および底面の周縁部を覆うように絶縁リング22が設けられており、絶縁リング22で覆われた支持テーブル21の上方の外周には、フォーカスリング23が設けられている。このフォーカスリング23は、ウェハ100のエッチング時に、電界がウェハ100の周縁部で鉛直方向(ウェハ面に垂直な方向)に対して偏向しないように電界を調整するために設けられる部材である。   In the chamber 11, a support table 21 that horizontally supports a wafer 100 as a processing target and functions as a lower electrode is provided. Although not shown, a holding mechanism such as an electrostatic chuck mechanism that electrostatically attracts the wafer 100 is provided on the surface of the support table 21. An insulating ring 22 is provided so as to cover the peripheral portions of the side surface and the bottom surface of the support table 21, and a focus ring 23 is provided on the outer periphery above the support table 21 covered with the insulating ring 22. The focus ring 23 is a member provided to adjust the electric field so that the electric field is not deflected in the vertical direction (direction perpendicular to the wafer surface) at the peripheral edge of the wafer 100 when the wafer 100 is etched.

また、支持テーブル21は、チャンバ11内の中央付近に位置するように、チャンバ11の中央付近の底壁から鉛直上方に筒状に突出する支持部12上に、絶縁リング22を介して支持されている。絶縁リング22とチャンバ11の側壁との間には、バッフル板24が設けられている。バッフル板24は、板の厚さ方向を貫通する複数のガス排出孔25を有する。また、支持テーブル21には、高周波電力を供給する給電線31が接続されており、この給電線31にブロッキングコンデンサ32、整合器33および高周波電源34が接続されている。高周波電源34からは所定の周波数の高周波電力が支持テーブル21に供給される。   The support table 21 is supported via an insulating ring 22 on a support portion 12 that protrudes in a cylindrical shape vertically upward from the bottom wall near the center of the chamber 11 so as to be positioned near the center in the chamber 11. ing. A baffle plate 24 is provided between the insulating ring 22 and the side wall of the chamber 11. The baffle plate 24 has a plurality of gas discharge holes 25 penetrating in the thickness direction of the plate. In addition, a power supply line 31 that supplies high-frequency power is connected to the support table 21, and a blocking capacitor 32, a matching unit 33, and a high-frequency power source 34 are connected to the power supply line 31. A high frequency power having a predetermined frequency is supplied from the high frequency power supply 34 to the support table 21.

下部電極として機能する支持テーブル21に対向するように、支持テーブル21の上部に上部電極として機能するシャワーヘッド41が設けられている。シャワーヘッド41は支持テーブル21と平行に対向するように、支持テーブル21から所定の距離を隔てたチャンバ11の上部付近の側壁に固定される。このような構造によって、シャワーヘッド41と支持テーブル21とは、一対の平行平板電極を構成している。また、シャワーヘッド41には、板の厚さ方向を貫通する複数のガス供給路42が設けられている。   A shower head 41 functioning as an upper electrode is provided above the support table 21 so as to face the support table 21 functioning as a lower electrode. The shower head 41 is fixed to a side wall near the upper portion of the chamber 11 at a predetermined distance from the support table 21 so as to face the support table 21 in parallel. With such a structure, the shower head 41 and the support table 21 constitute a pair of parallel plate electrodes. Further, the shower head 41 is provided with a plurality of gas supply paths 42 penetrating in the thickness direction of the plate.

チャンバ11の上部付近には、プラズマ処理時に使用される処理ガスが供給されるガス供給口13が設けられており、ガス供給口13には配管を通じて図示しないガス供給装置が接続されている。   Near the upper portion of the chamber 11, a gas supply port 13 for supplying a processing gas used during plasma processing is provided, and a gas supply device (not shown) is connected to the gas supply port 13 through a pipe.

支持テーブル21とバッフル板24よりも下部のチャンバ11にはガス排気口14が設けられており、ガス排気口14には配管を通じて図示しない真空ポンプが接続されている。   A gas exhaust port 14 is provided in the chamber 11 below the support table 21 and the baffle plate 24, and a vacuum pump (not shown) is connected to the gas exhaust port 14 through a pipe.

このように、チャンバ11内の支持テーブル21およびバッフル板24と、シャワーヘッド41とで仕切られた領域は、プラズマ処理室61となり、シャワーヘッド41で仕切られたチャンバ11内の上部の領域は、ガス供給室62となり、支持テーブル21およびバッフル板24で仕切られたチャンバ11内の下部の領域はガス排気室63となる。   Thus, the region partitioned by the support table 21 and the baffle plate 24 in the chamber 11 and the shower head 41 is the plasma processing chamber 61, and the upper region in the chamber 11 partitioned by the shower head 41 is A gas supply chamber 62 and a lower region in the chamber 11 partitioned by the support table 21 and the baffle plate 24 serve as a gas exhaust chamber 63.

このような構成のプラズマ処理装置10のプラズマ生成領域に接する側の構成部材の面、すなわちプラズマ処理室61の構成部材の表面に保護膜50が形成される。具体的には、プラズマ処理室61を構成するチャンバ11の内壁側面、シャワーヘッド41のプラズマ処理室61側の表面、バッフル板24のプラズマ処理室61側の表面、フォーカスリング23の表面、支持テーブル21のウェハ100を載置する側の表面に、イットリアを含有する膜(以下、イットリア膜という)を有する保護膜50が形成される。   The protective film 50 is formed on the surface of the constituent member in contact with the plasma generation region of the plasma processing apparatus 10 having such a configuration, that is, on the surface of the constituent member of the plasma processing chamber 61. Specifically, the inner wall side surface of the chamber 11 constituting the plasma processing chamber 61, the surface of the shower head 41 on the plasma processing chamber 61 side, the surface of the baffle plate 24 on the plasma processing chamber 61 side, the surface of the focus ring 23, the support table A protective film 50 having a film containing yttria (hereinafter referred to as an yttria film) is formed on the surface on which the wafer 100 is placed.

このように構成されたプラズマ処理装置10での処理の概要について説明する。まず、支持テーブル21上に処理対象であるウェハ100が載置され、たとえば静電チャック機構によって固定される。ついで、ガス排気口14に接続される図示しない真空ポンプでチャンバ11内が真空引きされる。このとき、ガス排気室63とプラズマ処理室61との間は、バッフル板24に設けられたガス排出孔25によって接続されており、プラズマ処理室61とガス供給室62との間は、シャワーヘッド41のガス供給路42によって接続されているので、ガス排気口14に繋がる真空ポンプによってチャンバ11内全体が真空引きされる。   An outline of processing in the plasma processing apparatus 10 configured as described above will be described. First, the wafer 100 to be processed is placed on the support table 21 and fixed by, for example, an electrostatic chuck mechanism. Next, the inside of the chamber 11 is evacuated by a vacuum pump (not shown) connected to the gas exhaust port 14. At this time, the gas exhaust chamber 63 and the plasma processing chamber 61 are connected by a gas exhaust hole 25 provided in the baffle plate 24, and a shower head is connected between the plasma processing chamber 61 and the gas supply chamber 62. Since the gas supply passages 41 are connected to each other, the entire chamber 11 is evacuated by a vacuum pump connected to the gas exhaust port 14.

その後、チャンバ11内が所定の圧力に達すると、図示しないガス供給装置からガス供給室62に処理ガスが供給され、シャワーヘッド41のガス供給路42を介してプラズマ処理室61に供給される。プラズマ処理室61内の圧力が所定の圧力に達すると、シャワーヘッド41(上部電極)を接地した状態で、支持テーブル21(下部電極)に高周波電圧を印加して、プラズマ処理室61内にプラズマを生成させる。ここで、下部電極には高周波電圧が印加されているので、プラズマとウェハとの間に電位勾配が生じ、プラズマガス中のイオンが支持テーブル21へと加速されることになり、エッチング処理が行われる。   Thereafter, when the inside of the chamber 11 reaches a predetermined pressure, a processing gas is supplied from a gas supply device (not shown) to the gas supply chamber 62 and supplied to the plasma processing chamber 61 through the gas supply path 42 of the shower head 41. When the pressure in the plasma processing chamber 61 reaches a predetermined pressure, a high frequency voltage is applied to the support table 21 (lower electrode) while the shower head 41 (upper electrode) is grounded, and plasma is generated in the plasma processing chamber 61. Is generated. Here, since a high-frequency voltage is applied to the lower electrode, a potential gradient is generated between the plasma and the wafer, and ions in the plasma gas are accelerated to the support table 21 to perform the etching process. Is called.

図2は、第1の実施形態によるシャワーヘッドの吐出口付近の様子を模式的に示す一部断面図である。ガス供給部材であるシャワーヘッド41には、ガス供給路42が設けられている。ガス供給路42は、たとえば図1に示されるように、シャワーヘッド41の上面から下面(ガス流の下流側の面)に向かって、シャワーヘッド41を構成する部材を貫通するように設けられる。ガス供給路42は、第1の径を有するガス流路421と、ガス流路421の一方の端部から、第1の径よりも大きい第2の径となるように傾斜的に開口径が増大する吐出口422と、を有する。一例では、シャワーヘッド41は、ガス供給路42の吐出口422付近でその開口径が大きくなるようにテーパ形状に加工される。シャワーヘッド41の構成部材としては、たとえばアルミニウムなどを例示することができる。   FIG. 2 is a partial cross-sectional view schematically showing the vicinity of the discharge port of the shower head according to the first embodiment. A gas supply path 42 is provided in the shower head 41 which is a gas supply member. For example, as shown in FIG. 1, the gas supply path 42 is provided so as to penetrate the members constituting the shower head 41 from the upper surface of the shower head 41 toward the lower surface (the surface on the downstream side of the gas flow). The gas supply path 42 has an opening diameter inclined from the gas channel 421 having the first diameter and a second diameter larger than the first diameter from one end of the gas channel 421. And an increasing discharge port 422. In one example, the shower head 41 is processed into a tapered shape so that its opening diameter is increased in the vicinity of the discharge port 422 of the gas supply path 42. Examples of the constituent member of the shower head 41 include aluminum.

このようなシャワーヘッド41において、保護膜50は、少なくとも屈曲部43の一部が露出されるように、吐出口422の形成面とシャワーヘッド41の一方の主面である下流側の面の屈曲部43近傍に設けられる。ここで、屈曲部43とは、被膜形成対象が互いに平行でない複数の面(平面または曲面)で構成されるときに、1つの面を基準にして、他の面が90度よりも大きな角度で前記1つの面と接合し、突状部を形成している部分のことをいう。また、この例では、ガス流路421と吐出口422との境界の屈曲部43付近に形成された保護膜50の側面が、ガス流路421の内面と略面一(つらいち)となるように形成される。すなわち、吐出口422の上部屈曲部43付近の保護膜50によって形成されるリング構造は、第1の径と略同一の径を有する。保護膜50としては、50〜100μmの厚さのイットリア膜であればよい。   In such a shower head 41, the protective film 50 is bent on the surface on the downstream side, which is the main surface of the shower head 41, so that at least a part of the bent portion 43 is exposed. Provided near the portion 43. Here, the bent portion 43 is a film formed by a plurality of surfaces (plane or curved surface) that are not parallel to each other, and the other surface is at an angle larger than 90 degrees with respect to one surface. It refers to a portion joined to the one surface to form a protruding portion. In this example, the side surface of the protective film 50 formed in the vicinity of the bent portion 43 at the boundary between the gas flow path 421 and the discharge port 422 is substantially flush with the inner surface of the gas flow path 421. Formed. That is, the ring structure formed by the protective film 50 in the vicinity of the upper bent portion 43 of the discharge port 422 has a diameter that is substantially the same as the first diameter. The protective film 50 may be an yttria film having a thickness of 50 to 100 μm.

図3は、保護膜の一例を模式的に示す断面図である。保護膜50として、図3(a)に示されるように、被膜形成対象である構成部材55上に形成された通常のイットリア膜51でもよいし、図3(b)に示されるように、図3(a)のイットリア膜51を表面からイットリア膜51の厚さの範囲で溶融させた溶融固化膜53を有するものでもよい。この場合、すべての厚さのイットリア膜を溶融固化膜53としてもよいし、表面から所定の厚さ範囲が溶融された溶融固化膜53と、溶融されていない非溶融固化膜52との積層構造としてもよい。溶融固化膜53は非溶融固化膜52に比して粒子間の空隙が抑制され、緻密であり、表面が平坦化された状態を有し、非溶融固化膜52に比して密度が高い。非溶融固化膜52の密度範囲は、2.0〜4.0g/cm3であることが望ましく、溶融固化膜53の密度範囲としては、4.0〜5.0g/cm3であることが望ましい。 FIG. 3 is a cross-sectional view schematically showing an example of the protective film. As shown in FIG. 3A, the protective film 50 may be a normal yttria film 51 formed on a constituent member 55 that is a film formation target, or as shown in FIG. It may have a melt-solidified film 53 obtained by melting the yttria film 51 of 3 (a) in the range of the thickness of the yttria film 51 from the surface. In this case, the yttria film of all thicknesses may be used as the melt-solidified film 53, or a laminated structure of the melt-solidified film 53 in which a predetermined thickness range is melted from the surface and the unmelted non-melt-solidified film 52. It is good. Compared to the non-melt-solidified film 52, the melt-solidified film 53 has a state in which voids between particles are suppressed, is dense, has a flattened surface, and has a higher density than the non-melt-solidified film 52. Density range of non-melt solidified film 52 is preferably a 2.0~4.0g / cm 3, as the density range of the melt solidified film 53, to be 4.0~5.0g / cm 3 desirable.

なお、上記した説明では、アルミニウムを構成部材とするシャワーヘッド41にイットリア膜を含む保護膜50を形成する場合について説明した。しかし、アルミニウム上にアルミナ膜を形成し、さらにこのアルミナ膜上に上記した保護膜50を形成するようにしてもよい。   In the above description, the case where the protective film 50 including the yttria film is formed on the shower head 41 made of aluminum is described. However, an alumina film may be formed on aluminum, and the protective film 50 may be formed on the alumina film.

つぎに、このような保護膜50のシャワーヘッド41への形成方法について説明する。図4は、第1の実施形態による保護膜の形成方法の手順の一例を模式的に示す断面図である。まず、図4(a)に示されるように、たとえばアルミニウムで構成され、ガス供給路42が形成されたシャワーヘッド41の下流側の面(吐出口422側の面)上と、吐出口422からガス流路421の一部にかけた内面上に、イットリア膜からなる保護膜50を50〜100μmの厚さで形成する。つまり、ここではガス供給路42の径が変化する屈曲部43上を覆うように、保護膜50が形成される。保護膜50を構成するイットリア膜の形成方法としては、溶射法、CVD(Chemical Vapor Deposition)法、エアロゾルデポジション(Aerosol Deposition)法、コールドスプレー法、ガスデポジション法、静電微粒子衝撃コーティング法、衝撃焼結法などを用いることができる。   Next, a method for forming such a protective film 50 on the shower head 41 will be described. FIG. 4 is a cross-sectional view schematically showing an example of the procedure of the protective film forming method according to the first embodiment. First, as shown in FIG. 4 (a), for example, it is made of aluminum, and on the downstream surface (surface on the discharge port 422 side) of the shower head 41 on which the gas supply path 42 is formed, and from the discharge port 422. A protective film 50 made of an yttria film is formed to a thickness of 50 to 100 μm on the inner surface of a part of the gas flow path 421. That is, the protective film 50 is formed so as to cover the bent portion 43 where the diameter of the gas supply path 42 changes here. Examples of the method for forming the yttria film constituting the protective film 50 include spraying, CVD (Chemical Vapor Deposition), aerosol deposition, cold spray, gas deposition, electrostatic fine particle impact coating, An impact sintering method or the like can be used.

ついで、図4(b)に示されるように、ガス流路421の内面に形成された保護膜50を、例えば研磨などの方法により除去する。これによって、シャワーヘッド41の屈曲部43を構成する一方の面(下方の面)のみが被覆され、吐出口422の内面の屈曲部43付近に形成された保護膜50の側面は、ガス流路421の内面と略面一となる。以上で、図2に示される構造のシャワーヘッド41が得られる。   Next, as shown in FIG. 4B, the protective film 50 formed on the inner surface of the gas flow path 421 is removed by a method such as polishing. Accordingly, only one surface (lower surface) constituting the bent portion 43 of the shower head 41 is covered, and the side surface of the protective film 50 formed in the vicinity of the bent portion 43 on the inner surface of the discharge port 422 is a gas channel. It is substantially flush with the inner surface of 421. Thus, the shower head 41 having the structure shown in FIG. 2 is obtained.

なお、図4(a)の保護膜50の形成では、イットリア膜を溶射法、CVD法、エアロゾルデポジション法、コールドスプレー法、ガスデポジション法、静電微粒子衝撃コーティング法、衝撃焼結法などを用いて形成した後、イットリア膜に表面処理を施し、イットリア膜の表面から形成した膜厚の範囲内で溶融させた後固化させるようにしてもよい。表面処理として、たとえばレーザアニール処理やプラズマジェット処理などの選択的に表面を熱溶融できる方法を用いることができる。   In forming the protective film 50 in FIG. 4A, the yttria film is sprayed, CVD, aerosol deposition, cold spray, gas deposition, electrostatic fine particle impact coating, impact sintering, etc. Then, the yttria film may be subjected to surface treatment, melted within the range of the film thickness formed from the surface of the yttria film, and then solidified. As the surface treatment, for example, a method capable of selectively thermally melting the surface such as laser annealing treatment or plasma jet treatment can be used.

ここで、比較例と比較したときの第1の実施形態の効果について説明する。図5は、一般的なシャワーヘッドの吐出口付近の様子を模式的に示す断面図である。ガス供給部材であるシャワーヘッド41には、たとえばシャワーヘッド41の上面から下流側の面に向かって、シャワーヘッド41を構成する部材を貫通するようにガス供給路42が設けられる。ガス供給路42は、第1の径を有するガス流路421と、ガス流路421の一方の端部から、第1の径よりも大きい第2の径となるように傾斜的に開口径が増大する吐出口422と、を有する。この図5の例では、保護膜50は、シャワーヘッド41の下流側の面と、吐出口422の内面と、ガス流路421の吐出口422側付近とに設けられている。つまり、ガス供給路42の屈曲部43を覆うように、保護膜50が設けられる構造となる。   Here, the effect of the first embodiment when compared with the comparative example will be described. FIG. 5 is a cross-sectional view schematically showing a state in the vicinity of a discharge port of a general shower head. The shower head 41 which is a gas supply member is provided with a gas supply path 42 so as to pass through the members constituting the shower head 41 from the upper surface of the shower head 41 toward the downstream surface, for example. The gas supply path 42 has an opening diameter inclined from the gas channel 421 having the first diameter and a second diameter larger than the first diameter from one end of the gas channel 421. And an increasing discharge port 422. In the example of FIG. 5, the protective film 50 is provided on the downstream surface of the shower head 41, the inner surface of the discharge port 422, and the vicinity of the discharge port 422 side of the gas flow path 421. That is, the protective film 50 is provided so as to cover the bent portion 43 of the gas supply path 42.

一般的に、アルミニウムの線膨張係数は、24×10-6/℃程度であり、イットリアの線膨張係数は、7×10-6/℃程度であり、両者の線膨張係数の差が大きい。そのため、プラズマ処理70中の加熱で熱膨張が生じる際に、保護膜50にクラック56が発生しやすくなる。特に、屈曲部43では、加熱時(プラズマ処理70時)に熱膨張差によってクラック56などが発生しやすくなる。 Generally, the linear expansion coefficient of aluminum is about 24 × 10 −6 / ° C., and the linear expansion coefficient of yttria is about 7 × 10 −6 / ° C., and the difference between the two is large. Therefore, when thermal expansion occurs due to heating during the plasma processing 70, cracks 56 are easily generated in the protective film 50. In particular, in the bent portion 43, cracks 56 and the like are likely to occur due to a difference in thermal expansion during heating (at the time of plasma treatment 70).

一方、第1の実施形態では、図2に示されるように、シャワーヘッド41の屈曲部43をまたがるように保護膜50を形成していない。具体的な構造の例として、吐出口422の屈曲部43付近に形成された保護膜50によって形成されるガス供給路42の一部としての内面が、ガス流路421の内面と略面一が一致するようにした。これによって、プラズマ処理中の加熱でシャワーヘッド41と保護膜50との間に熱膨張差が生じても、屈曲部43付近の保護膜50にはクラックが入りにくい構造となる。   On the other hand, in 1st Embodiment, as FIG. 2 shows, the protective film 50 is not formed so that the bending part 43 of the shower head 41 may be straddled. As an example of a specific structure, the inner surface as a part of the gas supply path 42 formed by the protective film 50 formed near the bent portion 43 of the discharge port 422 is substantially flush with the inner surface of the gas flow path 421. Matched. As a result, even if a difference in thermal expansion occurs between the shower head 41 and the protective film 50 due to heating during the plasma processing, the protective film 50 near the bent portion 43 has a structure that is difficult to crack.

(第2の実施形態)
図6は、第2の実施形態によるシャワーヘッドの吐出口付近の様子を模式的に示す断面図である。ここでは、母材であるシャワーヘッド41のガス流路421の吐出口422が角度の異なる複数の面で構成されている点が第1の実施形態の場合と異なる。第2の実施形態でも、保護膜50は、ガス供給部材であるシャワーヘッド41の吐出口422付近と下流側の面に形成される。このような構造の保護膜50では、角部44に集中する応力が緩和される。第2の実施形態では、保護膜50で被覆されている領域に存在する角部44での膜厚d2をそれ以外での膜厚d1よりも厚くした場合、更に応力が緩和されるためクラックが入りづらい構造となる。ここで、膜厚d1,d2は、シャワーヘッド41上の各位置における法線方向の保護膜50の厚さである。具体的には、角部44でない部分での膜厚d1は10〜100μm程度の厚さであり、角部44付近での膜厚d2は、d1より1〜2倍程厚い10〜200μm程度の厚さであるのが望ましい。
(Second Embodiment)
FIG. 6 is a cross-sectional view schematically showing the vicinity of the discharge port of the shower head according to the second embodiment. Here, the point from which the discharge port 422 of the gas flow path 421 of the shower head 41 which is a base material is comprised by the several surface from which an angle differs differs from the case of 1st Embodiment. Also in the second embodiment, the protective film 50 is formed in the vicinity of the discharge port 422 of the shower head 41 that is a gas supply member and on the downstream surface. In the protective film 50 having such a structure, the stress concentrated on the corner 44 is relaxed. In the second embodiment, when the film thickness d 2 at the corner 44 existing in the region covered with the protective film 50 is thicker than the film thickness d 1 other than that, the stress is further relaxed. The structure is difficult to crack. Here, the film thicknesses d 1 and d 2 are the thicknesses of the protective film 50 in the normal direction at each position on the shower head 41. Specifically, the film thickness d 1 at the portion other than the corner portion 44 is about 10 to 100 μm, and the film thickness d 2 near the corner portion 44 is about 10 to 2 times thicker than d 1. A thickness of about 200 μm is desirable.

なお、この図に示されるように、シャワーヘッド41の吐出口422に形成される角部44の交差角度が、図2の場合に比して大きくなるようにしてもよい。また、このようなシャワーヘッド41への保護膜50の形成方法は、第1の実施形態と同様の方法で行うことができる。   As shown in this figure, the crossing angle of the corner 44 formed in the discharge port 422 of the shower head 41 may be larger than that in the case of FIG. Further, the method for forming the protective film 50 on the shower head 41 can be performed by the same method as in the first embodiment.

(第3の実施形態)
図7は、第3の実施形態を模式的に示す断面図である。図6に示した第2の実施形態では、母材であるシャワーヘッド41の吐出口422を形成する面とガス流の下流側の面(下面)がそれぞれ曲面ではなく、それぞれの面が所定の角度で接続されて角部44を有しているが、図7に示した第3の実施形態では、母材であるシャワーヘッド41のガス流路421を形成する面とシャワーヘッド41の下流側の面41Aとが滑らかな曲面によって接続される場合を示している。ここで、ガス流路421との接続部から離れるにしたがって吐出口422の開口径が増大し、シャワーヘッド41の下流側の面41Aでの開口径が第1の径よりも大きい第2の径となるテーパ形状を有するように、吐出口422を構成する曲面が構成される。図7の例では、吐出口422を構成する面のすべてが曲面によって構成される場合が示されているが、これに限定されるものではなく、少なくとも吐出口422を形成する面とシャワーヘッド41の下流側の面41Aとの接続部付近(図6の角部44に対応する領域)で曲面を有していればよい。なお、シャワーヘッド41の下流側の面41Aは、吐出口422から吐出されるガスがプラズマ化される際に、プラズマが生成される領域に面するシャワーヘッド41を構成する面である。また、図7におけるシャワーヘッド41の吐出口422を形成する曲面における曲率半径は、100〜500μm程度であることが望ましい。
(Third embodiment)
FIG. 7 is a cross-sectional view schematically showing the third embodiment. In the second embodiment shown in FIG. 6, the surface that forms the discharge port 422 of the showerhead 41, which is the base material, and the downstream surface (lower surface) of the gas flow are not curved surfaces, and each surface is a predetermined surface. In the third embodiment shown in FIG. 7, the surface forming the gas flow path 421 of the base material of the shower head 41 and the downstream side of the shower head 41 are provided. The surface 41A is connected by a smooth curved surface. Here, the opening diameter of the discharge port 422 increases as the distance from the connection portion with the gas flow path 421 increases, and the opening diameter on the downstream surface 41A of the shower head 41 is larger than the first diameter. The curved surface constituting the discharge port 422 is configured to have a tapered shape. In the example of FIG. 7, a case where all the surfaces constituting the discharge port 422 are configured by curved surfaces is shown, but the present invention is not limited to this, and at least the surface that forms the discharge port 422 and the shower head 41. What is necessary is just to have a curved surface in the vicinity of the connecting portion with the downstream surface 41A (region corresponding to the corner 44 in FIG. 6). The downstream surface 41A of the shower head 41 is a surface constituting the shower head 41 that faces a region where plasma is generated when the gas discharged from the discharge port 422 is turned into plasma. In addition, the radius of curvature of the curved surface forming the discharge port 422 of the shower head 41 in FIG. 7 is preferably about 100 to 500 μm.

シャワーヘッド41の吐出口422を形成する面上に形成される保護膜50の膜厚は略一定となっている。また、保護膜50は、吐出口422を形成する面上と、ガス流路421を形成する面の吐出口422側付近にも形成されている。そして、保護膜50は、下地であるシャワーヘッド41の吐出口422の形成面が曲面であるので、それに対応して曲面形状を有するように構成される。   The thickness of the protective film 50 formed on the surface of the shower head 41 on which the discharge port 422 is formed is substantially constant. The protective film 50 is also formed on the surface where the discharge port 422 is formed and in the vicinity of the discharge port 422 side of the surface where the gas flow path 421 is formed. And since the formation surface of the discharge port 422 of the shower head 41 which is a foundation | substrate is a curved surface, the protective film 50 is comprised so that it may have a curved surface shape corresponding to it.

なお、その他については、第1の実施形態と同様であるので、その説明を省略する。たとえば、使用される保護膜50については、第1の実施形態で使用される保護膜50と同様である。また、保護膜50は、アルミニウムを構成部材とするシャワーヘッド41に直接に形成してもよいし、アルミニウム上にアルミナ膜を形成し、このアルミナ膜上に保護膜50を形成してもよい。さらに、保護膜50を構成するイットリア膜の形成方法も第1の実施形態に示した方法を用いることができる。   Since the rest is the same as in the first embodiment, the description thereof is omitted. For example, the protective film 50 used is the same as the protective film 50 used in the first embodiment. Further, the protective film 50 may be formed directly on the shower head 41 having aluminum as a constituent member, or an alumina film may be formed on aluminum, and the protective film 50 may be formed on the alumina film. Furthermore, the method shown in the first embodiment can be used as a method for forming the yttria film constituting the protective film 50.

第3の実施形態によれば、下地であるシャワーヘッド41の吐出口422の形成面が曲面で形成され、その上に保護膜50を形成したので、第2の実施形態よりも更に角部44に集中する応力が緩和されるため、第2の実施形態よりもクラックが入りづらい構造となる。   According to the third embodiment, the formation surface of the discharge port 422 of the shower head 41 which is the base is formed as a curved surface, and the protective film 50 is formed thereon, so that the corner portion 44 is further formed than in the second embodiment. Since the stress concentrated on the surface is relaxed, the structure is less prone to crack than in the second embodiment.

(第4の実施形態)
図8は、第4の実施形態によるシャワーヘッドの吐出口付近の様子を模式的に示す断面図である。第4の実施形態は、第3の実施形態と略同様の構造を有するが、第3の実施形態では、吐出口422上の保護膜50の膜厚が一定であるのに対し、第4の実施形態では、吐出口422の中央付近に向かうにつれて、保護膜50の膜厚が徐々に薄くなり、ガス流路421の側面にイットリア膜が形成されない点が第3の実施形態と異なる。このような構造の保護膜50では、第2の実施形態よりも更に角部43に集中する応力が緩和されるため、第2の実施形態よりもクラックが入りづらい構造となる。曲面部分の膜厚は10〜100μm程度に連続的に変化するのが望ましい。図8におけるシャワーヘッド41の曲面における曲率半径は、図7と同様に100〜500μm程度であることが望ましい。
(Fourth embodiment)
FIG. 8 is a cross-sectional view schematically showing the vicinity of the discharge port of the shower head according to the fourth embodiment. The fourth embodiment has substantially the same structure as the third embodiment, but in the third embodiment, the thickness of the protective film 50 on the ejection port 422 is constant, whereas the fourth embodiment The embodiment differs from the third embodiment in that the thickness of the protective film 50 gradually decreases toward the center of the discharge port 422 and no yttria film is formed on the side surface of the gas flow path 421. In the protective film 50 having such a structure, the stress concentrated on the corner portion 43 is further relaxed as compared with the second embodiment, so that a crack is harder to enter than in the second embodiment. It is desirable that the film thickness of the curved surface portion continuously changes to about 10 to 100 μm. The curvature radius of the curved surface of the shower head 41 in FIG. 8 is preferably about 100 to 500 μm as in FIG.

なお、第3の実施形態と同様に、ガス流路421との接続部から離れるにしたがって吐出口422の開口径が増大し、シャワーヘッド41の下流側の面41Aでの開口径が第1の径よりも大きい第2の径となるテーパ形状を有するように、吐出口422を構成する曲面が構成される。図8の例では、吐出口422を構成する面のすべてが曲面によって構成される場合が示されているが、これに限定されるものではなく、少なくとも吐出口422を形成する面とシャワーヘッド41の下流側の面41Aとの接続部付近(図6の角部44に対応する領域)で曲面を有していればよい。なお、シャワーヘッド41の下流側の面41Aは、吐出口422から吐出されるガスがプラズマ化される際に、プラズマが生成される領域に面するシャワーヘッド41を構成する面である。   As in the third embodiment, the opening diameter of the discharge port 422 increases as the distance from the connection with the gas flow path 421 increases, and the opening diameter on the downstream surface 41A of the shower head 41 is the first. The curved surface constituting the discharge port 422 is configured to have a tapered shape having a second diameter larger than the diameter. In the example of FIG. 8, the case where all the surfaces constituting the discharge port 422 are configured by curved surfaces is shown, but the present invention is not limited to this, and at least the surface that forms the discharge port 422 and the shower head 41. What is necessary is just to have a curved surface in the vicinity of the connecting portion with the downstream surface 41A (region corresponding to the corner 44 in FIG. 6). The downstream surface 41A of the shower head 41 is a surface constituting the shower head 41 that faces a region where plasma is generated when the gas discharged from the discharge port 422 is turned into plasma.

つぎに、第4の実施形態によるシャワーヘッドへの保護膜50の形成方法について説明する。図9(a)〜(f)は、図8に示される第4の実施形態によるシャワーヘッドの吐出口付近の第1の形成方法を模式的に示した一連の断面図である。第4の実施形態では、第1の径を有するガス流路421と、ガス流路421の下端から滑らかな曲面で口径が拡がり平面である下流側の面で第2の径となる吐出口422とにより、シャワーヘッド41が形成される。保護膜50は、吐出口422形成面上のガス流路421と吐出口422との境界の屈曲部43からシャワーヘッド41の下流側の面に向かって徐々に膜厚が増大するように形成されている。また、シャワーヘッド41の下流側の面上では、略均一の厚さを有する。この構造でも、保護膜50はガス流路421には形成されておらず、ガス流路421と吐出口422との境界の屈曲部43付近に形成された保護膜50の側面が、ガス流路421の内面と略面一となる。   Next, a method for forming the protective film 50 on the shower head according to the fourth embodiment will be described. FIGS. 9A to 9F are a series of cross-sectional views schematically showing a first forming method in the vicinity of the discharge port of the shower head according to the fourth embodiment shown in FIG. In the fourth embodiment, a gas flow path 421 having a first diameter, and a discharge port 422 that has a smooth curved surface from the lower end of the gas flow path 421 and has a second diameter on a downstream surface that is a flat surface. As a result, the shower head 41 is formed. The protective film 50 is formed so that the film thickness gradually increases from the bent portion 43 at the boundary between the gas flow path 421 and the discharge port 422 on the discharge port 422 formation surface toward the downstream side surface of the shower head 41. ing. Moreover, on the downstream surface of the shower head 41, it has a substantially uniform thickness. Even in this structure, the protective film 50 is not formed in the gas flow path 421, and the side surface of the protective film 50 formed in the vicinity of the bent portion 43 at the boundary between the gas flow path 421 and the discharge port 422 is the gas flow path. It is substantially flush with the inner surface of 421.

図9(a)〜(f)は、第4の実施形態によるシャワーヘッドへの保護膜の第1の形成方法の手順の一例を模式的に示す断面図である。ここでは、シャワーヘッド41のガス供給路42の一部のみを図示して説明を行う。まず、図9(a)に示されるように、たとえばアルミニウムで構成される母材にガス供給路42を形成する。上記したように、ガス供給路42は、第1の径を有するガス流路421と、ガス流路421の下端から滑らかな曲面で口径が拡がり平面である下流側の面で第2の径となる吐出口422と、により、シャワーヘッド41が形成される。   FIGS. 9A to 9F are cross-sectional views schematically showing an example of the procedure of the first forming method of the protective film on the shower head according to the fourth embodiment. Here, only a part of the gas supply path 42 of the shower head 41 is illustrated and described. First, as shown in FIG. 9A, a gas supply path 42 is formed in a base material made of, for example, aluminum. As described above, the gas supply path 42 includes the gas flow path 421 having the first diameter, the second diameter on the downstream surface that is a flat surface with a smooth curved surface from the lower end of the gas flow path 421, and a flat surface. The shower head 41 is formed by the discharge port 422.

ついで、図9(b)に示されるように、シャワーヘッド41の吐出口422側からネガ型フォトレジスト101を塗布する。ついで、図9(c)に示されるように、シャワーヘッド41の上面(ガス流路421形成側の面)から紫外光等で露光すると、ネガ型フォトレジスト101は露光された部分のみ硬化して、栓部材である犠牲層101aとなる。このとき、露光されるのはシャワーヘッド41のガス流路421の部分のみとなり、たとえばシャワーヘッド41の下流側の面のガス流路421ではない領域に形成されたネガ型フォトレジスト101は、シャワーヘッド41の部材で光が遮られるので硬化しない。その後、図9(d)に示されるように、現像を行って、硬化していないネガ型フォトレジスト101を除去する。これによって、ガス供給路421内に犠牲層101aが残存した形となる。なお、犠牲層101aの下端部は、ガス流路421と吐出口422の境界部よりもシャワーヘッド41の下流側の面側に突出している。   Next, as shown in FIG. 9B, a negative photoresist 101 is applied from the discharge port 422 side of the shower head 41. Next, as shown in FIG. 9C, when exposed from the upper surface of the shower head 41 (surface on the gas flow path 421 forming side) with ultraviolet light or the like, the negative photoresist 101 is cured only in the exposed portion. The sacrificial layer 101a is a plug member. At this time, only the gas flow path 421 portion of the shower head 41 is exposed. For example, the negative photoresist 101 formed in a region other than the gas flow path 421 on the downstream surface of the shower head 41 is exposed to the shower. Since the light is blocked by the member of the head 41, it does not harden. Thereafter, as shown in FIG. 9D, development is performed to remove the uncured negative photoresist 101. As a result, the sacrificial layer 101a remains in the gas supply path 421. Note that the lower end portion of the sacrificial layer 101 a protrudes to the downstream side of the shower head 41 with respect to the boundary between the gas flow path 421 and the discharge port 422.

ついで、図9(e)に示されるように、犠牲膜101aが形成されたシャワーヘッド41の吐出口422の形成面側(下流側の面側)上にイットリア膜からなる保護膜50を形成する。保護膜50を構成するイットリア膜の形成方法としては、溶射法、CVD法、エアロゾルデポジション法、コールドスプレー法、ガスデポジション法、静電微粒子衝撃コーティング法、衝撃焼結法などを用いることができる。ここでは、シャワーヘッド41の下流側の面には50〜100μmの厚さで保護膜50が形成されるが、吐出口422の中央付近105に向かうにつれて、イットリア粒子が届き難くなるため膜厚が徐々に薄くなる。また、犠牲膜101aの上面付近にも保護膜50が形成される。   Next, as shown in FIG. 9E, a protective film 50 made of an yttria film is formed on the formation surface side (downstream surface side) of the discharge port 422 of the shower head 41 on which the sacrificial film 101a is formed. . As a method for forming the yttria film constituting the protective film 50, a spraying method, a CVD method, an aerosol deposition method, a cold spray method, a gas deposition method, an electrostatic fine particle impact coating method, an impact sintering method, or the like may be used. it can. Here, the protective film 50 is formed on the downstream surface of the shower head 41 with a thickness of 50 to 100 μm. However, as the yttria particles become difficult to reach toward the vicinity of the center 105 of the discharge port 422, the film thickness is increased. It becomes thinner gradually. A protective film 50 is also formed near the upper surface of the sacrificial film 101a.

その後、図9(f)に示されるように、アッシングなどの方法によって犠牲膜101aを除去する。以上によって、シャワーヘッド41の吐出口422を構成する面上と、シャワーヘッド41の下流側の面上に保護膜50が形成される。   Thereafter, as shown in FIG. 9F, the sacrificial film 101a is removed by a method such as ashing. As described above, the protective film 50 is formed on the surface constituting the discharge port 422 of the shower head 41 and the downstream surface of the shower head 41.

ここに示した第1の形成方法は、第1〜第3の実施形態を形成する場合にも用いることができる。   The first forming method shown here can also be used when forming the first to third embodiments.

また、図8に示されるようなシャワーヘッド41への保護膜50の形成方法として、第1の形成方法以外の方法も用いることができる。ここでは、第1の形成方法とは異なる方法で、図8に示した第4の実施形態によるシャワーヘッド41の吐出口422付近の加工方法について説明する。   Further, as a method for forming the protective film 50 on the shower head 41 as shown in FIG. 8, a method other than the first forming method can be used. Here, a processing method in the vicinity of the discharge port 422 of the shower head 41 according to the fourth embodiment shown in FIG. 8 will be described by a method different from the first forming method.

図10(a)〜(d)は、図8に示した第4の実施形態によるシャワーヘッドへの保護膜の第2の形成方法の手順の一例を模式的に示す断面図である。ここでは、シャワーヘッド41のガス供給路42の一部のみを図示して説明を行う。まず、図10(a)に示されるように、たとえばアルミニウムで構成される母材にガス供給路42を形成する。ガス供給路42は、上記したように、第1の径を有するガス流路421と、ガス流路421の下端から滑らかな曲面で口径が拡がり平面である下流側の面で第2の径となる吐出口422とにより、シャワーヘッド41が形成される。   FIGS. 10A to 10D are cross-sectional views schematically showing an example of the procedure of the second forming method of the protective film on the shower head according to the fourth embodiment shown in FIG. Here, only a part of the gas supply path 42 of the shower head 41 is illustrated and described. First, as shown in FIG. 10A, a gas supply path 42 is formed in a base material made of, for example, aluminum. As described above, the gas supply path 42 includes the gas flow path 421 having the first diameter, the second diameter on the downstream surface that is a flat surface with a smooth curved surface from the lower end of the gas flow path 421, and a flat surface. The shower head 41 is formed by the discharge port 422.

ついで、図10(b)に示されるように、栓部材である治具111をガス流路421に挿入する。この治具111は、ガス流路421の第1の径と略同じ径を有する。治具111は、シャワーヘッド41の下流側の面側(吐出口422が形成される側)から吐出口422側に少し突出するように挿入される。このとき、シャワーヘッド41のガス流路421は冶具111によって塞がれ、治具111の下端部はガス流路421と吐出口422との境界よりも下流側の面側(吐出口422の開口径が大きくなる側)に少し突出するように固定される。   Next, as shown in FIG. 10B, the jig 111 as a plug member is inserted into the gas flow path 421. The jig 111 has substantially the same diameter as the first diameter of the gas flow path 421. The jig 111 is inserted so as to slightly protrude from the downstream side of the shower head 41 (the side where the discharge port 422 is formed) to the discharge port 422 side. At this time, the gas flow path 421 of the shower head 41 is closed by the jig 111, and the lower end portion of the jig 111 is on the surface side downstream of the boundary between the gas flow path 421 and the discharge port 422 (the discharge port 422 is opened). It is fixed so that it protrudes slightly on the side of the larger diameter.

その後、図10(c)に示されるように、治具111が挿入されたシャワーヘッド41の吐出口422の形成面側(下流側の面側)上にイットリア膜からなる保護膜50を形成する。保護膜50を構成するイットリア膜の形成方法としては、溶射法、CVD法、エアロゾルデポジション法、コールドスプレー法、ガスデポジション法、静電微粒子衝撃コーティング法、衝撃焼結法などを用いることができる。ここでは、シャワーヘッド41の下流側の面には50〜100μmの厚さで保護膜50が形成されるが、吐出口422の中央付近に向かうにつれて、イットリア粒子が届き難くなるため膜厚が徐々に薄くなる。また、ガス流路421には治具111により塞がれているため、ガス流路421内には保護膜50は形成されず、治具111の上面付近に保護膜50が形成される。   Thereafter, as shown in FIG. 10C, a protective film 50 made of an yttria film is formed on the formation surface side (downstream surface side) of the discharge port 422 of the shower head 41 in which the jig 111 is inserted. . As a method for forming the yttria film constituting the protective film 50, a spraying method, a CVD method, an aerosol deposition method, a cold spray method, a gas deposition method, an electrostatic fine particle impact coating method, an impact sintering method, or the like may be used. it can. Here, the protective film 50 is formed on the downstream surface of the shower head 41 with a thickness of 50 to 100 μm. However, as the yttria particles become difficult to reach toward the vicinity of the center of the discharge port 422, the film thickness gradually increases. It becomes thinner. Further, since the gas flow path 421 is blocked by the jig 111, the protective film 50 is not formed in the gas flow path 421, and the protective film 50 is formed near the upper surface of the jig 111.

その後、図10(d)に示されるように、治具111を除去する。ここでは、保護膜50が損傷しないように、シャワーヘッド41の下流側の面側から治具111を除去する。以上によって、シャワーヘッド41の吐出口422を構成する面上と、シャワーヘッド41の下流側の面上に保護膜50が形成される。   Thereafter, as shown in FIG. 10D, the jig 111 is removed. Here, the jig 111 is removed from the downstream side of the shower head 41 so that the protective film 50 is not damaged. As described above, the protective film 50 is formed on the surface constituting the discharge port 422 of the shower head 41 and the downstream surface of the shower head 41.

第2の形成方法では、ガス流路421を治具111で塞いだ後、シャワーヘッド41の下流側の面上と吐出口422の形成面上に保護膜50を形成し、保護膜50を形成後に治具111をガス流路421から抜き出すようにしたので、第1の形成方法に比して、保護膜50の形成を容易に行うことができるという効果を有する。また、治具111は、複数回繰り返し使用することができるので、第1の形成方法のようにレジストを用いる場合に比して低コストで保護膜50を形成することができるという効果も有する。   In the second forming method, after the gas flow path 421 is closed with the jig 111, the protective film 50 is formed on the downstream surface of the shower head 41 and the discharge port 422 forming surface, thereby forming the protective film 50. Since the jig 111 is later extracted from the gas flow path 421, the protective film 50 can be easily formed as compared with the first forming method. In addition, since the jig 111 can be used repeatedly a plurality of times, the protective film 50 can be formed at a lower cost than when a resist is used as in the first forming method.

なお、第1および第2の形成方法では、ガス流路421と吐出口422との境界の屈曲部43付近の保護膜50によって形成されたガス供給路42の一部としての側面と、シャワーヘッド41で構成されるガス流路421の内面とが面一となるように形成される場合を図示して説明したが、これに限定されるものではない。上記したように、少なくとも屈曲部43の一部が露出されるように、保護膜50が形成されればよい。   In the first and second forming methods, a side surface as a part of the gas supply path 42 formed by the protective film 50 in the vicinity of the bent portion 43 at the boundary between the gas flow path 421 and the discharge port 422, and a shower head Although the case where it is formed so as to be flush with the inner surface of the gas flow path 421 configured by 41 has been illustrated and described, the present invention is not limited to this. As described above, the protective film 50 may be formed so that at least a part of the bent portion 43 is exposed.

第4の実施形態では、プラズマ処理時に、シャワーヘッド41と保護膜50との間の線膨張係数の違いによって応力が集中しやすい屈曲部43および角部44付近で応力集中が緩和され、クラックなどの欠陥の発生が抑制される。その結果、保護膜50からイットリアを含むダストの発生を防止することができるという効果を有する。また、第1の実施形態で示した研磨などの追加工を必要としないため、コストが安く、研磨の際に発生する可能性がある保護膜50のクラックや研磨の際に発生する発塵も問題ない構造となる。   In the fourth embodiment, during plasma processing, the stress concentration is reduced in the vicinity of the bent portion 43 and the corner portion 44 where stress is likely to concentrate due to the difference in the linear expansion coefficient between the shower head 41 and the protective film 50, cracks, etc. The occurrence of defects is suppressed. As a result, there is an effect that generation of dust including yttria from the protective film 50 can be prevented. Further, since no additional processing such as polishing shown in the first embodiment is required, the cost is low, and the protective film 50 may be cracked or generated dust during polishing. It becomes a structure without a problem.

また、第1〜第4の実施形態では、RIE装置のシャワーヘッド41に形成される保護膜50を例に挙げて説明したが、これに限定されるものではなく、シャワーヘッド41以外の部材、たとえばチャンバ11の内壁、バッフル板24、フォーカスリング23、プラズマ処理対象を保持する支持テーブル21などに第1〜第4の実施形態による保護膜50を形成することができる。   In the first to fourth embodiments, the protective film 50 formed on the shower head 41 of the RIE apparatus has been described as an example. However, the present invention is not limited to this, and members other than the shower head 41, For example, the protective film 50 according to the first to fourth embodiments can be formed on the inner wall of the chamber 11, the baffle plate 24, the focus ring 23, the support table 21 that holds the plasma processing target, and the like.

さらに、上記した説明では、プラズマ処理装置10としてRIE装置を例に挙げて説明したが、アッシング装置、CDE(Chemical Dry Etching)装置、CVD装置などの処理装置全般や半導体製造装置全般に、上記した実施形態を適用することができる。   Furthermore, in the above description, the RIE apparatus has been described as an example of the plasma processing apparatus 10. However, the plasma processing apparatus 10 has been described above in general processing apparatuses such as an ashing apparatus, a CDE (Chemical Dry Etching) apparatus, and a CVD apparatus, and in general semiconductor manufacturing apparatuses. Embodiments can be applied.

本発明のいくつかの実施形態を説明したが、これらの実施形態は、例として提示したものであり、発明の範囲を限定することは意図していない。これら新規な実施形態は、その他の様々な形態で実施されることが可能であり、発明の要旨を逸脱しない範囲で、種々の省略、置き換え、変更を行うことができる。これら実施形態やその変形は、発明の範囲や要旨に含まれるとともに、特許請求の範囲に記載された発明とその均等の範囲に含まれる。   Although several embodiments of the present invention have been described, these embodiments are presented by way of example and are not intended to limit the scope of the invention. These novel embodiments can be implemented in various other forms, and various omissions, replacements, and changes can be made without departing from the scope of the invention. These embodiments and modifications thereof are included in the scope and gist of the invention, and are included in the invention described in the claims and the equivalents thereof.

10…プラズマ処理装置、11…チャンバ、12…支持部、13…ガス供給口、14…ガス排気口、21…支持テーブル、22…絶縁リング、23…フォーカスリング、24…バッフル板、25…ガス排出孔、31…給電線、32…ブロッキングコンデンサ、33…整合器、34…高周波電源、41…シャワーヘッド、42…ガス供給路、43…屈曲部、44…角部、50…保護膜、51…イットリア膜、52…非溶融固化膜、53…溶融固化膜、55…構成部材、56…クラック、57…ダスト、61…プラズマ処理室、62…ガス供給室、63…ガス排気室、100…ウェハ、101…ネガ型フォトレジスト、101a…犠牲層、111…治具、421…ガス流路、422…吐出口。   DESCRIPTION OF SYMBOLS 10 ... Plasma processing apparatus, 11 ... Chamber, 12 ... Support part, 13 ... Gas supply port, 14 ... Gas exhaust port, 21 ... Support table, 22 ... Insulation ring, 23 ... Focus ring, 24 ... Baffle plate, 25 ... Gas Exhaust hole, 31 ... feed line, 32 ... blocking capacitor, 33 ... matching device, 34 ... high frequency power supply, 41 ... shower head, 42 ... gas supply path, 43 ... bent part, 44 ... corner part, 50 ... protective film, 51 ... Yttria film, 52 ... Non-melt solidified film, 53 ... Mold solidified film, 55 ... Component member, 56 ... Crack, 57 ... Dust, 61 ... Plasma treatment chamber, 62 ... Gas supply chamber, 63 ... Gas exhaust chamber, 100 ... Wafer 101 ... negative photoresist 101a sacrificial layer 111 jig 421 gas flow path 422 discharge port

Claims (12)

第1の径を有し、ガス流方向に延伸するガス流路と、前記ガス流路の一方の端部に接続され、ガス供給部材のガス流の下流側の面に設けられる吐出口と、を有するガス供給路を備え、
前記吐出口を構成する面の少なくとも一部の面は曲面によって構成され、
前記吐出口を構成する面上と、前記ガス供給部材の前記下流側の面上とにイットリア含有膜を備えることを特徴とするガス供給部材。
A gas flow path having a first diameter and extending in the gas flow direction; a discharge port connected to one end of the gas flow path and provided on a downstream surface of the gas flow of the gas supply member; A gas supply path having
At least a part of the surface constituting the discharge port is configured by a curved surface,
A gas supply member comprising an yttria-containing film on a surface constituting the discharge port and on the downstream surface of the gas supply member.
前記曲面は、前記吐出口を構成する面と前記ガス供給部材の前記下流側の面との接続部付近に形成されることを特徴とする請求項1に記載のガス供給部材。   The gas supply member according to claim 1, wherein the curved surface is formed in the vicinity of a connection portion between a surface constituting the discharge port and the downstream surface of the gas supply member. 前記イットリア含有膜は、前記吐出口を構成する面上で略同じ膜厚であることを特徴とする請求項1または2に記載のガス供給部材。   3. The gas supply member according to claim 1, wherein the yttria-containing film has substantially the same film thickness on a surface constituting the discharge port. 前記イットリア含有膜は、前記吐出口付近の前記ガス流路を構成する面にも形成されていることを特徴とする請求項1〜3のいずれか1つに記載のガス供給部材。   The gas supply member according to any one of claims 1 to 3, wherein the yttria-containing film is also formed on a surface constituting the gas flow path in the vicinity of the discharge port. 前記イットリア含有膜の厚さは、前記ガス流路と前記吐出口との境界付近に向かうにつれて薄くなることを特徴とする請求項1に記載のガス供給部材。   2. The gas supply member according to claim 1, wherein a thickness of the yttria-containing film becomes thinner toward a vicinity of a boundary between the gas flow path and the discharge port. 前記イットリア含有膜は、前記ガス流路には形成されないことを特徴とする請求項5に記載のガス供給部材。   The gas supply member according to claim 5, wherein the yttria-containing film is not formed in the gas flow path. 前記吐出口は、前記ガス流路の一方の端部から離れるにしたがって開口径が増大し、前記ガス供給部材の前記下流側の面での開口径が前記第1の径よりも大きい第2の径となるように前記吐出口を構成する曲面が構成されることを特徴とする請求項1〜6のいずれか1つに記載のガス供給部材。   The discharge port has an opening diameter that increases with increasing distance from one end of the gas flow path, and a second opening diameter on the downstream surface of the gas supply member that is larger than the first diameter. The gas supply member according to claim 1, wherein a curved surface constituting the discharge port is configured to have a diameter. 前記ガス供給部材の前記下流側の面は、前記吐出口から吐出されるガスがプラズマ化される際に、前記プラズマが生成される領域に面する前記ガス供給部材を構成する面であることを特徴とする請求項1〜7のいずれか1つに記載のガス供給部材。   The downstream surface of the gas supply member is a surface constituting the gas supply member facing a region where the plasma is generated when the gas discharged from the discharge port is turned into plasma. The gas supply member according to claim 1, wherein the gas supply member is a gas supply member. チャンバ内に、処理対象を保持する処理対象保持手段と、前記処理対象保持手段に前記吐出口が対向するように配置される請求項1〜8のいずれか1つに記載の前記ガス供給部材と、前記チャンバ内に導入されたガスをプラズマ化するプラズマ生成手段と、を備えることを特徴とするプラズマ処理装置。   A process target holding means for holding a process target in the chamber, and the gas supply member according to any one of claims 1 to 8 disposed so that the discharge port faces the process target holding means. And plasma generating means for converting the gas introduced into the chamber into plasma. 第1の径を有し、ガス流方向に延伸するガス流路と、前記ガス流路の一方の端部に接続され、前記端部から、前記第1の径よりも大きい第2の径となるように開口径が増大し、ガス供給部材のガス流の下流側の面に設けられる吐出口と、を有するガス供給路を備え、前記吐出口を構成する面の少なくとも一部の面は曲面によって構成される前記ガス供給部材にイットリア含有膜を形成するイットリア含有膜の形成方法であって、
前記ガス供給部材の前記下流側の面上と、前記吐出口を構成する面上と、前記ガス流路の前記吐出口側付近の内面上とに前記イットリア含有膜を形成することを特徴とするイットリア含有膜の形成方法。
A gas flow path having a first diameter and extending in the gas flow direction; and a second diameter larger than the first diameter from the end connected to one end of the gas flow path; An opening diameter is increased, and a gas supply passage having a discharge port provided on a downstream surface of the gas flow of the gas supply member is provided, and at least a part of the surface constituting the discharge port is a curved surface A yttria-containing film forming method for forming an yttria-containing film on the gas supply member constituted by:
The yttria-containing film is formed on the downstream surface of the gas supply member, on the surface constituting the discharge port, and on the inner surface of the gas flow channel near the discharge port. Method for forming yttria-containing film.
第1の径を有し、ガス流方向に延伸するガス流路と、前記ガス流路の一方の端部に接続され、前記端部から、前記第1の径よりも大きい第2の径となるように開口径が増大し、ガス供給部材のガス流の下流側の面に設けられる吐出口と、を有するガス供給路を備え、前記吐出口を構成する面の少なくとも一部の面は曲面によって構成される前記ガス供給部材にイットリア含有膜を形成するイットリア含有膜の形成方法であって、
前記ガス供給部材の前記ガス流路を栓部材で塞いだ後、前記ガス供給部材の前記下流側の面上と、前記吐出口を構成する面上と、前記栓部材上と、にイットリア含有膜を形成し、前記ガス流路の前記栓部材を除去することを特徴とするイットリア含有膜の形成方法。
A gas flow path having a first diameter and extending in the gas flow direction; and a second diameter larger than the first diameter from the end connected to one end of the gas flow path; An opening diameter is increased, and a gas supply passage having a discharge port provided on a downstream surface of the gas flow of the gas supply member is provided, and at least a part of the surface constituting the discharge port is a curved surface A yttria-containing film forming method for forming an yttria-containing film on the gas supply member constituted by:
After closing the gas flow path of the gas supply member with a plug member, the yttria-containing film is formed on the downstream surface of the gas supply member, on the surface constituting the discharge port, and on the plug member. And forming the yttria-containing film, wherein the plug member of the gas flow path is removed.
前記イットリア含有膜を溶射法、CVD法、エアロゾルデポジション法、コールドスプレー法、ガスデポジション法、静電微粒子衝撃コーティング法、または衝撃焼結法によって形成することを特徴とする請求項10または11に記載のイットリア含有膜の形成方法。   12. The yttria-containing film is formed by a thermal spraying method, a CVD method, an aerosol deposition method, a cold spray method, a gas deposition method, an electrostatic fine particle impact coating method, or an impact sintering method. A method for forming an yttria-containing film as described in 1.
JP2013018418A 2010-08-12 2013-02-01 Gas supply member, plasma processing apparatus, and method for forming yttria-containing film Expired - Fee Related JP5389282B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2013018418A JP5389282B2 (en) 2010-08-12 2013-02-01 Gas supply member, plasma processing apparatus, and method for forming yttria-containing film

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2010180790 2010-08-12
JP2010180790 2010-08-12
JP2013018418A JP5389282B2 (en) 2010-08-12 2013-02-01 Gas supply member, plasma processing apparatus, and method for forming yttria-containing film

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2011060711A Division JP5198611B2 (en) 2010-08-12 2011-03-18 Gas supply member, plasma processing apparatus, and method for forming yttria-containing film

Publications (2)

Publication Number Publication Date
JP2013084997A true JP2013084997A (en) 2013-05-09
JP5389282B2 JP5389282B2 (en) 2014-01-15

Family

ID=48529789

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013018418A Expired - Fee Related JP5389282B2 (en) 2010-08-12 2013-02-01 Gas supply member, plasma processing apparatus, and method for forming yttria-containing film

Country Status (1)

Country Link
JP (1) JP5389282B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019207912A (en) * 2018-05-28 2019-12-05 東京エレクトロン株式会社 Upper electrode assembly, processing apparatus, and manufacturing method of upper electrode assembly
US11164726B2 (en) 2019-02-08 2021-11-02 Toshiba Memory Corporation Gas supply member, plasma processing apparatus, and method for forming coating film

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04316325A (en) * 1991-04-15 1992-11-06 Mitsubishi Electric Corp Plasma processing system
JPH08134667A (en) * 1994-11-02 1996-05-28 Mitsubishi Materials Corp Anode electrode plate for plasma etching
JPH11297672A (en) * 1998-04-09 1999-10-29 Tadahiro Omi Shower plate, shower plate peripheral structure, and processor
JP2004107783A (en) * 2002-09-20 2004-04-08 Amagasaki Tokuzaiken:Kk Coating method for holed inner member in vacuum processing device
JP2005285845A (en) * 2004-03-26 2005-10-13 Ibiden Co Ltd Gas-jetting board for plasma etching apparatus
JP2005531157A (en) * 2002-06-27 2005-10-13 ラム リサーチ コーポレーション Thermally sprayed yttria-containing coating for plasma reactors to improve productivity
JP2006245214A (en) * 2005-03-02 2006-09-14 Tokyo Electron Ltd Gas supply member and plasma processing apparatus
JP2007243020A (en) * 2006-03-10 2007-09-20 Hitachi High-Technologies Corp Plasma treatment device
JP2010034532A (en) * 2008-07-29 2010-02-12 Psk Inc Method for treating large-area substrate using hollow cathode plasma
JP2010045407A (en) * 2009-11-24 2010-02-25 Tokyo Electron Ltd Gas supply member and plasma processing apparatus
JP2010087009A (en) * 2008-09-29 2010-04-15 Kyocera Corp Etching apparatus
JP2012036487A (en) * 2010-08-11 2012-02-23 Toshiba Corp Yttria-containing film and forming method thereof, and semiconductor manufacturing apparatus and plasma treatment apparatus
JP2012057251A (en) * 2010-08-13 2012-03-22 Toshiba Corp Protective film, method for forming the same, apparatus for manufacturing semiconductor, and plasma treatment apparatus

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04316325A (en) * 1991-04-15 1992-11-06 Mitsubishi Electric Corp Plasma processing system
JPH08134667A (en) * 1994-11-02 1996-05-28 Mitsubishi Materials Corp Anode electrode plate for plasma etching
JPH11297672A (en) * 1998-04-09 1999-10-29 Tadahiro Omi Shower plate, shower plate peripheral structure, and processor
JP2005531157A (en) * 2002-06-27 2005-10-13 ラム リサーチ コーポレーション Thermally sprayed yttria-containing coating for plasma reactors to improve productivity
JP2004107783A (en) * 2002-09-20 2004-04-08 Amagasaki Tokuzaiken:Kk Coating method for holed inner member in vacuum processing device
JP2005285845A (en) * 2004-03-26 2005-10-13 Ibiden Co Ltd Gas-jetting board for plasma etching apparatus
JP2006245214A (en) * 2005-03-02 2006-09-14 Tokyo Electron Ltd Gas supply member and plasma processing apparatus
JP2007243020A (en) * 2006-03-10 2007-09-20 Hitachi High-Technologies Corp Plasma treatment device
JP2010034532A (en) * 2008-07-29 2010-02-12 Psk Inc Method for treating large-area substrate using hollow cathode plasma
JP2010087009A (en) * 2008-09-29 2010-04-15 Kyocera Corp Etching apparatus
JP2010045407A (en) * 2009-11-24 2010-02-25 Tokyo Electron Ltd Gas supply member and plasma processing apparatus
JP2012036487A (en) * 2010-08-11 2012-02-23 Toshiba Corp Yttria-containing film and forming method thereof, and semiconductor manufacturing apparatus and plasma treatment apparatus
JP2012057251A (en) * 2010-08-13 2012-03-22 Toshiba Corp Protective film, method for forming the same, apparatus for manufacturing semiconductor, and plasma treatment apparatus

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019207912A (en) * 2018-05-28 2019-12-05 東京エレクトロン株式会社 Upper electrode assembly, processing apparatus, and manufacturing method of upper electrode assembly
US11164726B2 (en) 2019-02-08 2021-11-02 Toshiba Memory Corporation Gas supply member, plasma processing apparatus, and method for forming coating film

Also Published As

Publication number Publication date
JP5389282B2 (en) 2014-01-15

Similar Documents

Publication Publication Date Title
JP5198611B2 (en) Gas supply member, plasma processing apparatus, and method for forming yttria-containing film
JP2014157944A (en) Gas supply member and plasma processing apparatus
JP5150217B2 (en) Shower plate and substrate processing apparatus
JP5665726B2 (en) Etching device and focus ring
TWI559357B (en) Electrode generation electrode and plasma processing device
JP4657824B2 (en) Substrate mounting table, substrate processing apparatus, and method for manufacturing substrate mounting table
JP7341216B2 (en) Mounting stand
US20110198034A1 (en) Gas distribution showerhead with coating material for semiconductor processing
US20110207332A1 (en) Thin film coated process kits for semiconductor manufacturing tools
KR101261706B1 (en) Substrate mounting table and method for manufacturing the same, and substrate processing apparatus
US20120247667A1 (en) Plasma treatment apparatus
JP6984126B2 (en) Manufacturing method of gas supply device, plasma processing device and gas supply device
JP2005033221A (en) Substrate mounting stand and processor
JP2010199596A (en) Low contamination plasma chamber component and method for making the same
JP2012057251A (en) Protective film, method for forming the same, apparatus for manufacturing semiconductor, and plasma treatment apparatus
US20160076129A1 (en) Component for plasma processing apparatus, and manufacturing method therefor
KR20160030812A (en) plasma processing equipment
TW201920715A (en) Thermal spraying method of component for plasma processing apparatus and component for plasma processing apparatus
TWI723031B (en) Plasma processing device and nozzle
JP2008251742A (en) Substrate treating apparatus, and substrate mounting base on which focus ring is mounted
JP2012036487A (en) Yttria-containing film and forming method thereof, and semiconductor manufacturing apparatus and plasma treatment apparatus
JP6469985B2 (en) Plasma processing equipment
JP5389282B2 (en) Gas supply member, plasma processing apparatus, and method for forming yttria-containing film
JP6573820B2 (en) Plasma processing apparatus member and plasma processing apparatus
WO2014097577A1 (en) Member for constituting discharge space, and method for regenerating same

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130201

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130821

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130910

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131008

LAPS Cancellation because of no payment of annual fees