JP2007115795A - Method of dry-cleaning rear surface of substrate and apparatus therefor - Google Patents

Method of dry-cleaning rear surface of substrate and apparatus therefor Download PDF

Info

Publication number
JP2007115795A
JP2007115795A JP2005303818A JP2005303818A JP2007115795A JP 2007115795 A JP2007115795 A JP 2007115795A JP 2005303818 A JP2005303818 A JP 2005303818A JP 2005303818 A JP2005303818 A JP 2005303818A JP 2007115795 A JP2007115795 A JP 2007115795A
Authority
JP
Japan
Prior art keywords
substrate
fluid
back surface
holding
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005303818A
Other languages
Japanese (ja)
Inventor
Masaharu Saikai
正治 西海
Seiichi Watanabe
成一 渡辺
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Hitachi High Tech Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp, Hitachi High Tech Corp filed Critical Hitachi High Technologies Corp
Priority to JP2005303818A priority Critical patent/JP2007115795A/en
Publication of JP2007115795A publication Critical patent/JP2007115795A/en
Pending legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a method of dry-cleaning the rear surface of a substrate by which foreign matters adhered to the rear surface and the bevel of a substrate can be removed, and to provide an apparatus therefor. <P>SOLUTION: A substrate 2 to be cleaned is conveyed into a container 4, and it is kept suspended in non-contact state. A fluid does not remain by vaporization or volatilization after discharging, and is applied onto the rear surface of the substrate. Foreign matters adhered to the rear surface of the substrate 2 are removed by hitting the fluid, and then, after the removal work, the substrate is taken out from the container. Therefore, the foreign matters adhered to the rear surface or the end of the substrate can be efficiently removed without generating readhesion or recontamination, or without producing dusts from a removing device, irrelevant to the properties of the adhered foreign matters. <P>COPYRIGHT: (C)2007,JPO&INPIT

Description

本発明は、半導体素子、あるいは液晶表示装置の製造に用いられる基板の裏面や端部のドライ洗浄に係り、プラズマ処理などを含む真空処理の過程で、当該基板の裏面や端部に付着した異物を、ドライ状態で除去する方法と装置に関する。   The present invention relates to dry cleaning of a back surface or an end portion of a substrate used for manufacturing a semiconductor element or a liquid crystal display device, and a foreign matter attached to the back surface or the end portion of the substrate in the course of vacuum processing including plasma processing. The present invention relates to a method and an apparatus for removing water in a dry state.

半導体ウエハの裏面及び端縁から付着物を除去する方法及び装置に関しては、特公平6−70273号公報(特許文献1)に示されるように、半導体ウエハの表面を、フェースプレートと称される上部電極に押し付けて、ウエハ裏面とサセプタと称される下部電極の間にプラズマを発生させて、ウエハの裏面及び端縁から付着物を除去する方法がある。しかし、この場合は、ウエハ表面を、プラズマが回り込まないようにした電極に押し付けることが必要となるため、その接触によってウエハ周辺の素子にダメージを与えたり、ウエハの押し当て時や引き剥がし時に異物発塵が生じる危険性を抱えていた。さらに、当該具体例で示されるように、ウエハを持ち上げて上部電極に押し当てるリフトピンが必要であり、プラズマ中にリフトピンが晒されることによる2次的な汚染や発塵の危険性を抱えていると同時に、リフトピンが接触する部分の付着異物の除去ができないという問題があった。   Regarding the method and apparatus for removing deposits from the back surface and edge of a semiconductor wafer, as shown in Japanese Patent Publication No. 6-70273 (Patent Document 1), the surface of the semiconductor wafer is an upper portion called a face plate. There is a method in which a plasma is generated between a wafer back surface and a lower electrode called a susceptor by pressing against the electrode to remove deposits from the wafer back surface and edge. However, in this case, it is necessary to press the wafer surface against an electrode that prevents the plasma from flowing in. Therefore, the contact may damage the elements around the wafer, or foreign matter may be generated when the wafer is pressed or peeled off. Had a risk of dust generation. Further, as shown in the specific example, a lift pin that lifts the wafer and presses it against the upper electrode is necessary, and there is a risk of secondary contamination and dust generation due to the lift pin being exposed to the plasma. At the same time, there is a problem in that the adhered foreign matter at the portion where the lift pin contacts cannot be removed.

また、特開平10−22276号公報(特許文献2)には、成膜時にウエハ裏面に付着した薄膜をエッチングによって除去することが開示されている。この場合は、プラズマ中に設けた熱遮蔽板とウエハ表面とを一定の隙間を持って保持し、ウエハ裏面に回り込んだプラズマでウエハ裏面をエッチングする構成となっている。しかし、この場合も、リフトピンでウエハを押し上げているため、特公平6−70273号公報(特許文献1)と同様の問題を抱え、かつ熱遮蔽板からの2次的な汚染や発塵の危険性も合せ持っていた。   Japanese Patent Laid-Open No. 10-22276 (Patent Document 2) discloses that a thin film adhering to the back surface of a wafer during film formation is removed by etching. In this case, the heat shielding plate provided in the plasma and the wafer surface are held with a certain gap, and the back surface of the wafer is etched by the plasma that wraps around the back surface of the wafer. However, in this case as well, since the wafer is pushed up by the lift pins, there is a problem similar to that of Japanese Patent Publication No. 6-70273 (Patent Document 1), and there is a risk of secondary contamination and dust generation from the heat shielding plate. He also had sex.

また、ウエハ裏面保持では無く、ウエハ端部を保持する形態としては、特開平11−
26431号公報(特許文献3)があるが、エッジ部を把持し、ウエハを回転させるために強い保持力が必要となり、エッジ部の欠け発生が懸念されると共に、エッジ部の付着異物をプラズマで除去できないという問題があった。
Further, as a form of holding the wafer edge instead of holding the wafer back surface, Japanese Patent Laid-Open No. 11-101.
No. 26431 (Patent Document 3), a strong holding force is required to grip the edge portion and rotate the wafer, and there is a concern about the occurrence of chipping in the edge portion. There was a problem that it could not be removed.

また、ウエハを非接触に保持するという技術は、特開2003−297901号公報
(特許文献4)に記載されている。本公報に記載されている非接触ウエハ保持機構は「ベルヌーイ効果」を利用したものであり、流速の速い場所と遅い場所で生じる圧力差でもってウエハを保持機構に吸い寄せる原理を使ったものである。ベルヌーイ効果を利用するためには、
(1)ウエハを一旦爪で持ち上げ、ウエハ保持面とその反対面(ウエハ全体)を同圧力状態にする。
(2)不活性ガスをウエハ中心部からウエハに平行になるように供給し、ウエハ外周に排出する。
(3)このとき、流路面積が中心部から外周部に拡大するため、ガス流速は中心部で速く、周辺部で遅くなる。このため、中心部から若干離れた場所での圧力がウエハ外周部や、ウエハの反対面に比べて相対的に低くなる。
(4)この圧力差でウエハを保持機構に吸い寄せる。
という手順で、ウエハを非接触保持することになる。
A technique for holding the wafer in a non-contact manner is described in Japanese Patent Laid-Open No. 2003-297901 (Patent Document 4). The non-contact wafer holding mechanism described in this publication uses the “Bernoulli effect” and uses the principle of sucking the wafer to the holding mechanism with a pressure difference generated between a place where the flow velocity is fast and a place where it is slow. is there. To use the Bernoulli effect,
(1) The wafer is once lifted with a nail, and the wafer holding surface and the opposite surface (the entire wafer) are brought to the same pressure state.
(2) An inert gas is supplied from the center of the wafer so as to be parallel to the wafer and discharged to the outer periphery of the wafer.
(3) At this time, since the flow path area expands from the central part to the outer peripheral part, the gas flow rate is fast in the central part and slow in the peripheral part. For this reason, the pressure at a location slightly away from the central portion is relatively lower than the outer peripheral portion of the wafer and the opposite surface of the wafer.
(4) The wafer is sucked to the holding mechanism by this pressure difference.
With this procedure, the wafer is held in a non-contact manner.

よって、初めにウエハを、その端部とは言え、機械的にチャッキングして持ち上げる必要があると考えられる。また、保持するための圧力差は、流路の断面積の変化が一定であるため、たとえガス流量を増加させても、圧力差の変化量はほとんど変わらない。高いガス流速を与えることは、ガス圧力の高いガスを供給することに他ならないため、ウエハを外に吹き飛ばす可能性がある。したがって、ウエハ保持力を可変にできない。本公報の技術は、ウエハの裏面洗浄に適用された技術ではないが、ウエハ保持力を可変に出来ない状態であれば、その洗浄流体の反力変化を微妙に調整することはできず、ウエハ裏面洗浄への適用は困難である。   Therefore, it is considered that the wafer needs to be mechanically chucked and lifted up, although it is the end portion. Moreover, since the change in the cross-sectional area of the flow path is constant, the amount of change in the pressure difference hardly changes even if the gas flow rate is increased. Since giving a high gas flow rate is nothing but supplying a gas having a high gas pressure, there is a possibility that the wafer is blown out. Therefore, the wafer holding force cannot be made variable. Although the technique of this publication is not a technique applied to wafer backside cleaning, if the wafer holding force cannot be made variable, the reaction force change of the cleaning fluid cannot be finely adjusted. Application to backside cleaning is difficult.

特公平6−70273号公報Japanese Patent Publication No. 6-70273 特開平10−22276号公報Japanese Patent Laid-Open No. 10-22276 特開平11−26431号公報JP-A-11-26431 特開2003−297901号公報JP 2003-297901 A

最近の半導体素子のパターンの縮小や液晶基板の大形化に伴い、例えば、半導体素子のドライエッチングにおいても、基板表面の付着異物が、0.16μm 以上の異物で10個/ウエハ以下が要求されるようになってきている。この理由は、付着異物が加工におけるマスクになったり、基板上に残留して配線間のショートを引き起こしたりと、製品歩留まりを低下させたりする大きな要因になっているからである。また、歩留まり低下を防止するために、異物除去のための頻繁な洗浄作業を必要とするために生産性の低下を惹起する要因も作り込んでいる。さらに、異物付着に関しては基板の表面だけでは無く、裏面や、基板の端部(ベベル部と称される)においても、処理後に基板へ付着する異物の数量の上限値が次第に小さくなってきている。その理由は、基板の収納容器の中や、後処理として実施されるウエット洗浄の中でのクロスコンタミネーションによる基板の裏面やベベル部の付着異物の基板表面への転移の問題があるからである。   With the recent reduction of semiconductor device patterns and the increase in size of liquid crystal substrates, for example, even in dry etching of semiconductor devices, the number of foreign particles adhered to the substrate surface is required to be 0.16 μm or more and 10 wafers or less. It is becoming. This is because the adhered foreign matter becomes a mask in processing, or remains on the substrate to cause a short circuit between wirings, which is a major factor in reducing the product yield. In addition, in order to prevent a decrease in yield, a frequent cleaning operation for removing foreign matters is required, and therefore a factor that causes a decrease in productivity is incorporated. Further, regarding the adhesion of foreign substances, the upper limit value of the number of foreign substances adhering to the substrate after processing is gradually decreasing not only on the front surface of the substrate but also on the back surface and the end portion of the substrate (referred to as a bevel portion). . The reason is that there is a problem of transfer of foreign matter adhered to the back surface or bevel of the substrate due to cross-contamination in the substrate storage container or during wet cleaning performed as a post-treatment. .

したがって、基板の裏面やベベル部の付着異物の除去も必須となるが、従来技術においては、基板の裏面をリフトピンで保持したり、基板の外周部を保持したりと、接触構造のサポート機構を必要とし、それらが2次的な汚染や発塵を引き起こすという問題を抱えていた。   Therefore, it is indispensable to remove the adhered foreign substances on the back surface and bevel portion of the substrate, but in the conventional technology, the back surface of the substrate is held by a lift pin, the outer periphery portion of the substrate is held, and a support mechanism for a contact structure is provided. Needed and had the problem of causing secondary contamination and dusting.

また、従来技術においては、具体的な除去手段としてはプラズマを利用して、基板の裏面やベベル部の付着異物を除去するというものであった。しかし、プラズマで付着異物を除去する場合において、付着異物が有機物である場合は、酸素プラズマ等での酸化作用で除去することも可能であるが、付着異物が無機物である場合は、プラズマで気化しやすい物質に変換する必要があり、基板に加工された材料によっては、使用するガスの選択が困難であった。   Further, in the prior art, as a specific removing means, plasma is used to remove adhered foreign substances on the back surface or bevel portion of the substrate. However, when removing adhered foreign matter with plasma, if the adhered foreign matter is organic, it can be removed by oxidation with oxygen plasma or the like. However, if the attached foreign matter is inorganic, it can be removed with plasma. It is necessary to convert the substance into a substance that can be easily converted, and depending on the material processed into the substrate, it is difficult to select a gas to be used.

また、基板そのものをエッチングして基板物質の気化する力で付着物質を除去することも適用されているが、基板そのものを加工してしまうという問題があった。さらには、この場合に付着異物がマスクとなって、異物は除去できたが、基板の異物が付着していた部分がエッチングの作用で凸部形状に残り、基板の面板異物検査装置での異物検出において、付着異物なのか、基板の凸部なのかを見分けられず、付着異物の除去効果を検証しにくいという課題も残っていた。   In addition, although the substrate itself is etched to remove the adhering substance with the force of vaporizing the substrate substance, there is a problem that the substrate itself is processed. Further, in this case, the adhered foreign matter was used as a mask, and the foreign matter could be removed, but the portion where the foreign matter adhered to the substrate remained in the convex shape due to the etching, and the foreign matter in the substrate face plate foreign matter inspection apparatus In the detection, it is difficult to determine whether the foreign matter is an attached foreign matter or a convex portion of the substrate, and it is difficult to verify the effect of removing the attached foreign matter.

本発明の目的は、上記の課題を解決し、簡単な構造でもって、基板の裏面とベベル部への付着異物を除去することのできる基板裏面のドライ洗浄方法とその装置を提供することである。   SUMMARY OF THE INVENTION An object of the present invention is to provide a dry cleaning method and apparatus for a back surface of a substrate that can solve the above-described problems and can remove foreign substances adhering to the back surface and bevel portion of the substrate with a simple structure. .

本発明の特徴的手段の1つとして、基板を大気圧前後の圧力で、清浄な空気のダウンフロー流の中に置くことで、除去した基板裏面やベベル部の付着異物の当該基板への再付着を防止する。   As one of the characteristic means of the present invention, the substrate is placed in a downflow flow of clean air at a pressure around atmospheric pressure, so that the removed foreign substance on the back surface or bevel portion of the substrate is re-applied to the substrate. Prevent adhesion.

そして、2つめの手段としては、基板の表面を非接触の保持装置で支え、リフトピンなどの接触による不都合を解消するものである。   As a second means, the surface of the substrate is supported by a non-contact holding device, and the inconvenience due to contact with lift pins or the like is eliminated.

さらに、3つめの手段として、基板裏面やベベル部に物理的、及び熱的な作用を与えることのできる流体を当てることである。このことによって、付着異物が有機物や無機物などの性状に拘わらずに、また、基板そのものを傷付けたりすることが無い状態で、基板裏面の付着異物を除去することができるようになる。そして、この流体によって、例えば、流体中の固体が付着異物に直接当ることによる衝撃作用,固体が基板に当って液化する際の熱的作用、また、液化した流体が微少異物に凝集する作用,流体中の液体が直接微少異物に当って凝集する作用,凝集して大きな異物となったものに固体が直接当る作用,流体中の気体がその凝集異物に直接当る作用などが組合さった状態が生み出される。   A third means is to apply a fluid capable of giving a physical and thermal action to the back surface and bevel portion of the substrate. This makes it possible to remove the adhered foreign matter on the back surface of the substrate regardless of the nature of the organic matter, inorganic matter, etc., and without damaging the substrate itself. And, by this fluid, for example, an impact action by the solid in the fluid directly hitting the adhered foreign substance, a thermal action when the solid hits the substrate and liquefies, an action in which the liquefied fluid agglomerates into a fine foreign substance, A combination of the action of a liquid in a fluid directly agglomerating against a minute foreign substance, the action of a solid directly against the agglomerated large foreign substance, and the action of a gas in the fluid directly against the agglomerated foreign substance are combined. It is.

4つめの手段としては、基板裏面やベベル部に当てる流体に、気体と、処理後は気化や揮発によって残留することの無い固体や、液体の内の単独、あるいはそれらの組合せからなる流体を用いることである。それらには、例えば、気体に気化性の固体を混合させた気固体、または揮発性の液体に気化性の固体を混合させた液固体からなる流体などが適用できる。このことで、基板裏面の付着異物を除去した流体が、基板や、基板を収納する容器内に残留すること無く、容器外に排出できて、流体による2次汚染を惹起することも解消できる。   As a fourth means, as the fluid applied to the back surface of the substrate or the bevel portion, a gas, a solid that does not remain after vaporization or volatilization after processing, a fluid consisting of a single liquid, or a combination thereof, are used. That is. For example, a gas-solid obtained by mixing a vaporizable solid with a gas or a fluid formed by mixing a vaporizable solid with a volatile liquid can be used. As a result, the fluid from which the adhering foreign matter on the back surface of the substrate has been removed can be discharged out of the container without remaining in the substrate or the container that accommodates the substrate, and secondary contamination by the fluid can be eliminated.

本発明によれば、真空処理にて基板の裏面やベベル部に付着した異物を、再付着や再汚染を起こすことも無く、また、除去装置からの発塵も無しに、かつ、付着異物の性状に無関係に効率的に除去することが可能となり、半導体素子や液晶表示装置の歩留まりや生産性を向上させることができる。   According to the present invention, the foreign matter adhering to the back surface or bevel portion of the substrate by vacuum processing does not cause re-adhesion or re-contamination, no dust is generated from the removing device, and It can be efficiently removed regardless of properties, and the yield and productivity of semiconductor elements and liquid crystal display devices can be improved.

基板の付着異物は一般に、静電気による吸着力,分子間結合力,化学的結合力、もしくは、基板内への拡散力によるとされる。基板裏面の付着異物の除去に関しては、現在、ウエット洗浄が主流となっており、例えば、純水流中での回転ブラシによるスクラブ処理が適用されている。ウエット処理の利点は洗浄水によって静電気力をキャンセルできることと、回転ブラシの接触による分子間結合力のキャンセル、並びに、洗浄液を選択することによって化学的結合力と拡散力のキャンセルが可能なことである。しかし、ウエット洗浄は洗浄後の乾燥が必要なことや、洗浄液の後処理なども必要であり、付帯設備も含めて高価格な装置となっている。   Generally, foreign substances adhering to the substrate are considered to be due to adsorption force due to static electricity, intermolecular bonding force, chemical bonding force, or diffusion force into the substrate. Currently, wet cleaning is the mainstream for removing foreign substances adhering to the back surface of a substrate. For example, scrub treatment with a rotating brush in a pure water flow is applied. The advantage of wet treatment is that electrostatic force can be canceled by washing water, intermolecular bonding force can be canceled by contact with a rotating brush, and chemical bonding force and diffusion force can be canceled by selecting a cleaning solution. . However, wet cleaning requires drying after cleaning and after-treatment of the cleaning liquid, and is an expensive device including incidental facilities.

その理由で、ウエット処理をドライ処理へと転換することが望まれている。ドライ処理の1形態であるプラズマを用いた場合の欠点は上記で述べた通りであるが、分子間結合力や化学的結合力や拡散にて付着している異物の除去効果も大きく無い。   For that reason, it is desired to convert the wet process into a dry process. The disadvantages of using plasma, which is one form of dry treatment, are as described above, but the effect of removing foreign substances attached by intermolecular bonding force, chemical bonding force and diffusion is not great.

そこで本発明では、非接触で基板表面を保持して、当該基板の裏面とベベル部をドライ洗浄することとした。以下、実施例を示しながら、その発明内容を説明する。   Therefore, in the present invention, the substrate surface is held in a non-contact manner, and the back surface and the bevel portion of the substrate are dry cleaned. The invention will be described below with reference to examples.

図1は本発明の装置概略図である。容器4の中に、基板2を非接触で吊り下げる形で保持する基板ホルダ1と、基板2の裏面に対して洗浄用の流体を噴出するための流体噴出ノズル3を収納している。流体噴出ノズル3は、水平方向に移動可能である。容器4の上部には、クリーンエアーを吸い込み吐き出すファン5が設けられており、ファン5の下にはフィルタ6が設けられる。容器4の下部には、排気筒7が設けられて、流下してきたクリーンエアーを容器4外に排出する。排出されたクリーンエアーはダクトによって容器4の上部に戻され循環しても良い。排気筒7には、除去された異物を吸着するフィルタや、使用された流体の再使用を目的とした吸着装置を設けることができる。容器4の中間部には、基板2の搬入搬出のための開口部8があり、基板2の出し入れに対応して、ゲートを開閉する開閉機構9を備える。なお、基板2の出し入れには、図示していないが、搬送ロボットによる搬送アームを用い、基板2の裏面を保持して基板ホルダ1の直下まで搬送する。   FIG. 1 is a schematic view of the apparatus of the present invention. The container 4 contains a substrate holder 1 that holds the substrate 2 in a non-contact manner and a fluid ejection nozzle 3 that ejects a cleaning fluid to the back surface of the substrate 2. The fluid ejection nozzle 3 is movable in the horizontal direction. A fan 5 that sucks and discharges clean air is provided above the container 4, and a filter 6 is provided below the fan 5. An exhaust cylinder 7 is provided at the lower part of the container 4, and clean air that has flowed down is discharged out of the container 4. The discharged clean air may be returned to the upper part of the container 4 by a duct and circulated. The exhaust tube 7 can be provided with a filter that adsorbs the removed foreign matter and an adsorption device for reusing the used fluid. An intermediate portion of the container 4 has an opening 8 for loading and unloading the substrate 2, and includes an opening / closing mechanism 9 that opens and closes the gate in response to loading and unloading of the substrate 2. Although not shown, the substrate 2 is carried in and out of the substrate 2 by using a transfer arm by a transfer robot, holding the back surface of the substrate 2 and directly under the substrate holder 1.

図2に基板ホルダ1の概略構造を示す。基板ホルダ1には、基板2の表面に対応した平面部を備えており、その面内の複数箇所に、クリーンエアーの供給口11と、その供給口11に対応して周囲を取り囲む形態で、吸引口12が設けられた基板保持モジュラー23が配置される。この基板保持モジュラー23は平面部内に3ケ所以上で同心円状に等間隔で配置され、ネジ止めなどで固定されることが望ましい。供給口11にはクリーンエアーの供給装置15によって加圧エアーが個別に供給され、吸引口12は、真空排気装置21に連結されて、供給口11から供給されたクリーンエアーを部分的に個別に吸引,排気する。この、供給圧と吸気圧と、基板端部から容器4内に流出するクリーンエアーの圧力バランスを適切に保つことによって基板2を搬送アームから受け取りつつ、非接触で浮遊させながら保持することができる。   FIG. 2 shows a schematic structure of the substrate holder 1. The substrate holder 1 is provided with a flat portion corresponding to the surface of the substrate 2, and in a form surrounding the periphery corresponding to the supply port 11 and a supply port 11 of clean air at a plurality of locations in the surface, A substrate holding modular 23 provided with a suction port 12 is disposed. It is desirable that the substrate holding modulars 23 be arranged concentrically at equal intervals at three or more locations in the plane portion and fixed by screws or the like. Pressurized air is individually supplied to the supply port 11 by a clean air supply device 15, and the suction port 12 is connected to the vacuum exhaust device 21, and the clean air supplied from the supply port 11 is partially and individually supplied. Suction and exhaust. By appropriately maintaining the supply pressure, the intake pressure, and the pressure balance of clean air flowing out from the end of the substrate into the container 4, the substrate 2 can be held while being floated in a non-contact manner while being received from the transfer arm. .

なお、基板ホルダ1には、基板2との空間ギャップを計測するギャップセンサー13が平面部の外周部近傍に複数箇所に設けられており、これらの計測値を基に、制御装置20が、基板保持モジュラー23の供給口11と吸引口12との圧力バランスを調整して空間ギャップを一定の範囲に収まるように制御する。さらに、基板2の最外周部の位置検出のための位置センサー14が基板ホルダ1に複数個設けられ、基板2が基板ホルダ1から外れないように位置制御すると共に、基板2の保持位置の確認を行う。   The substrate holder 1 is provided with gap sensors 13 for measuring a spatial gap with the substrate 2 at a plurality of locations in the vicinity of the outer peripheral portion of the plane portion. Based on these measured values, the control device 20 The pressure gap between the supply port 11 and the suction port 12 of the holding modular 23 is adjusted to control the space gap to be within a certain range. Further, a plurality of position sensors 14 for detecting the position of the outermost peripheral portion of the substrate 2 are provided on the substrate holder 1 to control the position so that the substrate 2 is not detached from the substrate holder 1 and to confirm the holding position of the substrate 2. I do.

ギャップセンサ13には例えば容量式センサーが用いられ、位置センサー14には光学式センサーが用いられる。   For example, a capacitive sensor is used as the gap sensor 13, and an optical sensor is used as the position sensor 14.

これらの空間ギャップと基板位置の制御により、基板2は基板ホルダ1の中で非接触で保持される。そこに、流体噴出ノズル3を用いて、流体供給装置22で生成された、例えば、気体に気化性の固体を混合させた気固体、または、揮発性の液体に気化性の固体を混合させた液固体から流体の中の1つ、乃至、それらの組合せを用いた流体を、基板2の裏面やベベル部に当てて、それらに付着した異物に物理的及び熱的な作用を加える。このことにより、基板2の裏面やベベル部に付着した異物で、静電気力をもって付着しているものや、分子間結合力をもって付着しているものを除去することができる。なお、用いられる流体としては、気体や、処理後は気化や揮発によって残留することの無い固体や、液体の内の単独であっても、それらの組合せであっても良いことは言うまでも無い。   By controlling the space gap and the substrate position, the substrate 2 is held in the substrate holder 1 in a non-contact manner. Then, using the fluid ejection nozzle 3, for example, a gas solid produced by mixing the vaporizable solid into the gas, or a vaporizable solid mixed with the volatile liquid was used. A fluid using one or a combination of fluids from a liquid solid is applied to the back surface or bevel portion of the substrate 2 to apply a physical and thermal action to the foreign matter attached thereto. As a result, foreign substances adhering to the back surface or bevel portion of the substrate 2 can be removed with an electrostatic force or with an intermolecular bonding force. Needless to say, the fluid used may be a gas, a solid that does not remain after vaporization or volatilization after treatment, or a liquid alone or a combination thereof. .

また、液体を用いても、液体は揮発性を持つものを使用するため、噴出ノズル3から放出されたときに、その一部は気体となっている可能性が高いし、断熱膨張による冷却効果によってその一部が固体化して、それらが混ざることもある。   In addition, even if a liquid is used, since the liquid is volatile, it is highly possible that a part of the liquid is a gas when discharged from the ejection nozzle 3, and a cooling effect by adiabatic expansion. May solidify some of them and mix them.

これらの固体,液体,気体については、個体源としては二酸化炭素,純水,アルゴンなどの冷却固体で、常温,常圧下、あるいは高温,常圧下、あるいは常温,減圧下、もしくは高温,減圧下、で気化するものが選択される。高温化には、ダウンフローのクリーンエアをヒーターなどで加熱することが選択できる。また、軽い減圧には、排気筒7部を真空排気することで対応できる。この場合は、排気筒7を含め外気に対するシール効果を高めておくことは言うまでもない。液体源としては、液化二酸化炭素,液化アルゴンや、純水などの流体があり、気体に関しては、クリーンエアーや、窒素ガス,アルゴンなどの不活性ガスがある。   For these solids, liquids and gases, solid sources such as carbon dioxide, pure water, argon and other cooling solids, normal temperature, normal pressure, high temperature, normal pressure, normal temperature, reduced pressure, high temperature, reduced pressure, The one that vaporizes is selected. For increasing the temperature, it is possible to select heating the downflow clean air with a heater or the like. Further, light pressure reduction can be dealt with by evacuating the exhaust cylinder 7 part. In this case, it goes without saying that the sealing effect against the outside air including the exhaust pipe 7 is enhanced. As the liquid source, there are fluids such as liquefied carbon dioxide, liquefied argon, and pure water. Regarding the gas, there are clean air, inert gas such as nitrogen gas, and argon.

一般にドライ状態では基板2と噴出ノズル3から放出された流体との間や、噴出ノズル3から放出された流体に含まれる粒子間の摩擦によって静電気が発生するが、この場合は静電気除去装置の併設が望ましい。さらに、空気中の水分の結露による基板2へのウオーターマーク等の発生の問題もあり、容器4内の露点管理も必要となる。   In general, static electricity is generated between the substrate 2 and the fluid discharged from the ejection nozzle 3 or friction between particles contained in the fluid ejected from the ejection nozzle 3 in the dry state. Is desirable. Furthermore, there is a problem of generation of a water mark or the like on the substrate 2 due to condensation of moisture in the air, and dew point management in the container 4 is also necessary.

また、流体噴出ノズル3は容器4の外に配置されたX−Yステージ10とその制御装置20によって、基板2のベベル部や裏面全体に満遍無く噴出流体を当てることができるように可動可能に配置される。   Further, the fluid ejection nozzle 3 can be moved by the XY stage 10 disposed outside the container 4 and its control device 20 so that the ejection fluid can be uniformly applied to the bevel portion and the entire back surface of the substrate 2. Placed in.

しかし、流体噴出ノズル3の流体によって基板2が反力を受け、基板2が基板ホルダ1に衝突する可能性が出て来る。このため、基板ホルダ1と基板2との空間ギャップの変動を、ギャップセンサ13が捉えて、制御装置20により、基板保持モジュラー23の供給口11のクリーンエアーの加圧と、吸引口12の真空排気による圧力バランスでもって生じるエアークッション効果で、その反力を吸収する。流体噴出ノズル3の動きによって基板2に当る流体の反力が変動するが、複数個所に設けられた基板保持モジュラー23によって、例えば1ケ所はエアークッション効果を強め、他の個所は基板2の保持効果を強めるなどの制御を行えば、反力の変動に応じられ、しかも基板2を落下させることも無い。即ち、本発明の構成では、複数設けた基板保持モジュラー23を独立して制御することにより、ガス供給と真空排気によるバランス力を調節することで、基板2の非接触保持を実現している。   However, there is a possibility that the substrate 2 receives a reaction force due to the fluid of the fluid ejection nozzle 3 and the substrate 2 collides with the substrate holder 1. For this reason, the gap sensor 13 captures a change in the spatial gap between the substrate holder 1 and the substrate 2, and the control device 20 pressurizes clean air at the supply port 11 of the substrate holding modular 23 and vacuums the suction port 12. The reaction force is absorbed by the air cushion effect generated by the pressure balance due to exhaust. Although the reaction force of the fluid striking the substrate 2 varies depending on the movement of the fluid ejection nozzle 3, the substrate holding modular 23 provided at a plurality of locations, for example, strengthens the air cushion effect at one location and holds the substrate 2 at other locations. If control such as enhancing the effect is performed, it is possible to respond to fluctuations in the reaction force, and the substrate 2 is not dropped. In other words, in the configuration of the present invention, the substrate 2 is held in a non-contact manner by controlling the balance force by gas supply and vacuum evacuation by independently controlling the plurality of substrate holding modulars 23 provided.

ドライ洗浄後は、基板2の直下に図示しない搬送アームを差し入れて、基板ホルダ1の吸引力を弱めることにより、搬送アーム上に基板2を移し換え、容器4外に搬出する。   After dry cleaning, a transfer arm (not shown) is inserted directly under the substrate 2 to weaken the suction force of the substrate holder 1, thereby transferring the substrate 2 onto the transfer arm and carrying it out of the container 4.

本発明の装置構成を示す縦断面図である。It is a longitudinal cross-sectional view which shows the apparatus structure of this invention. 本発明の基板ホルダー部分の縦断面図である。It is a longitudinal cross-sectional view of the substrate holder part of this invention.

符号の説明Explanation of symbols

1…基板ホルダ、2…基板、3…流体噴出ノズル、4…容器、5…ファン、6…フィルタ、7…排気筒、8…開口部、9…開閉機構、10…X−Yステージ、11…供給口、
12…吸引口、13…ギャップセンサー、14…位置センサー、15…クリーンエアー供給装置、20…制御装置、21…真空排気装置、22…流体供給装置、23…基板保持モジュラー。

DESCRIPTION OF SYMBOLS 1 ... Substrate holder, 2 ... Substrate, 3 ... Fluid ejection nozzle, 4 ... Container, 5 ... Fan, 6 ... Filter, 7 ... Exhaust tube, 8 ... Opening part, 9 ... Opening / closing mechanism, 10 ... XY stage, 11 ... supply port,
DESCRIPTION OF SYMBOLS 12 ... Suction port, 13 ... Gap sensor, 14 ... Position sensor, 15 ... Clean air supply apparatus, 20 ... Control apparatus, 21 ... Vacuum exhaust apparatus, 22 ... Fluid supply apparatus, 23 ... Substrate holding modular.

Claims (3)

被洗浄対象の基板を容器内に搬送し、前記基板を非接触に吊り下げて保持し、前記基板の裏面に、放出後は気化や揮発によって残留することの無い流体を放出し、前記流体を当てることで前記基板の裏面に付着する異物を除去し、
当該除去作業後に前記基板を前記容器から搬出することを特徴とする基板裏面のドライ洗浄方法。
The substrate to be cleaned is transported into a container, the substrate is suspended and held in a non-contact manner, and a fluid that does not remain after vaporization or volatilization is released to the back surface of the substrate. By removing the foreign matter adhering to the back surface of the substrate,
A dry cleaning method for a back surface of a substrate, wherein the substrate is carried out of the container after the removing operation.
被洗浄対象の基板を気体の供給と吸引のバランスにより非接触で保持する基板保持手段と、前記基板の裏面に異物除去のための流体を放出する流体供給手段と、前記基板保持手段と前記流体供給手段を制御する制御手段を備えた基板裏面ドライ洗浄装置であって、
前記基板保持手段は、前記基板に対して気体を噴出する供給口と、当該供給口の周囲に設けられた前記気体を吸引する吸引口とからなる基板保持モジュラーを複数備え、
前記流体供給手段は、放出後は気化や揮発によって残留することの無い流体を基板裏面に対して放出する流体噴出ノズルと、当該流体噴出ノズルを前記基板平面と平行な面上で移動させるノズル移動手段を備え、
前記制御手段は、前記複数の基板保持モジュラーの気体供給と吸引の状態を、各基板保持モジュラー毎に独立して制御し、且つ前記ノズル移動手段を移動して基板裏面の全面に前記流体を当てるように制御することを特徴とする基板裏面ドライ洗浄装置。
Substrate holding means for holding the substrate to be cleaned in a non-contact manner by a balance between gas supply and suction, fluid supply means for releasing a fluid for removing foreign substances on the back surface of the substrate, the substrate holding means, and the fluid A substrate back surface dry cleaning apparatus provided with a control means for controlling a supply means,
The substrate holding means includes a plurality of substrate holding modulars including a supply port for ejecting gas to the substrate and a suction port for sucking the gas provided around the supply port,
The fluid supply means includes a fluid ejection nozzle that ejects a fluid that does not remain after vaporization or volatilization to the back surface of the substrate, and a nozzle movement that moves the fluid ejection nozzle on a plane parallel to the substrate plane. With means,
The control means controls the gas supply and suction states of the plurality of substrate holding modulars independently for each substrate holding modular, and moves the nozzle moving means to apply the fluid to the entire back surface of the substrate. The substrate back surface dry cleaning apparatus is characterized by being controlled as follows.
請求項2において、
前記基板保持手段は、前記基板との距離を測定するためのギャップセンサーと、前記基板の最外周部の位置を検出する位置センサーが備えられることを特徴とする基板裏面ドライ洗浄装置。
In claim 2,
The substrate backside dry cleaning apparatus, wherein the substrate holding means includes a gap sensor for measuring a distance from the substrate and a position sensor for detecting a position of an outermost peripheral portion of the substrate.
JP2005303818A 2005-10-19 2005-10-19 Method of dry-cleaning rear surface of substrate and apparatus therefor Pending JP2007115795A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2005303818A JP2007115795A (en) 2005-10-19 2005-10-19 Method of dry-cleaning rear surface of substrate and apparatus therefor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005303818A JP2007115795A (en) 2005-10-19 2005-10-19 Method of dry-cleaning rear surface of substrate and apparatus therefor

Publications (1)

Publication Number Publication Date
JP2007115795A true JP2007115795A (en) 2007-05-10

Family

ID=38097730

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005303818A Pending JP2007115795A (en) 2005-10-19 2005-10-19 Method of dry-cleaning rear surface of substrate and apparatus therefor

Country Status (1)

Country Link
JP (1) JP2007115795A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013046642A1 (en) * 2011-09-29 2013-04-04 東京エレクトロン株式会社 Deposit removal method
KR20130110020A (en) * 2012-03-27 2013-10-08 가부시키가이샤 소쿠도 Substrate cleaning apparatus and substrate processing apparatus including the substrate cleaning apparatus
US9126229B2 (en) 2011-05-11 2015-09-08 Tokyo Electron Limited Deposit removal method

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9126229B2 (en) 2011-05-11 2015-09-08 Tokyo Electron Limited Deposit removal method
WO2013046642A1 (en) * 2011-09-29 2013-04-04 東京エレクトロン株式会社 Deposit removal method
US9177816B2 (en) 2011-09-29 2015-11-03 Tokyo Electron Limited Deposit removal method
KR20130110020A (en) * 2012-03-27 2013-10-08 가부시키가이샤 소쿠도 Substrate cleaning apparatus and substrate processing apparatus including the substrate cleaning apparatus
KR101895630B1 (en) * 2012-03-27 2018-09-05 가부시키가이샤 스크린 세미컨덕터 솔루션즈 Substrate cleaning apparatus and substrate processing apparatus including the substrate cleaning apparatus

Similar Documents

Publication Publication Date Title
JPWO2006038472A1 (en) Substrate processing apparatus and substrate processing method
JP2006114884A (en) Substrate cleaning processing apparatus and substrate processing unit
JP2008147591A (en) Apparatus and method for manufacturing semiconductor
JP5775339B2 (en) Substrate processing equipment
JP5740550B2 (en) Peeling apparatus, peeling system, peeling method, program, and computer storage medium
JP4807528B2 (en) Apparatus and method for draining and drying liquid on one or more wafers
US7635417B2 (en) Semiconductor apparatus and cleaning unit thereof
KR100900594B1 (en) Substrate processing system, substrate processing method, and storage medium
WO2012093610A1 (en) Peeling system, peeling method, and computer storage medium
JP2008060299A (en) Substrate treating device and method
JP2007115795A (en) Method of dry-cleaning rear surface of substrate and apparatus therefor
JP2010114123A (en) Substrate processing apparatus and method
JP2010118498A (en) Method for processing substrate and substrate processing equipment
JP2003152060A (en) Substrate holder
JP2015202997A (en) Substrate, substrate production system, peeling device, substrate production method and peeling method
JP5191254B2 (en) Substrate processing apparatus and substrate processing method
JP2007317802A (en) Apparatus and method of dry-processing substrate
JP3098494B2 (en) Apparatus and method for cleaning substrate
US20090217950A1 (en) Method and apparatus for foam-assisted wafer cleaning
KR100875881B1 (en) Dry cleaning apparatus for semiconductor wafer
JP2017118049A (en) Substrate processing apparatus, substrate processing method and storage medium
WO2024009775A1 (en) Substrate processing device and substrate processing method
JP4337589B2 (en) Semiconductor wafer surface treatment equipment
JP5717803B2 (en) Peeling system, peeling method, program, and computer storage medium
JP2012049247A (en) Single wafer processing cleaning equipment