JP2007073541A - Vacuum processing device - Google Patents

Vacuum processing device Download PDF

Info

Publication number
JP2007073541A
JP2007073541A JP2005255293A JP2005255293A JP2007073541A JP 2007073541 A JP2007073541 A JP 2007073541A JP 2005255293 A JP2005255293 A JP 2005255293A JP 2005255293 A JP2005255293 A JP 2005255293A JP 2007073541 A JP2007073541 A JP 2007073541A
Authority
JP
Japan
Prior art keywords
vacuum
chamber
vacuum processing
exhaust
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005255293A
Other languages
Japanese (ja)
Other versions
JP5078243B2 (en
Inventor
Akihiko Shimura
昭彦 志村
Hiroshi Kondo
裕志 近藤
Hiroki Nabeyama
裕樹 鍋山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2005255293A priority Critical patent/JP5078243B2/en
Priority to CNB2006101289137A priority patent/CN100463105C/en
Priority to KR1020060084292A priority patent/KR100810804B1/en
Priority to CN2008101857774A priority patent/CN101441995B/en
Priority to TW095132459A priority patent/TWI398921B/en
Publication of JP2007073541A publication Critical patent/JP2007073541A/en
Application granted granted Critical
Publication of JP5078243B2 publication Critical patent/JP5078243B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover

Abstract

<P>PROBLEM TO BE SOLVED: To provide a vacuum processing device which can prevent mixing of moisture into a vacuum processing chamber certainly. <P>SOLUTION: Gate valves 30a and 30b having valve elements 31a and 31b, respectively, are arranged doubly between a vacuum processing chamber 10 and a load lock chamber 20. The load lock chamber 20 is connected with three exhaust pipes 21, 22 and 23 having different conductances which are connected with a vacuum pump 60 through open/close valves 62, 63 and 64, respectively. An N<SB>2</SB>gas supply 26 for introducing N<SB>2</SB>gas into the load lock chamber 20 is also connected. <P>COPYRIGHT: (C)2007,JPO&INPIT

Description

本発明は、真空処理技術に関し、特に、液晶表示装置(LCD)やプラズマディスプレイ等に代表されるFPD(フラットパネルディスプレイ)用のガラス基板等に対し、ドライエッチング等の真空処理を施す真空処理装置等に対して有効に適用可能な技術に関する。   The present invention relates to a vacuum processing technique, and in particular, a vacuum processing apparatus for performing vacuum processing such as dry etching on a glass substrate for an FPD (flat panel display) represented by a liquid crystal display (LCD), a plasma display, or the like. The present invention relates to a technique that can be effectively applied to the above.

例えば、LCD製造プロセスにおいては、被処理基板であるLCDガラス基板に対して、ドライエッチングやスパッタリング、CVD(化学気相成長)等の真空処理が多用されている。   For example, in an LCD manufacturing process, vacuum processing such as dry etching, sputtering, and CVD (chemical vapor deposition) is frequently used for an LCD glass substrate that is a substrate to be processed.

このような真空処理を行う真空処理装置においては、真空に保持されて上記処理を行う真空処理室(プロセスモジュール)に隣接して真空予備室が設けられており、被処理基板の搬入出時に真空処理室内の雰囲気変動を極力小さくする構造となっている。   In a vacuum processing apparatus that performs such vacuum processing, a vacuum preparatory chamber is provided adjacent to a vacuum processing chamber (process module) that is held in a vacuum and performs the above processing, and a vacuum is provided when a substrate to be processed is carried in and out. It has a structure that minimizes atmospheric fluctuations in the processing chamber.

具体的には、例えば、大気側に配置されたカセットとエッチング処理等を行う真空処理室との間に、真空予備室として、大気側と真空側とのインターフェイスの役割を有するロードロック室が設けられている。   Specifically, for example, a load lock chamber having a role of an interface between the atmosphere side and the vacuum side is provided as a vacuum preparatory chamber between a cassette disposed on the atmosphere side and a vacuum processing chamber for performing an etching process or the like. It has been.

このロードロック室では、被処理基板の通過の都度、大気開放状態と、真空処理室と同等の高真空までの排気と、が繰り返される。このため、ロードロック室と常時真空状態が維持される真空処理室との間には、両室の気密性を確保すべく、ゲートバルブが配備されている(例えば、特許文献1)。   In this load lock chamber, every time the substrate to be processed passes, the air release state and the exhaust to a high vacuum equivalent to the vacuum processing chamber are repeated. For this reason, a gate valve is provided between the load lock chamber and the vacuum processing chamber in which a vacuum state is always maintained to ensure airtightness of both chambers (for example, Patent Document 1).

しかし、ゲートバルブによる気密性が十分でない場合、大気開放状態のロードロック室側から高真空状態の真空処理室内に大気中の水分が漏れ込む場合がある。真空処理室内に水分が混入すると、例え微量であっても、エッチングや成膜などの真空処理に悪影響を与え、製造されるFPD等の製品の信頼性を低下させる懸念があった。   However, when the airtightness due to the gate valve is not sufficient, moisture in the atmosphere may leak into the vacuum processing chamber in the high vacuum state from the load lock chamber side in the atmosphere open state. When moisture is mixed into the vacuum processing chamber, there is a concern that even if it is in a very small amount, it adversely affects the vacuum processing such as etching and film formation, thereby reducing the reliability of manufactured products such as FPD.

また、従来のゲートバルブ構造として、真空処理室と搬出入用真空室(ロードロック室)との気密性を確保するために、可動体の両側に、各室の開口部をそれぞれ封止する一対の弁体を配備したゲートバルブも提案されている(例えば、特許文献2)。しかし、特許文献2のゲートバルブは、前記可動体から、大気側の搬入出用真空室の開口部と真空処理室の開口部の両方に向けて正反対の方向に各弁体を移動させることにより両開口部を同時に封止する構造であることから、搬入出用真空室側の弁体は、高圧側から低圧側へ向かう差圧方向に対して反対の方向に押し当てられることになり、この部分の密閉性が十分に確保できないという構造上の問題があった。
特開2005−12185号公報(図3など) 特開平5−99348号公報(図1など)
In addition, as a conventional gate valve structure, a pair of sealing the opening of each chamber on both sides of the movable body in order to ensure airtightness between the vacuum processing chamber and the loading / unloading vacuum chamber (load lock chamber). There has also been proposed a gate valve provided with the valve body (for example, Patent Document 2). However, the gate valve of Patent Document 2 moves each valve body from the movable body in the opposite direction toward both the opening part of the vacuum chamber for loading and unloading on the atmosphere side and the opening part of the vacuum processing chamber. Since both openings are sealed at the same time, the loading / unloading vacuum chamber side valve element is pressed in the opposite direction to the differential pressure direction from the high pressure side to the low pressure side. There was a structural problem that the sealability of the part could not be sufficiently secured.
Japanese Patent Laying-Open No. 2005-12185 (FIG. 3 etc.) Japanese Patent Laid-Open No. 5-99348 (FIG. 1 etc.)

前記のように、ロードロック室と真空処理室との気密性が十分に確保できない場合には、真空処理室にロードロック室側から水分を含む空気が混入して被処理基板の処理に悪影響を与える懸念がある。   As described above, when sufficient airtightness between the load lock chamber and the vacuum processing chamber cannot be ensured, air containing moisture from the load lock chamber side enters the vacuum processing chamber, which adversely affects the processing of the substrate to be processed. There are concerns to give.

また、たとえゲートバルブの密閉性を確保できたとしても、ロードロック室内は繰り返し大気開放状態におかれるため、大気中に含まれる水分が排気後のロードロック室内に残存していると、ゲートバルブを開けて被処理基板を通過させる際に、残存した水分が真空処理室内に移行してしまうという問題がある。特に、ロードロック室を高速排気した場合には、急激な圧力の減少に伴い、大気中の水分が霧化し、ロードロック室の内部に付着して残存しやすくなり、これがゲート開口を介して真空処理室に混入しやすくなる。   Even if the gate valve can be sealed, the load lock chamber is repeatedly opened to the atmosphere. If moisture contained in the atmosphere remains in the load lock chamber after exhaust, the gate valve There is a problem that the remaining moisture is transferred into the vacuum processing chamber when the substrate is opened and passed through the substrate to be processed. In particular, when the load lock chamber is exhausted at a high speed, moisture in the atmosphere tends to atomize and adhere to the inside of the load lock chamber as the pressure rapidly decreases, and this is evacuated through the gate opening. It becomes easy to mix in the processing chamber.

本発明はかかる事情に鑑みてなされたものであって、真空処理室への水分の混入を確実に防止することが可能な真空処理装置を提供することを課題とする。
すなわち、まず第1に、ロードロック室と真空処理室との間の気密性を十分に確保できるゲートバルブを提供することを目的とする。また、第2に、ロードロック室内を排気する過程での水分の残存や、霧化を防止できる技術を提供することを目的とする。
This invention is made | formed in view of this situation, Comprising: It aims at providing the vacuum processing apparatus which can prevent mixing of the water | moisture content into a vacuum processing chamber reliably.
That is, firstly, it is an object to provide a gate valve that can sufficiently ensure airtightness between a load lock chamber and a vacuum processing chamber. Another object of the present invention is to provide a technique capable of preventing moisture remaining and atomization in the process of exhausting the load lock chamber.

上記課題を解決するために、本発明の第1の観点は、基板に対し、真空中で所定の処理を行う真空処理室と、
前記基板が前記真空処理室に搬入出される過程でこれを一時的に収容し、その内部が大気開放状態と真空状態とに交互に保持される真空予備室と、
前記真空処理室と前記真空予備室との間において、2重に配備されたゲートバルブと、
を具備することを特徴とする、真空処理装置を提供する。
In order to solve the above problems, a first aspect of the present invention is to provide a vacuum processing chamber for performing predetermined processing on a substrate in a vacuum,
A vacuum preparatory chamber in which the substrate is temporarily accommodated in the process of being carried into and out of the vacuum processing chamber, and the inside thereof is alternately held in an atmosphere open state and a vacuum state;
A double gate valve disposed between the vacuum processing chamber and the vacuum preparatory chamber;
A vacuum processing apparatus is provided.

上記第1の観点によれば、真空処理室と真空予備室との間にゲートバルブを二重に配備したので、ゲートバルブを閉じた状態では、真空予備室と真空処理室との隔離が確実になされ、大気状態と高真空状態とを繰り返す真空予備室から真空処理室への水分の混入を極力低減することが可能になる。   According to the first aspect, since the gate valve is doubled between the vacuum processing chamber and the vacuum preparatory chamber, the vacuum preparatory chamber and the vacuum processing chamber are reliably separated when the gate valve is closed. Thus, it is possible to reduce the mixing of moisture from the vacuum preparatory chamber that repeats the atmospheric state and the high vacuum state into the vacuum processing chamber as much as possible.

上記第1の観点において、前記真空処理室に形成された開口を開閉する第1のゲートバルブと、
該第1のゲートバルブに隣接配備され、該第1のゲートバルブとの間に形成された開口を開閉する第2のゲートバルブと、
を具備することが好ましい。
また、前記第1のゲートバルブと前記第2のゲートバルブとは、同期して開閉することが好ましい。
In the first aspect, a first gate valve that opens and closes an opening formed in the vacuum processing chamber;
A second gate valve disposed adjacent to the first gate valve and opening and closing an opening formed between the first gate valve;
It is preferable to comprise.
Further, it is preferable that the first gate valve and the second gate valve are opened and closed in synchronization.

また、前記第1のゲートバルブと前記第2のゲートバルブとは、封止時に相対的に高圧となる真空予備室側から低圧となる真空処理室側へ向けて弁体を押圧するものであることが好ましい。このように、各ゲートバルブの弁体は、封止時に差圧方向、すなわち、大気圧側の真空予備室から真空側の真空処理室の方向へ押圧されるので、封止が確実になされる。   The first gate valve and the second gate valve press the valve body from the vacuum preparatory chamber side, which is relatively high in pressure, toward the vacuum processing chamber, which is low pressure. It is preferable. Thus, the valve body of each gate valve is pressed in the differential pressure direction at the time of sealing, that is, from the vacuum preparatory chamber on the atmospheric pressure side toward the vacuum processing chamber on the vacuum side, so that the sealing is surely performed. .

また、前記第1のゲートバルブには、バルブ容器内を減圧排気するための排気管が接続されていることが好ましい。
これにより、真空予備室内を大気開放した状態においても、真空処理室との間に、内部が所定圧力まで減圧された第1のゲートバルブのバルブ容器を介在させることが可能になって、真空予備室から真空処理室への空気のリークをより確実に防止し、真空処理室への水分の混入を防止できる。
Further, it is preferable that an exhaust pipe for evacuating the inside of the valve container is connected to the first gate valve.
As a result, even when the vacuum preparatory chamber is opened to the atmosphere, the valve container of the first gate valve whose inside is depressurized to a predetermined pressure can be interposed between the vacuum preparatory chamber and the vacuum preparatory chamber. Air leakage from the chamber to the vacuum processing chamber can be more reliably prevented, and moisture can be prevented from entering the vacuum processing chamber.

また、前記真空予備室には、流路コンダクタンスの異なる複数の排気管が接続されていることが好ましい。
さらに、前記真空予備室には、パージガスを導入するパージガス供給源が接続されていることが好ましい。
Moreover, it is preferable that a plurality of exhaust pipes having different flow conductances are connected to the vacuum preliminary chamber.
Further, it is preferable that a purge gas supply source for introducing a purge gas is connected to the vacuum preliminary chamber.

また、本発明の第2の観点は、基板に対し、真空中で所定の処理を行う真空処理室と、
前記基板が前記真空処理室に搬入出される過程でこれを一時的に収容し、その内部が大気開放状態と真空状態とに交互に保持される真空予備室と、
前記真空予備室に接続された流路コンダクタンスの異なる複数の排気管と、
前記排気管に接続され、前記真空予備室内を真空排気するための排気手段と、
を具備することを特徴とする、真空処理装置を提供する。
A second aspect of the present invention is a vacuum processing chamber for performing a predetermined process on a substrate in a vacuum,
A vacuum preparatory chamber in which the substrate is temporarily accommodated in the process of being carried into and out of the vacuum processing chamber, and the inside thereof is alternately held in an atmosphere open state and a vacuum state;
A plurality of exhaust pipes having different flow conductances connected to the vacuum preliminary chamber;
An exhaust means connected to the exhaust pipe for evacuating the vacuum preparatory chamber;
A vacuum processing apparatus is provided.

上記第2の観点において、前記複数の排気管は、
第1の排気管と、
前記第1の排気管よりも流路コンダクタンスの大きな第2の排気管と、
前記第2の排気管よりも流路コンダクタンスの大きな第3の排気管と、
を具備することが好ましい。
In the second aspect, the plurality of exhaust pipes are:
A first exhaust pipe;
A second exhaust pipe having a larger flow conductance than the first exhaust pipe;
A third exhaust pipe having a larger flow conductance than the second exhaust pipe;
It is preferable to comprise.

上記第2の観点の真空処理装置では、流路コンダクタンスの異なる排気管を配備したことによって、これらを組み合わせて複数パターンの排気経路を構成することが可能になる。そして、真空予備室を排気する過程で、排気経路を切替えることによって、急激な圧力低下に伴う水分の霧化を防止することが可能になる。   In the vacuum processing apparatus according to the second aspect, by providing exhaust pipes having different flow conductances, it becomes possible to configure a plurality of patterns of exhaust paths by combining them. In the process of exhausting the vacuum preparatory chamber, by switching the exhaust path, it becomes possible to prevent water from being atomized due to a rapid pressure drop.

また、本発明の第3の観点は、基板が真空処理室に搬入出される過程でこれを一時的に収容するとともに、その内部が大気開放状態と真空状態とに交互に保持される真空予備室を、前記真空状態まで排気する排気方法であって、
前記真空予備室に接続された流路コンダクタンスの異なる複数の排気管を用いて排気速度を切替え、段階的に排気速度を大きくして排気を行うことを特徴とする、真空予備室の排気方法を提供する。
A third aspect of the present invention is a vacuum preparatory chamber in which a substrate is temporarily accommodated in the process of being carried into and out of the vacuum processing chamber, and the interior thereof is alternately held in an open air state and a vacuum state. Is an exhaust method for exhausting to a vacuum state,
An exhaust method of the vacuum preliminary chamber, characterized in that exhaust speed is switched using a plurality of exhaust pipes connected to the vacuum preliminary chamber and having different flow conductances, and exhaust is performed by increasing the exhaust speed stepwise. provide.

上記第3の観点では、真空予備室を真空状態まで排気する際に、流路コンダクタンスの異なる複数の排気管を用いて排気速度を切替え、段階的に排気速度を大きくして排気を行うことによって、真空予備室内の急激な圧力低下に伴う水分の霧化を防止することが可能になる。   In the third aspect, when the vacuum preparatory chamber is exhausted to a vacuum state, the exhaust speed is switched using a plurality of exhaust pipes having different flow conductances, and exhaust is performed by gradually increasing the exhaust speed. It becomes possible to prevent water from being atomized due to a rapid pressure drop in the vacuum preparatory chamber.

上記第3の観点において、前記真空予備室内の圧力を基準にして前記排気速度の切替えを行うことが好ましい。また、前記真空予備室内が所定圧力に減圧された段階で、排気を継続しつつ該真空予備室内に所定時間パージガスを導入することが好ましい。   In the third aspect, it is preferable to switch the exhaust speed based on the pressure in the vacuum preliminary chamber. In addition, it is preferable that a purge gas is introduced into the vacuum preliminary chamber for a predetermined time while continuing to be exhausted when the vacuum preliminary chamber is reduced to a predetermined pressure.

本発明の第4の観点は、基板が真空処理室に搬入出される過程でこれを一時的に収容するとともに、その内部が大気開放状態と真空状態とに交互に保持される真空予備室を、前記真空状態まで排気する排気方法であって、
前記真空予備室内が所定圧力に減圧された段階で、排気を継続しつつ該真空予備室内に所定時間パージガスを導入することを特徴とする、真空予備室の排気方法を提供する。
According to a fourth aspect of the present invention, there is provided a vacuum preliminary chamber in which the substrate is temporarily accommodated in the process of being carried into and out of the vacuum processing chamber, and the interior thereof is alternately held in an open air state and a vacuum state. An exhaust method for exhausting to the vacuum state,
Provided is a method for exhausting a vacuum preliminary chamber, wherein purge gas is introduced into the vacuum preliminary chamber for a predetermined time while continuing to be exhausted when the vacuum preliminary chamber is reduced to a predetermined pressure.

上記第4の観点によれば、パージガスの導入により、減圧下で真空予備室内の雰囲気をパージガスで置換することが可能になる。これによって、真空予備室内に残存している水分を確実に除去できる。   According to the fourth aspect, by introducing the purge gas, the atmosphere in the vacuum preliminary chamber can be replaced with the purge gas under reduced pressure. As a result, moisture remaining in the vacuum preliminary chamber can be reliably removed.

また、本発明の第5の観点は、基板が真空処理室に搬入出される過程でこれを一時的に収容するとともに、その内部が大気開放状態と真空状態とに交互に保持される真空予備室を、前記真空状態から昇圧する真空予備室の昇圧方法であって、
前記真空予備室内を大気開放する際に所定流量でパージガスを導入することにより、大気開放状態で前記真空予備室内を陽圧にすることを特徴とする、真空予備室の昇圧方法を提供する。
A fifth aspect of the present invention is a vacuum preparatory chamber in which the substrate is temporarily accommodated in the process of being carried into and out of the vacuum processing chamber, and the interior thereof is alternately held in an open air state and a vacuum state. Is a method for boosting the vacuum preliminary chamber for boosting from the vacuum state,
There is provided a method for boosting a vacuum preliminary chamber, wherein a purge gas is introduced at a predetermined flow rate when the vacuum preliminary chamber is opened to the atmosphere so that the vacuum preliminary chamber is set to a positive pressure in the open state.

上記第5の観点によれば、大気開放と同時に、真空予備室内に所定流量でパージガス導入して内部を陽圧にすることにより、クリーンルーム内からの大気の進入が抑制され、水分やパーティクルが真空予備室内に進入することを防ぐことができる。   According to the fifth aspect, at the same time as the atmosphere is released, purge gas is introduced into the vacuum preliminary chamber at a predetermined flow rate to make the inside a positive pressure, thereby suppressing the entrance of the atmosphere from the clean room, and the moisture and particles are evacuated. It is possible to prevent entry into the spare room.

本発明によれば、大気状態と高真空状態とを繰り返す真空予備室から真空処理室への水分の混入を極力低減することが可能になる。   According to the present invention, it is possible to reduce the mixing of moisture from the vacuum preparatory chamber that repeats the atmospheric state and the high vacuum state into the vacuum processing chamber as much as possible.

以下、添付図面を参照して本発明の実施の形態について具体的に説明する。
図1は、本発明の一実施形態に係る真空処理装置100の概略構成を示す斜視図、図2は図1の真空処理装置100における要部を示す水平断面図である。真空処理装置100は、真空雰囲気で透明のLCDガラス基板等の基板Gに対してプラズマエッチング処理や薄膜形成処理等の所望の真空処理を行う真空処理室10と、この真空処理室10に連設され、真空予備室として機能するロードロック室20と、真空処理室10とロードロック室20との間に二重に設けられたゲートバルブ30a,30bと、ロードロック室20と外部の大気側搬送機構50とを隔てるゲートバルブ40を備えている。
Embodiments of the present invention will be specifically described below with reference to the accompanying drawings.
FIG. 1 is a perspective view showing a schematic configuration of a vacuum processing apparatus 100 according to an embodiment of the present invention, and FIG. 2 is a horizontal sectional view showing a main part of the vacuum processing apparatus 100 of FIG. The vacuum processing apparatus 100 includes a vacuum processing chamber 10 that performs desired vacuum processing such as plasma etching processing and thin film formation processing on a substrate G such as a transparent LCD glass substrate in a vacuum atmosphere, and is connected to the vacuum processing chamber 10. The load lock chamber 20 functioning as a vacuum preparatory chamber, the gate valves 30a and 30b provided double between the vacuum processing chamber 10 and the load lock chamber 20, the load lock chamber 20 and the outside air side transfer A gate valve 40 that separates the mechanism 50 is provided.

図2に示すように、真空処理室10には、排気制御弁61を介して排気手段としての真空ポンプ60が接続されており、基板Gの所定の真空処理に必要な真空度までの真空排気が可能になっている。また、真空処理室10には、ガス制御弁11を介して処理ガス供給部12が接続されており、真空処理室10の内部に、所定圧の処理ガス雰囲気を形成することを可能にしている。真空処理室10の内部には処理ステージ13が設けられ、処理対象の基板Gが載置される。   As shown in FIG. 2, the vacuum processing chamber 10 is connected to a vacuum pump 60 as an evacuation means via an exhaust control valve 61, so that the vacuum exhausting to a predetermined degree of vacuum processing of the substrate G is performed. Is possible. Further, a processing gas supply unit 12 is connected to the vacuum processing chamber 10 via a gas control valve 11, and a processing gas atmosphere having a predetermined pressure can be formed inside the vacuum processing chamber 10. . A processing stage 13 is provided inside the vacuum processing chamber 10 and a substrate G to be processed is placed thereon.

ロードロック室20には、コンダクタンスの異なる3本の排気管21,22,23が接続されており、各排気管21,22,23の途中には、それぞれ開閉弁62,63,64が配備されている。各排気管21,22,23は、それぞれ真空ポンプ60に接続され、ロードロック室20内を、真空処理室10と同等の真空度まで真空排気することを可能にしている。   Three exhaust pipes 21, 22 and 23 having different conductances are connected to the load lock chamber 20, and on-off valves 62, 63 and 64 are provided in the middle of the exhaust pipes 21, 22 and 23, respectively. ing. Each of the exhaust pipes 21, 22, and 23 is connected to a vacuum pump 60, and enables the inside of the load lock chamber 20 to be evacuated to a vacuum level equivalent to that of the vacuum processing chamber 10.

また、ロードロック室20には、ガス制御弁25を介してパージガスとしてのNガスを導入するためのNガス供給源26が接続されており、ロードロック室20の内部に、Nガスを導入できるように構成されている。 In addition, the load lock chamber 20, N 2 gas supply source 26 for introducing the N 2 gas as a purge gas through the gas control valve 25 is connected, in the interior of the load lock chamber 20, N 2 gas It is configured to be able to introduce.

図示のように、本実施形態の真空処理装置では、真空処理室10とロードロック室20との間に、ゲートバルブ30a,30bが二重に配備された構成となっている。ゲートバルブ30aは、真空処理室10とロードロック室20とを連通させ、基板Gが通過可能な大きさの開口90(図3参照;後述)の開閉動作を行う弁体31aを有している。また、同様に、ゲートバルブ30bも、真空処理室10とロードロック室20とを連通させ、基板搬送装置70に支持された基板Gが通過可能な大きさの開口94(図3参照;後述)の開閉動作を行う弁体31bを有している。なお、図2は、ゲートバルブ30a,30bを両方とも閉じた状態を示している。   As shown in the figure, the vacuum processing apparatus according to the present embodiment has a configuration in which gate valves 30 a and 30 b are doubled between the vacuum processing chamber 10 and the load lock chamber 20. The gate valve 30a has a valve body 31a that allows the vacuum processing chamber 10 and the load lock chamber 20 to communicate with each other and opens and closes an opening 90 (see FIG. 3; described later) having a size through which the substrate G can pass. . Similarly, the gate valve 30b also connects the vacuum processing chamber 10 and the load lock chamber 20 so that the substrate 94 supported by the substrate transfer apparatus 70 can pass through the opening 94 (see FIG. 3; described later). It has the valve body 31b which performs the opening / closing operation | movement. FIG. 2 shows a state in which both gate valves 30a and 30b are closed.

また、真空処理室10の側に配備されたゲートバルブ30aには、排気管27が配備されている。排気管27は、真空ポンプ60と接続されており、その途中には排気制御弁65が設けられている。排気管27を設けることによって、ゲートバルブ30a,30bを閉じた状態で、ゲートバルブ30aのバルブ筐体95a内を排気して所定の圧力まで減圧することが可能になる。このように、ゲートバルブ30aに排気手段を接続することによって、ゲートバルブ30a,30bを閉じ、ロードロック室20内を大気開放した状態においても、真空処理室10との間に、バルブ筐体95aの内部全体が減圧状態となったゲートバルブ30aを介在させることが可能になるため、ロードロック室20から真空処理室10への空気のリークをより確実に防止することが可能となり、真空処理室10への水分の混入を防止できる。   Further, an exhaust pipe 27 is provided in the gate valve 30a provided on the vacuum processing chamber 10 side. The exhaust pipe 27 is connected to the vacuum pump 60, and an exhaust control valve 65 is provided in the middle thereof. By providing the exhaust pipe 27, the inside of the valve housing 95a of the gate valve 30a can be exhausted and reduced to a predetermined pressure with the gate valves 30a and 30b closed. In this way, by connecting the exhaust means to the gate valve 30a, the valve housing 95a can be connected to the vacuum processing chamber 10 even when the gate valves 30a and 30b are closed and the load lock chamber 20 is opened to the atmosphere. Since it becomes possible to interpose the gate valve 30a in which the entire interior of the chamber is decompressed, it is possible to more reliably prevent air leakage from the load lock chamber 20 to the vacuum processing chamber 10, and the vacuum processing chamber It is possible to prevent water from being mixed into 10.

ゲートバルブ40には、ロードロック室20と外部の大気側とを連通させ、前記大気側搬送機構50に支持された基板Gが通過可能な大きさの開口部41と、この開口部41の開閉動作を行う弁体42が設けられている。   The gate valve 40 communicates with the load-lock chamber 20 and the outside atmosphere side, and an opening 41 having a size through which the substrate G supported by the atmosphere-side transport mechanism 50 can pass, and opening / closing of the opening 41 A valve element 42 for performing the operation is provided.

ロードロック室20の内部には、基板搬送装置70が設けられている。この基板搬送装置70は、ロードロック室20の底部に固定されたベースプレート(図示せず)と、このベースプレートの上に積層され、基板Gが載置される基板支持台としてのスライドプレート74を備えている。スライドプレート74には、載置される基板Gの下面を支持する略コ字形のスライドピック部74aが設けられている。   Inside the load lock chamber 20, a substrate transfer device 70 is provided. The substrate transfer device 70 includes a base plate (not shown) fixed to the bottom of the load lock chamber 20 and a slide plate 74 that is stacked on the base plate and serves as a substrate support table on which the substrate G is placed. ing. The slide plate 74 is provided with a substantially U-shaped slide pick portion 74 a that supports the lower surface of the substrate G to be placed.

基板搬送装置70は、図2に例示される縮退状態から、図示しない駆動モータを作動させることにより、基板Gを載置した状態のスライドプレート74が同図の紙面に向かって右方向に移動することによって、スライドピック部74aに支持された基板Gをロードロック室20から真空処理室10へ向けて搬入する。また、逆に真空処理室10から基板Gを搬出する場合は、真空処理室10内でスライドピック部74aにより基板Gを受け取った後、図示しない駆動モータを逆転させることで、図2に例示される縮退状態とすることができる。   The substrate transport apparatus 70 operates a drive motor (not shown) from the contracted state illustrated in FIG. 2, so that the slide plate 74 on which the substrate G is placed moves rightward toward the plane of the drawing. As a result, the substrate G supported by the slide pick portion 74 a is carried from the load lock chamber 20 toward the vacuum processing chamber 10. On the other hand, when the substrate G is unloaded from the vacuum processing chamber 10, the substrate G is received by the slide pick unit 74 a in the vacuum processing chamber 10, and then the drive motor (not shown) is reversed to be exemplified in FIG. 2. Degenerate state.

ロードロック室20の内部において、基板搬送装置70を挟む位置には、バッファプレート81およびバッファプレート82と、このバッファプレート81および82を昇降させる図示しないバッファ昇降機構を備えた基板受け渡し機構80が設けられており、基板搬送装置70のスライドプレート74に載置された基板Gの周辺部をバッファプレート81および82にて下方から支持して、当該スライドプレート74から基板Gを浮上させる動作、および大気側搬送機構50から受け取った基板Gをスライドプレート74上に降下させる動作、等の基板受け渡し動作を行う。   Inside the load lock chamber 20, a substrate delivery mechanism 80 including a buffer plate 81 and a buffer plate 82 and a buffer raising / lowering mechanism (not shown) for raising and lowering the buffer plates 81 and 82 is provided at a position sandwiching the substrate transfer device 70. The peripheral portion of the substrate G placed on the slide plate 74 of the substrate transport apparatus 70 is supported from below by the buffer plates 81 and 82, and the substrate G is floated from the slide plate 74, and the atmosphere A substrate transfer operation such as an operation of lowering the substrate G received from the side transport mechanism 50 onto the slide plate 74 is performed.

図1を参照するに、大気側搬送機構50は、旋回および伸縮が可能な搬送アーム51を備えており、複数の基板Gが収納された基板ラック55から未処理の1枚の基板Gを取り出し、ゲートバルブ40を介してロードロック室20内の基板搬送装置70に渡す動作、および処理済の基板Gを、ロードロック室20内の基板搬送装置70から受け取ってゲートバルブ40を介して大気側に取り出し、基板ラック55に収納する動作を行う。   Referring to FIG. 1, the atmosphere-side transport mechanism 50 includes a transport arm 51 that can rotate and extend, and takes out an unprocessed substrate G from a substrate rack 55 in which a plurality of substrates G are stored. The operation of passing the substrate G to the substrate transfer device 70 in the load lock chamber 20 through the gate valve 40 and the processed substrate G from the substrate transfer device 70 in the load lock chamber 20 and the atmosphere side through the gate valve 40 Are taken out and stored in the substrate rack 55.

図3および図4に、ゲートバルブ30a,30bの断面構造を示す。
ゲートバルブ30aは、真空処理室10の側壁10aに設けられた基板搬入出口90をロードロック室20側から開閉する。ゲートバルブ30aは、基板Gを水平姿勢で搬入出可能な開口90を閉塞できるように、横長に形成された弁体31aと、この弁体31aを一対のクランク32a,33aを介して支持する昇降移動可能な横長のバルブ本体34aとを有している。
3 and 4 show cross-sectional structures of the gate valves 30a and 30b.
The gate valve 30 a opens and closes the substrate loading / unloading port 90 provided on the side wall 10 a of the vacuum processing chamber 10 from the load lock chamber 20 side. The gate valve 30a is a vertically extending valve body 31a so as to be able to close the opening 90 through which the substrate G can be loaded and unloaded in a horizontal posture, and a lift that supports the valve body 31a via a pair of cranks 32a and 33a. It has a horizontally long valve body 34a.

バルブ本体34aは、エアシリンダ35aのピストンロッド36aに連結されるとともに、垂直に配置されているガイドレール37aによって摺動可能に案内されるようになっている。つまり、エアシリンダ35aを作動させて、ピストンロッド36aを上下に昇降させると、バルブ本体34aがガイドレール37aの案内で垂直方向に昇降移動するようになっている。   The valve body 34a is connected to the piston rod 36a of the air cylinder 35a and is slidably guided by a guide rail 37a arranged vertically. That is, when the air cylinder 35a is operated to move the piston rod 36a up and down, the valve body 34a moves up and down in the vertical direction by the guide of the guide rail 37a.

クランク32a,33aは、バルブ本体34aの左側面と弁体31aの左側面との間、およびバルブ本体34aの右側面と弁体31aの右側面との間にそれぞれ掛架されている(なお、図3および図4では、右側面のクランク32a,33aのみを図示している)。また、弁体31aの上面には、天井部91aとの摩擦を回避するためのコロ38aが取り付けられている。   The cranks 32a and 33a are respectively suspended between the left side surface of the valve body 34a and the left side surface of the valve body 31a, and between the right side surface of the valve body 34a and the right side surface of the valve body 31a (note that 3 and 4, only the right side cranks 32a and 33a are shown). A roller 38a for avoiding friction with the ceiling portion 91a is attached to the upper surface of the valve body 31a.

ゲートバルブ30bは、ゲートバルブ30aとロードロック室20との間に配置され、ゲートバルブ30aとゲートバルブ30bとを区画する隔壁92に形成された開口94を開閉する。なお、図3および図4中、符号93は、基板Gを搬入出させるためロードロック室20の側壁に設けられた開口である。   The gate valve 30b is disposed between the gate valve 30a and the load lock chamber 20, and opens and closes an opening 94 formed in a partition wall 92 that partitions the gate valve 30a and the gate valve 30b. 3 and 4, reference numeral 93 is an opening provided on the side wall of the load lock chamber 20 for loading and unloading the substrate G.

ゲートバルブ30bは、基板Gを水平姿勢で搬入出させることが可能な開口94を閉塞できるように、横長に形成された弁体31bと、この弁体31bを一対のクランク32b,33bを介して支持する昇降移動可能な横長のバルブ本体34bとを有している。   The gate valve 30b has a valve body 31b formed in a horizontally long shape so that the opening 94 that allows the substrate G to be loaded and unloaded in a horizontal posture can be closed, and the valve body 31b via a pair of cranks 32b and 33b. And a horizontally long valve body 34b that can be moved up and down.

バルブ本体34bは、エアシリンダ35bのピストンロッド36bに連結されるとともに、垂直に配置されているガイドレール37bによって摺動可能に案内されるようになっている。従って、エアシリンダ35bを作動させて、ピストンロッド36bを上下に昇降させると、バルブ本体34bがガイドレール37bの案内で垂直方向に昇降移動するようになっている。   The valve body 34b is connected to the piston rod 36b of the air cylinder 35b and is slidably guided by a guide rail 37b disposed vertically. Therefore, when the air cylinder 35b is operated to move the piston rod 36b up and down, the valve body 34b moves up and down in the vertical direction by the guide of the guide rail 37b.

クランク32b,33bは、バルブ本体34bの左側面と弁体31bの左側面との間、およびバルブ本体34bの右側面と弁体31bの右側面との間にそれぞれ掛架されている(なお、図3および図4では、右側面のクランク32b,33bのみを図示している)。また、弁体31bの上面には、天井部91bとの摩擦を回避するためのコロ38bが取り付けられている。   The cranks 32b and 33b are respectively suspended between the left side surface of the valve body 34b and the left side surface of the valve body 31b, and between the right side surface of the valve body 34b and the right side surface of the valve body 31b (note that 3 and FIG. 4, only the right side cranks 32b and 33b are shown). A roller 38b for avoiding friction with the ceiling portion 91b is attached to the upper surface of the valve body 31b.

図示しない作動エア供給源からは、作動エアがエアシリンダ35a,35bに均等に分配されるとともに、エアシリンダ35aの駆動力はピストンロッド36aに、また、エアシリンダ35bの駆動力はピストンロッド36bに伝達されるように構成されている。従って、ゲートバルブ30a,30bの弁体31a,31bは、それぞれ同期して開口90および開口94を封止し、または封止を解除する。ゲートバルブ30a,30bが開いているときは、図3に示すように、弁体31a,31bは開口90,94よりも低い待機位置におかれ、バルブ本体34a,34bは弁体31a,31bよりもさらに低い待機位置で待機している。   A working air supply source (not shown) distributes the working air evenly to the air cylinders 35a and 35b, the driving force of the air cylinder 35a is applied to the piston rod 36a, and the driving force of the air cylinder 35b is supplied to the piston rod 36b. It is configured to be transmitted. Accordingly, the valve bodies 31a and 31b of the gate valves 30a and 30b seal the opening 90 and the opening 94 in synchronization with each other or release the sealing. When the gate valves 30a and 30b are open, as shown in FIG. 3, the valve bodies 31a and 31b are in a standby position lower than the openings 90 and 94, and the valve bodies 34a and 34b are more than the valve bodies 31a and 31b. Is waiting at a lower standby position.

ゲートバルブ30a,30bを閉じる際には、図3の状態からエアシリンダ35a,35bを作動させてピストンロッド36a,36bを所定のストロークで前進させる。そして図4に示すように、バルブ本体34a,34bと弁体31a,31bとがそれぞれの原位置から、互いに平行に垂直に上昇して、弁体31a,31bのコロ38a,38bが天井面91a,91bに当接し、次いでバルブ本体34a,34bがストッパ39a,39bに当接する。   When closing the gate valves 30a and 30b, the air cylinders 35a and 35b are operated from the state shown in FIG. 3 to advance the piston rods 36a and 36b by a predetermined stroke. Then, as shown in FIG. 4, the valve bodies 34a, 34b and the valve bodies 31a, 31b rise vertically from their original positions in parallel to each other, and the rollers 38a, 38b of the valve bodies 31a, 31b are connected to the ceiling surface 91a. 91b, and then the valve bodies 34a, 34b abut against the stoppers 39a, 39b.

そして、クランク32a,33aおよびクランク32b,33bが働いて、弁体31a,31bを開口90,94に向けて押し出して、開口90の周囲(側壁10a)および開口94の周囲(隔壁92)に押し当てる。この動作の際には、コロ38a,38bが天井面91a,91bで水平方向に転動することにより、弁体31a,31bは水平に移動して前記開口90,94の周囲の壁に横方向に押し付けられる。開口90,94の周囲には、Oリング等のシール部材(図示せず)が取り付けられているため、弁体31a,31bは、それぞれ高い気密性をもって開口90,94の周囲に押し当てられ、開口90,94を封止することができる。   Then, the cranks 32a and 33a and the cranks 32b and 33b work to push the valve bodies 31a and 31b toward the openings 90 and 94 and push them around the opening 90 (side wall 10a) and around the opening 94 (partition wall 92). Hit it. In this operation, the rollers 38a and 38b roll in the horizontal direction on the ceiling surfaces 91a and 91b, so that the valve bodies 31a and 31b move in the horizontal direction to the walls around the openings 90 and 94 in the horizontal direction. Pressed against. Since a sealing member (not shown) such as an O-ring is attached around the openings 90 and 94, the valve bodies 31a and 31b are pressed against the openings 90 and 94 with high airtightness, respectively. The openings 90 and 94 can be sealed.

図4に示す閉状態から、ゲートバルブ30a,30bを開けるときは、エアシリンダ35a,35bを作動させてピストンロッド36a,36bを往動時と同一のストロークだけ下降させることにより、封止過程の動作の逆動作によってバルブ本体34a,34bおよび弁体31a,31bがそれぞれ元の待機位置へ戻り、開口90,94の封止が解除される。   When the gate valves 30a and 30b are opened from the closed state shown in FIG. 4, the air cylinders 35a and 35b are actuated to lower the piston rods 36a and 36b by the same stroke as in the forward movement, so that the sealing process The valve main bodies 34a and 34b and the valve bodies 31a and 31b are returned to their original standby positions by the reverse operation of the operation, and the sealing of the openings 90 and 94 is released.

なお、図3および図4に示すゲートバルブ30a,30bでは、一系統の作動エアをエアシリンダ35a,35bに均等に分配し、ゲートバルブ30a,30bを同時に動作させる構成としたが、エアシリンダ35a,35bにそれぞれ別個に作動エア供給源を設けて作動エアを供給する構成とし、ゲートバルブ30a,30bを、ある一定の時間間隔をあけて個別に動作させることも可能である。   In the gate valves 30a and 30b shown in FIGS. 3 and 4, one system of operating air is evenly distributed to the air cylinders 35a and 35b, and the gate valves 30a and 30b are operated simultaneously. , 35b can be provided with a separate working air supply source to supply the working air, and the gate valves 30a, 30b can be individually operated with a certain time interval.

次に、本実施形態の真空処理装置100における基板Gの処理手順について説明する。まず、基板搬送装置70は、ロードロック室20内に縮退状態とされ、ゲートバルブ30a,30bの弁体31a,31bが閉じられ、真空処理室10の内部は真空ポンプ60にて必要な真空度に排気される。また、この状態で排気制御弁65を開にして排気管27を介してゲートバルブ30aのバルブ筐体95a内を減圧排気する。   Next, a processing procedure for the substrate G in the vacuum processing apparatus 100 of the present embodiment will be described. First, the substrate transfer apparatus 70 is brought into a contracted state in the load lock chamber 20, the valve bodies 31 a and 31 b of the gate valves 30 a and 30 b are closed, and the inside of the vacuum processing chamber 10 has a degree of vacuum required by the vacuum pump 60. Exhausted. In this state, the exhaust control valve 65 is opened, and the inside of the valve housing 95a of the gate valve 30a is evacuated through the exhaust pipe 27.

大気側搬送機構50は、搬送アーム51にて、未処理の基板Gを基板ラック55から取り出し、ゲートバルブ40の開口部41を通じてロードロック室20内に搬入し、基板搬送装置70のスライドプレート74の直上部に位置決めする。   The atmosphere-side transport mechanism 50 takes out the unprocessed substrate G from the substrate rack 55 by the transport arm 51, loads it into the load lock chamber 20 through the opening 41 of the gate valve 40, and slides 74 in the substrate transport device 70. Position directly above.

次に、バッファプレート81および82が上昇して基板Gの周辺部を両側から持ち上げることで、基板Gは搬送アーム51から浮上する。   Next, the buffer plates 81 and 82 rise and lift the peripheral portion of the substrate G from both sides, so that the substrate G floats from the transfer arm 51.

その後、搬送アーム51を大気側に引き抜いてロードロック室20の外部に退避させた後、バッファプレート81および82を下降させることで、基板Gは、基板搬送装置70のスライドプレート74(スライドピック部74a)上に移行して載置される。   Thereafter, the transfer arm 51 is pulled out to the atmosphere side and retracted to the outside of the load lock chamber 20, and then the buffer plates 81 and 82 are lowered, whereby the substrate G is moved to the slide plate 74 (slide pick unit) of the substrate transfer device 70. 74a) is moved on and placed.

そして、ゲートバルブ40の弁体42を閉じてロードロック室20を密閉状態にし、開閉弁62,63,64のいずれか1つ以上を開くとともに真空ポンプ60を作動させることにより、ロードロック室20内を真空処理室10と同程度の真空度まで排気した後、ゲートバルブ30a,30bの弁体31a,31bによる開口90,94の封止を解除する。この時、ロードロック室20は真空排気されているため、真空処理室10の真空度や雰囲気が損なわれることはない。   Then, the load lock chamber 20 is closed by closing the valve body 42 of the gate valve 40, opening one or more of the on-off valves 62, 63, 64 and operating the vacuum pump 60. After the inside is evacuated to a vacuum level similar to that of the vacuum processing chamber 10, the sealing of the openings 90 and 94 by the valve bodies 31a and 31b of the gate valves 30a and 30b is released. At this time, since the load lock chamber 20 is evacuated, the degree of vacuum and the atmosphere of the vacuum processing chamber 10 are not impaired.

次に、開口93,90,94を通じて、基板搬送装置70のスライドプレート74を真空処理室10の内部に向けて進入させ、基板Gを真空処理室10の処理ステージ13の直上部に搬入し、真空処理室10内に設けられた図示しない突き上げピン等を介して処理ステージ13上に載置する。その後に、スライドプレート74をロードロック室20内に縮退させて退避させ、ゲートバルブ30a,30bの弁体31a,31bにより開口90,94を封止し、真空処理室10を密閉する。   Next, through the openings 93, 90, 94, the slide plate 74 of the substrate transfer device 70 enters the inside of the vacuum processing chamber 10, and the substrate G is transferred directly above the processing stage 13 of the vacuum processing chamber 10, It is placed on the processing stage 13 via a push-up pin (not shown) provided in the vacuum processing chamber 10. Thereafter, the slide plate 74 is retracted into the load lock chamber 20 and retracted, the openings 90 and 94 are sealed by the valve bodies 31a and 31b of the gate valves 30a and 30b, and the vacuum processing chamber 10 is sealed.

その後、密閉された真空処理室10内に、処理ガス供給部12から必要なガスを導入して処理ガス雰囲気を形成し、基板Gに必要な処理を施す。   Thereafter, necessary gas is introduced from the processing gas supply unit 12 into the sealed vacuum processing chamber 10 to form a processing gas atmosphere, and the substrate G is subjected to necessary processing.

所定時間経過後、処理ガスの導入を停止して、ゲートバルブ30a,30bの弁体31a,31bを下降させて開口90,94の封止を解除する。そして、ロードロック室20内の基板搬送装置70のスライドプレート74を真空処理室10の内部に多段に伸長させ、上述の搬入動作と逆の手順で、真空処理室10の処理済の基板Gを処理ステージ13上からスライドプレート74上に移行させてスライドプレート74を縮退させ、ロードロック室20内に搬出する。その後、ゲートバルブ30a,30bの弁体31a,31bにより開口90,94を閉じ、真空処理室10を密閉する。また、前記と同様に排気制御弁65を開にして排気管27を介してゲートバルブ30aのバルブ筐体95a内を減圧排気する。   After a predetermined time has elapsed, the introduction of the processing gas is stopped, and the valve bodies 31a and 31b of the gate valves 30a and 30b are lowered to release the sealing of the openings 90 and 94. Then, the slide plate 74 of the substrate transfer device 70 in the load lock chamber 20 is extended in multiple stages inside the vacuum processing chamber 10, and the processed substrate G in the vacuum processing chamber 10 is moved in the reverse procedure to the above-described loading operation. The slide plate 74 is degenerated by moving from the processing stage 13 onto the slide plate 74 and is carried out into the load lock chamber 20. Thereafter, the openings 90 and 94 are closed by the valve bodies 31a and 31b of the gate valves 30a and 30b, and the vacuum processing chamber 10 is sealed. Further, similarly to the above, the exhaust control valve 65 is opened and the inside of the valve housing 95a of the gate valve 30a is evacuated through the exhaust pipe 27.

その後、ロードロック室20の排気を停止するとともに、バッファプレート81,82を上昇させて、基板Gの周辺部を支持して浮上させ、後述する圧力調整ライン28,29(図5参照)を介してN等を徐々に導入して大気に近い圧力としたのち、ゲートバルブ40の弁体42を開いて、大気側搬送機構50の搬送アーム51を浮上した基板Gの下側に挿入し、その状態でバッファプレート81,82を降下させることで、基板Gを搬送アーム51に移行させる。 Thereafter, the exhaust of the load lock chamber 20 is stopped, the buffer plates 81 and 82 are raised, and the peripheral portions of the substrate G are supported and floated, and the pressure adjustment lines 28 and 29 (see FIG. 5) described later are used. After gradually introducing N 2 or the like to a pressure close to the atmosphere, the valve body 42 of the gate valve 40 is opened, and the transfer arm 51 of the atmosphere-side transfer mechanism 50 is inserted below the floating substrate G. In this state, the substrate G is moved to the transfer arm 51 by lowering the buffer plates 81 and 82.

そして、搬送アーム51を大気側に引き出すことで、処理済の基板Gをロードロック室20から大気側に搬出し、基板ラック55に収納する。   Then, by pulling the transfer arm 51 to the atmosphere side, the processed substrate G is carried out from the load lock chamber 20 to the atmosphere side and stored in the substrate rack 55.

以上の構成の真空処理装置100では、ゲートバルブ30a,30bを二重に配備したので、ゲートバルブ30a,30bを閉じた状態では、ロードロック室20と真空処理室10との隔離が確実になされ、大気状態と高真空状態とを繰り返すロードロック室20から真空処理室10への水分の混入を極力低減することが可能になる。   In the vacuum processing apparatus 100 having the above configuration, since the gate valves 30a and 30b are provided in a double manner, the load lock chamber 20 and the vacuum processing chamber 10 are reliably separated when the gate valves 30a and 30b are closed. In addition, it is possible to reduce the mixing of moisture from the load lock chamber 20 to the vacuum processing chamber 10 that repeats the atmospheric state and the high vacuum state as much as possible.

また、ゲートバルブ30a,30bの弁体31a,31bは、封止時に差圧方向、すなわち、大気圧側のロードロック室20から真空側の真空処理室10の方向へ押圧されるので、弁体31a,31bによる封止が確実になされる。   Further, the valve bodies 31a and 31b of the gate valves 30a and 30b are pressed in the differential pressure direction at the time of sealing, that is, from the load lock chamber 20 on the atmospheric pressure side toward the vacuum processing chamber 10 on the vacuum side. Sealing by 31a and 31b is ensured.

さらに、ゲートバルブ30aのバルブ筐体95a内部を減圧排気する排気管27を設けたので、ロードロック室20内を大気開放した状態においても、真空処理室10との間に、内部が所定圧力まで減圧されたゲートバルブ30aのバルブ筐体95aを介在させることが可能になって、ロードロック室20から真空処理室10への空気のリークをより確実に防止し、真空処理室10への水分の混入を防止できる。   Further, since the exhaust pipe 27 for evacuating the inside of the valve housing 95a of the gate valve 30a is provided, the inside of the load lock chamber 20 is kept at a predetermined pressure with the vacuum processing chamber 10 even when the load lock chamber 20 is opened to the atmosphere. It becomes possible to interpose the valve housing 95a of the decompressed gate valve 30a, and more reliably prevent air leakage from the load lock chamber 20 to the vacuum processing chamber 10, and the moisture to the vacuum processing chamber 10 can be prevented. Mixing can be prevented.

次に、図5および図6を参照しながら、真空処理室10への水分の混入をより確実に防止するためのロードロック室20の排気とガス置換の手順について説明を行う。図5に、ロードロック室20の排気経路とパージガス供給経路の概略構成を模式的に示した。前記のとおり、ロードロック室20には、それぞれコンダクタンスの異なる排気管21,22,23が接続配備されている。具体的には、各排気管21,22,23には、その途中に図示しないオリフィス(絞り部)が配備されており、各オリフィスの径を異なるものとすることによって、排気管21,22,23の流路コンダクタンスを調整している。なお、各排気管21,22,23の径を変化させて所望のコンダクタンスになるように調節してもよい。   Next, with reference to FIG. 5 and FIG. 6, a procedure for exhausting the gas and replacing the gas in the load lock chamber 20 for more reliably preventing moisture from entering the vacuum processing chamber 10 will be described. FIG. 5 schematically shows a schematic configuration of the exhaust path and the purge gas supply path of the load lock chamber 20. As described above, the exhaust pipes 21, 22, and 23 having different conductances are connected to the load lock chamber 20. Specifically, each exhaust pipe 21, 22, 23 is provided with an orifice (throttle portion) (not shown) in the middle thereof, and the exhaust pipes 21, 22, 22 are made different in diameter. The flow conductance of 23 is adjusted. In addition, you may adjust so that it may become desired conductance by changing the diameter of each exhaust pipe 21,22,23.

排気管21の途中には開閉弁62が、排気管22の途中には開閉弁63が、排気管23の途中には開閉弁64が、それぞれ設けられている。各排気管21,22,23は、メカニカルブースターポンプ(MBP)60aおよびドライポンプ(DP)60b(真空ポンプ60)に接続されており、ロードロック室20内を真空排気できるようになっている。   An open / close valve 62 is provided in the middle of the exhaust pipe 21, an open / close valve 63 is provided in the middle of the exhaust pipe 22, and an open / close valve 64 is provided in the middle of the exhaust pipe 23. The exhaust pipes 21, 22, and 23 are connected to a mechanical booster pump (MBP) 60a and a dry pump (DP) 60b (vacuum pump 60) so that the inside of the load lock chamber 20 can be evacuated.

また、ロードロック室20には、Nガス供給ライン24を介してNガス供給源26が接続されており、ロードロック室20内にパージガスとしてのNガスを供給できるようになっている。
なお、ロードロック室20は、コンダクタンスの大きな圧力調整用ライン28およびコンダクタンスの小さな圧力調整用ライン29を介してもNガス供給源26と接続されており、開閉弁65と開閉弁66とを切替えてNガスを徐々に導入することより、真空状態のロードロック室20内を大気開放する際の急激な圧力上昇を抑えられるようになっている。
In addition, the load lock chamber 20, through the N 2 gas supply line 24 is connected N 2 gas supply source 26, so as to supply the N 2 gas as a purge gas into the load lock chamber 20 .
The load lock chamber 20 is also connected to the N 2 gas supply source 26 through the pressure adjustment line 28 having a large conductance and the pressure adjustment line 29 having a small conductance, and the opening / closing valve 65 and the opening / closing valve 66 are connected to each other. By switching and gradually introducing N 2 gas, it is possible to suppress an abrupt increase in pressure when the load lock chamber 20 in a vacuum state is opened to the atmosphere.

排気管21は、最も流路コンダクタンスの大きな高速排気用の排気経路として機能するものである。排気管23は、排気管21に比べて流路コンダクタンスが小さく、また、排気管22は、排気管23に比べてさらに流路コンダクタンスが小さな排気管路である。これらの排気管21,22,23を設け、開閉弁62,63,64の開閉を組み合わせることによって、複数の排気経路パターンを作り出せるようになっている。例えば、本実施形態では、排気管21のオリフィス径を40mm、排気管22のオリフィス径を10mm、排気管23のオリフィス径を15mmとした。なお、排気管の本数は3本に限るものではなく、例えば、排気管の本数をn本としたときには、組み合わせにより(2−1)パターンの排気経路を作り出すことができる。 The exhaust pipe 21 functions as an exhaust path for high-speed exhaust with the largest channel conductance. The exhaust pipe 23 is an exhaust pipe line having a smaller channel conductance than the exhaust pipe 21, and the exhaust pipe 22 is an exhaust pipe line having a smaller channel conductance than the exhaust pipe 23. By providing these exhaust pipes 21, 22, and 23 and combining opening and closing of the on-off valves 62, 63, and 64, a plurality of exhaust path patterns can be created. For example, in this embodiment, the orifice diameter of the exhaust pipe 21 is 40 mm, the orifice diameter of the exhaust pipe 22 is 10 mm, and the orifice diameter of the exhaust pipe 23 is 15 mm. Note that the number of exhaust pipes is not limited to three. For example, when the number of exhaust pipes is n, (2 n −1) pattern exhaust paths can be created by combination.

本実施形態において、開閉弁62,64を閉じ、開閉弁63を開けた状態では、最小開口径が10mmの排気管22により排気が行われるので、流路コンダクタンスが最小の排気経路(「第1の排気経路」と称する)が構成される。
また、開閉弁62,63を閉じ、開閉弁64を開けた状態では、最小開口径が15mmの排気管23により排気が行われるので、排気管22による第1の排気経路よりも少し流路コンダクタンスが大きな排気経路(「第2の排気経路」と称する)が構成される。
In the present embodiment, when the on-off valves 62 and 64 are closed and the on-off valve 63 is opened, exhaust is performed by the exhaust pipe 22 having a minimum opening diameter of 10 mm. Is referred to as an "exhaust path".
Further, when the on-off valves 62 and 63 are closed and the on-off valve 64 is opened, exhaust is performed by the exhaust pipe 23 having a minimum opening diameter of 15 mm, so that the flow conductance is a little more than the first exhaust path by the exhaust pipe 22. Is a large exhaust path (referred to as “second exhaust path”).

また、開閉弁62を閉じ、開閉弁63と開閉弁64を開けた状態では、排気管22と排気管23の最小開口径の合計は25mm(10mm+15mm)となるので、排気管23による第2の排気経路に比べてさらに流路コンダクタンスが少し大きな排気経路(「第3の排気経路」と称する)が構成される。
さらに、開閉弁63,64を閉じ、開閉弁62のみを開けた状態では、最小開口径が40mmの排気管21により排気が行われるので、さらに流路コンダクタンスの大きな高速排気経路(「第4の排気経路」と称する)が構成される。
When the on-off valve 62 is closed and the on-off valve 63 and the on-off valve 64 are opened, the sum of the minimum opening diameters of the exhaust pipe 22 and the exhaust pipe 23 is 25 mm (10 mm + 15 mm). An exhaust path (referred to as a “third exhaust path”) having a slightly larger flow conductance than the exhaust path is configured.
Further, in a state where the on-off valves 63 and 64 are closed and only the on-off valve 62 is opened, the exhaust pipe 21 having a minimum opening diameter of 40 mm is exhausted, so that a high-speed exhaust path (“fourth” (Referred to as “exhaust path”).

このように、流路コンダクタンスの異なる排気管21,22,23を配備し、バルブ62,63,64の開閉を切替えることによって、複数パターンの排気経路を構成することが可能になる。そして、ロードロック室20を排気する過程で、これら第1〜第4の排気経路を切替えることによって、急激な圧力低下に伴う水分の霧化を防止することが可能になる。このため、排気過程における排気経路の切替えは、ロードロック室20内の圧力を指標として行うことが好ましい。例えば、大気圧の101325Pa(760Torr)から排気を行い、ロードロック室20内を排気していく過程で、およそ26664Pa(200Torr)までは水分の霧化が起こりやすいため、この圧力に至るまでの降圧過程では、第4の排気経路による高速排気は避けることが好ましい。   As described above, by arranging the exhaust pipes 21, 22, and 23 having different flow conductances and switching the opening and closing of the valves 62, 63, and 64, a plurality of patterns of exhaust paths can be configured. In the process of exhausting the load lock chamber 20, it is possible to prevent the atomization of moisture due to a rapid pressure drop by switching the first to fourth exhaust paths. For this reason, it is preferable to switch the exhaust path in the exhaust process using the pressure in the load lock chamber 20 as an index. For example, in the process of exhausting from the atmospheric pressure of 101325 Pa (760 Torr) and exhausting the inside of the load lock chamber 20, water atomization tends to occur up to approximately 26664 Pa (200 Torr). In the process, it is preferable to avoid high speed exhaust through the fourth exhaust path.

図6に、ロードロック室20内を大気開放してから高真空状態まで排気する手順の好ましい例を示す。なお、第1の排気経路から第4の排気経路までの切替えを行う圧力値は、以下の例に制約されるものではなく、適宜設定できる。また、圧力ではなく、例えば時間を目安に第1の排気経路から第4の排気経路までの切替えを行ってもよい。   FIG. 6 shows a preferred example of a procedure for exhausting the inside of the load lock chamber 20 to a high vacuum state after opening the atmosphere. The pressure value for switching from the first exhaust path to the fourth exhaust path is not limited to the following example and can be set as appropriate. Further, instead of the pressure, for example, switching from the first exhaust path to the fourth exhaust path may be performed based on time.

まず、ステップS11では、ゲートバルブ40を開けてロードロック室20を大気開放した状態において、大気開放と同時に、必要に応じてガス制御弁25を開け、ロードロック室20内に所定流量でNガスをパージしておく。これにより、ロードロック室20内が陽圧となるため、クリーンルーム内からの大気の進入が抑制され、水分やパーティクルがロードロック室20内に進入することを防ぐことができる。なお、ゲートバルブ30a,30bは閉じている。
次に、ステップS12では、大気開放状態からゲートバルブ40を閉じ、ロードロック20を閉鎖する。
First, in step S11, in a state where the gate valve 40 is opened and the load lock chamber 20 is opened to the atmosphere, simultaneously with the release of the atmosphere, the gas control valve 25 is opened as necessary, and the N 2 at a predetermined flow rate is placed in the load lock chamber 20. Purge the gas. Thereby, since the inside of the load lock chamber 20 becomes a positive pressure, entry of air from the clean room is suppressed, and moisture and particles can be prevented from entering the load lock chamber 20. The gate valves 30a and 30b are closed.
Next, in step S12, the gate valve 40 is closed and the load lock 20 is closed from the atmosphere open state.

次に、ステップS13では、開閉弁62,64を閉じ、開閉弁63を開け、メカニカルブースターポンプ(MBP)60aおよびドライポンプ(DP)60bを作動させて、第1の排気経路によりロードロック室20内の排気を行う。このステップS13における排気は、ロードロック室20内の圧力が、例えば約79992Pa(600Torr)に低下するまでを目安に実施する。   Next, in step S13, the on-off valves 62 and 64 are closed, the on-off valve 63 is opened, the mechanical booster pump (MBP) 60a and the dry pump (DP) 60b are operated, and the load lock chamber 20 is operated by the first exhaust path. Exhaust inside. The exhaust in step S13 is performed until the pressure in the load lock chamber 20 drops to, for example, about 79992 Pa (600 Torr).

ロードロック室20内の圧力が約79992Pa(600Torr)に低下したら、例えば3秒後に、ステップS13の状態から開閉弁63を閉じ、開閉弁64を開け、第2の排気経路により排気を行う(ステップS14)。このステップS14における排気は、ロードロック室20内の圧力が、例えば約53328Pa(400Torr)に低下するまでを目安に実施する。   When the pressure in the load lock chamber 20 decreases to about 79992 Pa (600 Torr), for example, after 3 seconds, the on-off valve 63 is closed from the state of Step S13, the on-off valve 64 is opened, and exhaust is performed through the second exhaust path (Step S13). S14). The exhaust in step S14 is performed until the pressure in the load lock chamber 20 drops to, for example, about 53328 Pa (400 Torr).

ロードロック室20内の圧力が約53328Pa(400Torr)に低下したら、例えば5秒後に、ステップS14の状態から、さらに開閉弁63を開け、第3の排気経路に切替えて排気を行う(ステップS15)。このステップS15における排気は、ロードロック室20内の圧力が、例えば約26664Pa(200Torr)に低下するまでを目安に実施する。   When the pressure in the load lock chamber 20 decreases to about 53328 Pa (400 Torr), for example, after 5 seconds, the on-off valve 63 is further opened from the state of step S14 to switch to the third exhaust path and exhaust is performed (step S15). . The exhaust in step S15 is performed until the pressure in the load lock chamber 20 drops to, for example, about 26664 Pa (200 Torr).

ロードロック室20内の圧力が約26664Pa(200Torr)に低下したら、例えば1秒後にステップS15の状態から開閉弁63,64を閉じ、開閉弁62のみを開け、第4の排気経路に切替えて高速排気を行う(ステップS16)。このステップS16における排気は、ロードロック室20内の圧力が約26664Pa(200Torr)から所定の高真空状態に低下するまで実施する。   When the pressure in the load lock chamber 20 decreases to about 26664 Pa (200 Torr), for example, after 1 second, the on-off valves 63 and 64 are closed from the state of step S15, only the on-off valve 62 is opened, and the fourth exhaust path is switched to high speed. Exhaust is performed (step S16). The evacuation in step S16 is performed until the pressure in the load lock chamber 20 drops from about 26664 Pa (200 Torr) to a predetermined high vacuum state.

このように、ステップS13〜ステップS16では、第1の排気経路から第4の排気経路までをロードロック室20内の圧力に応じて切替えることにより、排気過程で大気中の水分が霧化しやすい26664Pa(200Torr)付近までの降圧過程では、ゆっくりとした排気から徐々に排気速度を上げていき、水分の霧化が起こりにくい約26664Pa(200Torr)以下では、高速排気に移行することにより、ロードロック室20内の水分を確実に除去し、真空処理室10への水分の混入を防止することができる。   As described above, in steps S13 to S16, the moisture in the atmosphere is easily atomized in the exhaust process by switching from the first exhaust path to the fourth exhaust path according to the pressure in the load lock chamber 20. In the pressure-decreasing process up to about (200 Torr), the exhaust speed is gradually increased from the slow exhaust, and at about 26664 Pa (200 Torr) or less where moisture atomization is less likely to occur, the load lock chamber is shifted to high speed exhaust. The moisture in 20 can be removed reliably, and mixing of moisture into the vacuum processing chamber 10 can be prevented.

そして、ステップS16での排気が進み、ロードロック室20内部の圧力が53.328Pa(400mTorr)に達した段階で、ガス制御弁25を開にして所定流量例えば6000〜8000mL/min、好ましくは7000mL/minでロードロック室20内にNガスを導入する(ステップS17)。なお、導入するNガスの流量は、真空ポンプ60の排気能力に応じて設定できるが、空気とNとの分圧比から上記例示の程度の流量とすることが好ましい。このNガスパージは、減圧下でロードロック室20内の雰囲気をNに置換することにより、ロードロック室20内に残存している水分を最終的に除去するために実施される。このNガスのパージは、ロードロック室20内の圧力が例えば13.332Pa(100mTorr)に達するまでの間、所定時間例えば15〜30秒、好ましくは23秒程度かけて行うことが好ましい。 Then, when the exhaust in step S16 proceeds and the pressure in the load lock chamber 20 reaches 53.328 Pa (400 mTorr), the gas control valve 25 is opened and a predetermined flow rate, for example, 6000 to 8000 mL / min, preferably 7000 mL. N 2 gas is introduced into the load lock chamber 20 at / min (step S17). The flow rate of the N 2 gas to be introduced can be set according to the exhaust capacity of the vacuum pump 60, but it is preferable to set the flow rate as illustrated above from the partial pressure ratio between air and N 2 . This N 2 gas purge is performed to finally remove the moisture remaining in the load lock chamber 20 by substituting the atmosphere in the load lock chamber 20 with N 2 under reduced pressure. The N 2 gas purge is preferably performed for a predetermined time, for example, 15 to 30 seconds, preferably about 23 seconds, until the pressure in the load lock chamber 20 reaches, for example, 13.332 Pa (100 mTorr).

以上のステップS13からステップS16までの手順で第1〜第4の排気経路を切替えながらロードロック室20内を排気し、かつステップS17でのNガスパージを実施することにより、ロードロック室20内の水分の霧化と残留を抑制しつつ、ロードロック室20内を高真空状態まで減圧することが可能になる。従って、このようにしてロードロック室20内を減圧排気した後、ゲートバルブ30a,30bを開放し、真空処理室10との間で基板Gを受渡す際には、真空処理室10への水分の混入を確実に防止できる。 By evacuating the load lock chamber 20 while switching the first to fourth exhaust paths in the procedure from step S13 to step S16, and performing the N 2 gas purge in step S17, The inside of the load lock chamber 20 can be depressurized to a high vacuum state while suppressing the atomization and residual of water. Accordingly, after evacuating the load lock chamber 20 in this manner, the gate valves 30a and 30b are opened, and when the substrate G is transferred to and from the vacuum processing chamber 10, moisture to the vacuum processing chamber 10 is discharged. Can be reliably prevented.

なお、本発明は上記実施の形態に限定されることなく本発明の思想の範囲内で種々の変形が可能である。
例えば、上記実施形態では、基板としてLCD基板を処理するための真空処理装置を例に挙げたが、これに限らず、他のFPD基板や半導体ウエハを処理する真空処理装置であってもよい。なお、FPDとしては、液晶ディスプレイ(LCD)以外に、発光ダイオード(LED)ディスプレイ、エレクトロルミネセンス(Electro Luminescence;EL)ディスプレイ、蛍光表示管(Vacuum Fluorescent Display;VFD)、プラズマディスプレイパネル(PDP)等が例示される。
The present invention is not limited to the above-described embodiment, and various modifications can be made within the scope of the idea of the present invention.
For example, in the above-described embodiment, a vacuum processing apparatus for processing an LCD substrate as a substrate has been described as an example. However, the present invention is not limited to this, and a vacuum processing apparatus for processing other FPD substrates or semiconductor wafers may be used. In addition to the liquid crystal display (LCD), the FPD includes a light emitting diode (LED) display, an electro luminescence (EL) display, a fluorescent display tube (VFD), a plasma display panel (PDP), and the like. Is exemplified.

また、上記実施形態では、ロードロック室20に流路コンダクタンスの異なる3本の排気管21,22,23を配備する構成としたが、4本以上を配備する構成とすることも可能である。   Moreover, in the said embodiment, although it was set as the structure which arrange | positions the three exhaust pipes 21,22,23 from which flow path conductance differs in the load lock chamber 20, it can also be set as the structure which arranges four or more.

また、上記実施形態では、真空処理室10に真空予備室20が隣接して配置された構成の真空処理装置100を例に挙げて説明を行ったが、真空処理室と真空予備室との間に、真空搬送室を介在配備した真空処理装置にも、本発明を適用できる。   Moreover, in the said embodiment, although the vacuum processing apparatus 100 of the structure by which the vacuum preliminary chamber 20 was arrange | positioned adjacent to the vacuum processing chamber 10 was mentioned as an example, it demonstrated, between a vacuum processing chamber and a vacuum preliminary chamber. In addition, the present invention can be applied to a vacuum processing apparatus in which a vacuum transfer chamber is disposed.

本発明は、真空処理室と真空予備室を備えた真空処理装置に好適に利用できる。   The present invention can be suitably used for a vacuum processing apparatus including a vacuum processing chamber and a vacuum preliminary chamber.

本発明の一実施形態に係る真空処理装置の外観を示す斜視図。The perspective view which shows the external appearance of the vacuum processing apparatus which concerns on one Embodiment of this invention. 図1の真空処理装置における真空予備室および真空処理室を示す水平断面図。The horizontal sectional view which shows the vacuum preliminary | backup chamber and vacuum processing chamber in the vacuum processing apparatus of FIG. ゲートバルブが開いた状態を示す概略断面図。The schematic sectional drawing which shows the state which the gate valve opened. ゲートバルブが閉じた状態を示す概略断面図。The schematic sectional drawing which shows the state which the gate valve closed. ロードロック室のガス排気系統とガス導入系統の概要を示す図面。Drawing which shows the outline of the gas exhaust system and gas introduction system of a load lock room. ロードロック室の排気手順を示すフロー図。The flowchart which shows the exhaust procedure of a load lock chamber.

符号の説明Explanation of symbols

10……真空処理室
20……ロードロック室
21,22,23……排気管
24……Nガス供給ライン
26……Nガス供給源
27……排気管
30a,30b,40……ゲートバルブ
50……大気側搬送機構
60……真空ポンプ
70……基板搬送装置
74……スライドプレート
74a……スライドピック部
80……基板受け渡し機構
81,82……バッファプレート
100……真空処理装置
G……基板
10 ...... vacuum processing chamber 20 ...... load lock chambers 21, 22, 23 ...... exhaust pipe 24 ...... N 2 gas supply line 26 ...... N 2 gas supply source 27 ...... exhaust pipe 30a, 30b, 40 ...... gate Valve 50 ... Air-side transfer mechanism 60 ... Vacuum pump 70 ... Substrate transfer device 74 ... Slide plate 74a ... Slide pick unit 80 ... Substrate delivery mechanism 81, 82 ... Buffer plate 100 ... Vacuum processing device G ……substrate

Claims (14)

基板に対し、真空中で所定の処理を行う真空処理室と、
前記基板が前記真空処理室に搬入出される過程でこれを一時的に収容し、その内部が大気開放状態と真空状態とに交互に保持される真空予備室と、
前記真空処理室と前記真空予備室との間において、2重に配備されたゲートバルブと、
を具備することを特徴とする、真空処理装置。
A vacuum processing chamber for performing predetermined processing on the substrate in vacuum;
A vacuum preparatory chamber in which the substrate is temporarily accommodated in the process of being carried into and out of the vacuum processing chamber, and the inside thereof is alternately held in an atmosphere open state and a vacuum state;
A double gate valve disposed between the vacuum processing chamber and the vacuum preparatory chamber;
A vacuum processing apparatus comprising:
前記真空処理室に形成された開口を開閉する第1のゲートバルブと、
該第1のゲートバルブに隣接配備され、該第1のゲートバルブとの間に形成された開口を開閉する第2のゲートバルブと、
を具備することを特徴とする、請求項1に記載の真空処理装置。
A first gate valve for opening and closing an opening formed in the vacuum processing chamber;
A second gate valve disposed adjacent to the first gate valve and opening and closing an opening formed between the first gate valve;
The vacuum processing apparatus according to claim 1, comprising:
前記第1のゲートバルブと前記第2のゲートバルブとは、同期して開閉することを特徴とする、請求項2に記載の真空処理装置。   The vacuum processing apparatus according to claim 2, wherein the first gate valve and the second gate valve open and close in synchronization. 前記第1のゲートバルブと前記第2のゲートバルブとは、封止時に相対的に高圧となる真空予備室側から低圧となる真空処理室側へ向けて弁体を押圧するものであることを特徴とする、請求項3に記載の真空処理装置。   The first gate valve and the second gate valve press the valve body from the vacuum preparatory chamber side, which is relatively high in sealing, to the vacuum processing chamber side, which is low pressure. The vacuum processing apparatus according to claim 3, wherein the vacuum processing apparatus is characterized. 前記第1のゲートバルブには、バルブ容器内を減圧排気するための排気管が接続されていることを特徴とする、請求項2から請求項4のいずれか1項に記載の真空処理装置。   The vacuum processing apparatus according to claim 2, wherein an exhaust pipe for evacuating the inside of the valve container is connected to the first gate valve. 前記真空予備室には、流路コンダクタンスの異なる複数の排気管が接続されていることを特徴とする、請求項1から請求項5のいずれか1項に記載の真空処理装置。   The vacuum processing apparatus according to claim 1, wherein a plurality of exhaust pipes having different flow conductances are connected to the vacuum preliminary chamber. 前記真空予備室には、パージガスを導入するパージガス供給源が接続されていることを特徴とする、請求項1から請求項6のいずれか1項に記載の真空処理装置。   The vacuum processing apparatus according to any one of claims 1 to 6, wherein a purge gas supply source for introducing a purge gas is connected to the vacuum preliminary chamber. 基板に対し、真空中で所定の処理を行う真空処理室と、
前記基板が前記真空処理室に搬入出される過程でこれを一時的に収容し、その内部が大気開放状態と真空状態とに交互に保持される真空予備室と、
前記真空予備室に接続された流路コンダクタンスの異なる複数の排気管と、
前記排気管に接続され、前記真空予備室内を真空排気するための排気手段と、
を具備することを特徴とする、真空処理装置。
A vacuum processing chamber for performing predetermined processing on the substrate in vacuum;
A vacuum preparatory chamber in which the substrate is temporarily accommodated in the process of being carried into and out of the vacuum processing chamber, and the inside thereof is alternately held in an atmosphere open state and a vacuum state;
A plurality of exhaust pipes having different flow conductances connected to the vacuum preliminary chamber;
An exhaust means connected to the exhaust pipe for evacuating the vacuum preparatory chamber;
A vacuum processing apparatus comprising:
前記複数の排気管は、
第1の排気管と、
前記第1の排気管よりも流路コンダクタンスの大きな第2の排気管と、
前記第2の排気管よりも流路コンダクタンスの大きな第3の排気管と、
を具備することを特徴とする、請求項8に記載の真空処理装置。
The plurality of exhaust pipes are
A first exhaust pipe;
A second exhaust pipe having a larger flow conductance than the first exhaust pipe;
A third exhaust pipe having a larger flow conductance than the second exhaust pipe;
The vacuum processing apparatus according to claim 8, comprising:
基板が真空処理室に搬入出される過程でこれを一時的に収容するとともに、その内部が大気開放状態と真空状態とに交互に保持される真空予備室を、前記真空状態まで排気する排気方法であって、
前記真空予備室に接続された流路コンダクタンスの異なる複数の排気管を用いて排気速度を切替え、段階的に排気速度を大きくして排気を行うことを特徴とする、真空予備室の排気方法。
In the process of temporarily storing the substrate in the process of being carried in and out of the vacuum processing chamber, the vacuum preliminary chamber in which the inside is alternately held in the atmosphere open state and the vacuum state is evacuated to the vacuum state. There,
An evacuation method for a vacuum preliminary chamber, wherein the exhaust speed is switched using a plurality of exhaust pipes having different flow conductances connected to the vacuum preliminary chamber and the exhaust speed is increased stepwise.
前記真空予備室内の圧力を基準にして前記排気速度の切替えを行うことを特徴とする、請求項10に記載の真空予備室の排気方法。   The method of exhausting a vacuum preliminary chamber according to claim 10, wherein the exhaust speed is switched based on the pressure in the vacuum preliminary chamber. 前記真空予備室内が所定圧力に減圧された段階で、排気を継続しつつ該真空予備室内に所定時間パージガスを導入することを特徴とする、請求項10または請求項11に記載の真空予備室の排気方法。   The vacuum preliminary chamber according to claim 10 or 11, wherein when the vacuum preliminary chamber is depressurized to a predetermined pressure, purge gas is introduced into the vacuum preliminary chamber for a predetermined time while evacuation is continued. Exhaust method. 基板が真空処理室に搬入出される過程でこれを一時的に収容するとともに、その内部が大気開放状態と真空状態とに交互に保持される真空予備室を、前記真空状態まで排気する排気方法であって、
前記真空予備室内が所定圧力に減圧された段階で、排気を継続しつつ該真空予備室内に所定時間パージガスを導入することを特徴とする、真空予備室の排気方法。
In the process of temporarily storing the substrate in the process of being carried in and out of the vacuum processing chamber, the vacuum preliminary chamber in which the inside is alternately held in the atmosphere open state and the vacuum state is evacuated to the vacuum state. There,
A method of exhausting a vacuum preparatory chamber, wherein purge gas is introduced into the vacuum preparatory chamber for a predetermined time while evacuation is continued when the vacuum preparatory chamber is depressurized to a predetermined pressure.
基板が真空処理室に搬入出される過程でこれを一時的に収容するとともに、その内部が大気開放状態と真空状態とに交互に保持される真空予備室を、前記真空状態から昇圧する真空予備室の昇圧方法であって、
前記真空予備室内を大気開放する際に所定流量でパージガスを導入することにより、大気開放状態で前記真空予備室内を陽圧にすることを特徴とする、真空予備室の昇圧方法。
A vacuum preparatory chamber that temporarily holds the substrate in the process of being carried into and out of the vacuum processing chamber, and that boosts the vacuum preparatory chamber in which the inside is alternately held in an open air state and a vacuum state from the vacuum state Boosting method,
A method for boosting a vacuum prechamber, wherein a purge gas is introduced at a predetermined flow rate when the vacuum prechamber is opened to the atmosphere so that the vacuum prechamber is brought to a positive pressure in an open state.
JP2005255293A 2005-09-02 2005-09-02 Vacuum processing apparatus and method for exhausting vacuum preparatory chamber Active JP5078243B2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2005255293A JP5078243B2 (en) 2005-09-02 2005-09-02 Vacuum processing apparatus and method for exhausting vacuum preparatory chamber
CNB2006101289137A CN100463105C (en) 2005-09-02 2006-09-01 Vacuum processing device
KR1020060084292A KR100810804B1 (en) 2005-09-02 2006-09-01 Vacuum processing apparatus, method for discharging a vacuum prechamber and method for elevating the pressure of a vacuum prechamber
CN2008101857774A CN101441995B (en) 2005-09-02 2006-09-01 Vacuum processing apparatus
TW095132459A TWI398921B (en) 2005-09-02 2006-09-01 Vacuum processing device and exhaust preparation method of vacuum preparation room

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005255293A JP5078243B2 (en) 2005-09-02 2005-09-02 Vacuum processing apparatus and method for exhausting vacuum preparatory chamber

Publications (2)

Publication Number Publication Date
JP2007073541A true JP2007073541A (en) 2007-03-22
JP5078243B2 JP5078243B2 (en) 2012-11-21

Family

ID=37817678

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005255293A Active JP5078243B2 (en) 2005-09-02 2005-09-02 Vacuum processing apparatus and method for exhausting vacuum preparatory chamber

Country Status (4)

Country Link
JP (1) JP5078243B2 (en)
KR (1) KR100810804B1 (en)
CN (2) CN101441995B (en)
TW (1) TWI398921B (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009004695A (en) * 2007-06-25 2009-01-08 Dainippon Screen Mfg Co Ltd Substrate-treating device
JP2012057204A (en) * 2010-09-07 2012-03-22 Canon Inc Method and apparatus for forming deposited film
CN110556313A (en) * 2018-06-04 2019-12-10 Asm Ip控股有限公司 Wafer processing chamber with reduced moisture
JP7378357B2 (en) 2020-06-17 2023-11-13 東京エレクトロン株式会社 Purging method for substrate processing equipment and gas supply piping

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5322254B2 (en) * 2007-06-29 2013-10-23 東京エレクトロン株式会社 Vacuum processing apparatus, vacuum processing method, and storage medium
JP5028193B2 (en) * 2007-09-05 2012-09-19 株式会社日立ハイテクノロジーズ Method for conveying object to be processed in semiconductor manufacturing apparatus
JP5005512B2 (en) * 2007-11-07 2012-08-22 東京エレクトロン株式会社 A gate valve device, a vacuum processing device, and a method for opening a valve body in the gate valve device.
JP5059583B2 (en) * 2007-12-26 2012-10-24 東京エレクトロン株式会社 Vacuum device, vacuum processing system, and vacuum chamber pressure control method
JP5123820B2 (en) * 2008-10-27 2013-01-23 東京エレクトロン株式会社 Vacuum exhaust method for substrate processing apparatus and substrate processing apparatus
KR200473996Y1 (en) * 2008-12-22 2014-08-13 주식회사 테스 Slit Valve
GB2492065A (en) 2011-06-16 2012-12-26 Edwards Ltd Noise reduction of a vacuum pumping system
CN102842637A (en) * 2011-06-20 2012-12-26 理想能源设备(上海)有限公司 Substrate treatment device and substrate treatment method
CN104928645A (en) * 2015-04-07 2015-09-23 凌嘉科技股份有限公司 Double-door gate valve device and film coating equipment with same
CN116624651A (en) * 2022-02-10 2023-08-22 长鑫存储技术有限公司 Air pressure balance valve, air pressure balance method and load lock chamber

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06104180A (en) * 1992-09-21 1994-04-15 Sony Corp Varying method for pressure in chamber and equipment having variable-pressure chamber
JPH08335572A (en) * 1995-06-07 1996-12-17 Hitachi Ltd Device for production of semiconductor
JP2000058524A (en) * 1998-08-03 2000-02-25 Nec Corp Vapor phase growth device for metal oxide dielectric material
JP2001291758A (en) * 2000-11-27 2001-10-19 Tokyo Electron Ltd Vacuum processing equipment
JP2002110560A (en) * 2000-09-29 2002-04-12 Hitachi Kokusai Electric Inc Semiconductor manufacturing apparatus
JP2002329763A (en) * 2001-04-27 2002-11-15 Yaskawa Electric Corp Connecting structure between hermetic chambers
JP2004119595A (en) * 2002-09-25 2004-04-15 Sharp Corp Method and apparatus for reducing pressure in vacuum chamber
JP2004241566A (en) * 2003-02-05 2004-08-26 Hitachi Kokusai Electric Inc Substrate processing apparatus

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2939378B2 (en) * 1991-11-21 1999-08-25 東京エレクトロン株式会社 Vacuum processing equipment
JP2598353B2 (en) * 1991-12-04 1997-04-09 アネルバ株式会社 Substrate processing device, substrate transfer device, and substrate replacement method
US5738767A (en) * 1994-01-11 1998-04-14 Intevac, Inc. Substrate handling and processing system for flat panel displays
JP3297632B2 (en) * 1997-09-20 2002-07-02 松下電器産業株式会社 CDMA terminal equipment
TW444321B (en) * 1999-01-12 2001-07-01 Tokyo Electron Ltd Vacuum processing apparatus
US6267545B1 (en) * 1999-03-29 2001-07-31 Lam Research Corporation Semiconductor processing platform architecture having processing module isolation capabilities
US6095741A (en) * 1999-03-29 2000-08-01 Lam Research Corporation Dual sided slot valve and method for implementing the same
JP2004087781A (en) * 2002-08-27 2004-03-18 Ulvac Japan Ltd Vacuum processing method and apparatus
JP4319434B2 (en) * 2003-03-11 2009-08-26 東京エレクトロン株式会社 Gate valve and vacuum vessel
JP2005116854A (en) * 2003-10-09 2005-04-28 Canon Inc Load-lock chamber, exposure system, and method of manufacturing device

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06104180A (en) * 1992-09-21 1994-04-15 Sony Corp Varying method for pressure in chamber and equipment having variable-pressure chamber
JPH08335572A (en) * 1995-06-07 1996-12-17 Hitachi Ltd Device for production of semiconductor
JP2000058524A (en) * 1998-08-03 2000-02-25 Nec Corp Vapor phase growth device for metal oxide dielectric material
JP2002110560A (en) * 2000-09-29 2002-04-12 Hitachi Kokusai Electric Inc Semiconductor manufacturing apparatus
JP2001291758A (en) * 2000-11-27 2001-10-19 Tokyo Electron Ltd Vacuum processing equipment
JP2002329763A (en) * 2001-04-27 2002-11-15 Yaskawa Electric Corp Connecting structure between hermetic chambers
JP2004119595A (en) * 2002-09-25 2004-04-15 Sharp Corp Method and apparatus for reducing pressure in vacuum chamber
JP2004241566A (en) * 2003-02-05 2004-08-26 Hitachi Kokusai Electric Inc Substrate processing apparatus

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009004695A (en) * 2007-06-25 2009-01-08 Dainippon Screen Mfg Co Ltd Substrate-treating device
JP2012057204A (en) * 2010-09-07 2012-03-22 Canon Inc Method and apparatus for forming deposited film
CN110556313A (en) * 2018-06-04 2019-12-10 Asm Ip控股有限公司 Wafer processing chamber with reduced moisture
CN110556313B (en) * 2018-06-04 2023-04-07 Asm Ip控股有限公司 Reduced moisture wafer processing chamber
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
JP7378357B2 (en) 2020-06-17 2023-11-13 東京エレクトロン株式会社 Purging method for substrate processing equipment and gas supply piping

Also Published As

Publication number Publication date
TW200729331A (en) 2007-08-01
CN1925110A (en) 2007-03-07
CN101441995B (en) 2013-09-11
KR100810804B1 (en) 2008-03-06
JP5078243B2 (en) 2012-11-21
KR20070026241A (en) 2007-03-08
CN101441995A (en) 2009-05-27
CN100463105C (en) 2009-02-18
TWI398921B (en) 2013-06-11

Similar Documents

Publication Publication Date Title
JP5078243B2 (en) Vacuum processing apparatus and method for exhausting vacuum preparatory chamber
KR101037846B1 (en) A gate valve device, a vacuum process devide and a method of opening and closing a valve body in the gate valve device
JP6556148B2 (en) Load port and load port atmosphere replacement method
US5462397A (en) Processing apparatus
CN100573817C (en) Pressure reduction vessel and decompression processing device
JP4123249B2 (en) Vacuum processing apparatus and operation method thereof
JP2017139274A (en) Connection mechanism for substrate housing container, and connection method
TWI471896B (en) Vacuum device, vacuum treatment system and vacuum chamber pressure control method
JP2012501549A (en) Load lock chamber for large area substrate processing systems
KR20200006045A (en) Thin plate holding finger and conveying robot having the finger
KR20100055358A (en) Vacuum processing system
US20090320948A1 (en) Stacked load lock chamber and substrate processing apparatus including the same
JP2000150613A (en) Transporting device for object to be treated
WO2012008439A1 (en) Substrate processing method and substrate processing system
KR102517603B1 (en) Substrate transporting method and substrate processing apparatus
KR101571808B1 (en) Apparatus for processing substrate, apparatus for attaching substrate and method for controlling for the apparatus
KR20110071289A (en) Adjustable loadlock chamber
KR20150078439A (en) Method and apparatus for bonding substrates
KR20160027512A (en) Vacuum equipment for manufacturing display device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080804

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110421

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110524

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110721

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120501

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120628

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120828

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120828

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150907

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5078243

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250