JP2006505953A - Laser scanning apparatus and heat treatment method - Google Patents

Laser scanning apparatus and heat treatment method Download PDF

Info

Publication number
JP2006505953A
JP2006505953A JP2004551743A JP2004551743A JP2006505953A JP 2006505953 A JP2006505953 A JP 2006505953A JP 2004551743 A JP2004551743 A JP 2004551743A JP 2004551743 A JP2004551743 A JP 2004551743A JP 2006505953 A JP2006505953 A JP 2006505953A
Authority
JP
Japan
Prior art keywords
substrate
radiation
radiation beam
image
scanning
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004551743A
Other languages
Japanese (ja)
Inventor
タルワー ソミット
オー トンプソン マイケル
エー マークル デビット
Original Assignee
ウルトラテック インク
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ウルトラテック インク filed Critical ウルトラテック インク
Publication of JP2006505953A publication Critical patent/JP2006505953A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S3/00Lasers, i.e. devices using stimulated emission of electromagnetic radiation in the infrared, visible or ultraviolet wave range
    • H01S3/10Controlling the intensity, frequency, phase, polarisation or direction of the emitted radiation, e.g. switching, gating, modulating or demodulating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/03Observing, e.g. monitoring, the workpiece
    • B23K26/032Observing, e.g. monitoring, the workpiece using optical means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/04Automatically aligning, aiming or focusing the laser beam, e.g. using the back-scattered light
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/073Shaping the laser spot
    • B23K26/0738Shaping the laser spot into a linear shape
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/08Devices involving relative movement between laser beam and workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/08Devices involving relative movement between laser beam and workpiece
    • B23K26/082Scanning systems, i.e. devices involving movement of the laser beam relative to the laser head
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2101/00Articles made by soldering, welding or cutting
    • B23K2101/36Electric or electronic devices
    • B23K2101/40Semiconductor devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • H01L21/02683Continuous wave laser beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02691Scanning of a beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation

Landscapes

  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Electromagnetism (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Recrystallisation Techniques (AREA)
  • Electronic Switches (AREA)

Abstract

走査されたレーザ放射線を用いて基板を熱処理する装置および方法が開示されている。装置は、連続放射線源と、基板上に像を形成する光学系とを含む。前記処理領域の各点が、前記領域を熱処理するのに十分な放射線パルスを受けるように、前記基板の表面に関して前記像が走査される。  An apparatus and method for heat treating a substrate using scanned laser radiation is disclosed. The apparatus includes a continuous radiation source and an optical system that forms an image on the substrate. The image is scanned with respect to the surface of the substrate such that each point of the treated area receives a pulse of radiation sufficient to heat treat the area.

Description

発明の背景Background of the Invention

本発明は、基板を熱処理する装置および方法に関し、特に、集積装置または集積回路を含む半導体基板を熱処理する装置および方法に関する。   The present invention relates to an apparatus and method for heat-treating a substrate, and more particularly, to an apparatus and method for heat-treating a semiconductor substrate including an integrated device or an integrated circuit.

集積回路(IC)の製造は、フォトレジスト塗布、フォトリソグラフィ露光、フォトレジスト現像、エッチング、研磨、および加熱または「熱処理(thermal processing)」などの多くのプロセスを半導体基板に対して施すことが含まれる。ある種の用途では、熱処理は、基板のドープ領域(例えば、ソース・ドレイン領域)のドーパントを活性化するために行われる。熱処理には、高速熱アニール(RTA)やレーザ熱処理(LTP)などの様々な加熱(および冷却)技術が挙げられる。熱処理を行なうためにレーザが使用される場合、この技術は「レーザ処理」または「レーザアニール」と呼ばれることがある。   Integrated circuit (IC) fabrication involves subjecting a semiconductor substrate to many processes such as photoresist coating, photolithography exposure, photoresist development, etching, polishing, and heating or “thermal processing”. It is. In certain applications, the heat treatment is performed to activate dopants in the doped regions (eg, source / drain regions) of the substrate. The heat treatment includes various heating (and cooling) techniques such as rapid thermal annealing (RTA) and laser heat treatment (LTP). If a laser is used to perform the heat treatment, this technique may be referred to as “laser processing” or “laser annealing”.

半導体基板のレーザ処理を行なうための様々な技術および装置が知られており、集積回路(IC)製造業界で使用されている。レーザ処理は、アニールされる材料の温度をアニール温度まで上昇させ、次いで開始(例えば、周囲)温度まで低下させる単一のサイクルで行なうことが好ましい。   Various techniques and apparatus for laser processing of semiconductor substrates are known and used in the integrated circuit (IC) manufacturing industry. The laser treatment is preferably performed in a single cycle in which the temperature of the material to be annealed is raised to the annealing temperature and then lowered to the starting (eg, ambient) temperature.

活性化やアニールなどに必要な熱処理サイクルを1ミリ秒(m sec)以下に維持することができれば、ICの性能を大きく向上させることができる。1マイクロ秒(μ sec)未満の熱サイクル時間は、1以上の回路上に均一に広がるパルスレーザからの放射線を使用して容易に得られる。パルスレーザ源を使用してレーザ熱処理を行なうための装置の一例が、「レーザ熱処理装置および方法(Laser Thermal Processing Apparatus and Method)」と題する米国特許第6,366,308B1号に記載されている。しかしながら、放射線パルスが短いほど、熱処理できる領域は浅くなり、回路素子それ自体に大きな温度変化を生じる可能性が高くなるだろう。例えば、厚いフィールド酸化物分離領域上のポリシリコン導体は、シリコンウエハの表面の浅い接合よりもはるかに急速に加熱される。   If the heat treatment cycle required for activation or annealing can be maintained at 1 millisecond (msec) or less, the IC performance can be greatly improved. Thermal cycle times of less than 1 microsecond (μsec) are easily obtained using radiation from a pulsed laser that spreads uniformly over one or more circuits. An example of an apparatus for performing laser heat treatment using a pulsed laser source is described in US Pat. No. 6,366,308B1, entitled “Laser Thermal Processing Apparatus and Method”. However, the shorter the radiation pulse, the shallower the region that can be heat treated, and the more likely it will be that the circuit element itself will undergo a large temperature change. For example, a polysilicon conductor on a thick field oxide isolation region is heated much more rapidly than a shallow junction on the surface of a silicon wafer.

より長い放射線パルスを使用することによって、より均一な温度分布を得ることができる。なぜなら、パルス間隔において、加熱深さがより大きく、横方向の熱伝導のための時間がより長くなって、回路全体の温度が均等化されるからである。しかしながら、5cm以上の回路面積にわたって、レーザパルス幅を1マイクロ秒より長くすることは非実用的である。なぜなら、パルスあたりのエネルギーが高くなり過ぎ、そのような高いエネルギーを供給するために必要なレーザおよびそれに関連する電源は非常に大きくかつ高価であるためである。 By using longer radiation pulses, a more uniform temperature distribution can be obtained. This is because, in the pulse interval, the heating depth is larger, the time for the lateral heat conduction is longer, and the temperature of the entire circuit is equalized. However, it is impractical to make the laser pulse width longer than 1 microsecond over a circuit area of 5 cm 2 or more. This is because the energy per pulse becomes too high, and the lasers and associated power supplies required to supply such high energy are very large and expensive.

パルス放射線を使用することに代わるアプローチは、連続放射線を使用することである。レーザダイオードの形態で連続放射線源を使用する熱処理装置の一例が、2000年3月27日に出願され、本出願と同じ譲受人に譲渡された「基板を露光するための放射エネルギー線源を有する装置(apparatus having Line Source of Radiant Energy for Exposing a Substrate)」と題する米国特許出願第09/536,869号に記載されている。レーザダイオードバーアレイでは、100W/cmの範囲の出力パワーを得ることができ、かつ、約1ミクロンの幅の線像を形成するように結像させることができる。また、電気から放射線への変換も非常に効率的である。さらに、わずかに異なる波長でそれぞれ動作する多くのダイオードがバーに存在するため、均一な線像を形成するように結像することができる。   An alternative approach to using pulsed radiation is to use continuous radiation. An example of a thermal processing apparatus using a continuous radiation source in the form of a laser diode is filed on March 27, 2000 and assigned to the same assignee as the present application “having a radiant energy radiation source for exposing a substrate. US patent application Ser. No. 09 / 536,869 entitled “Apparatus having Line Source of Radiant Energy for Exposing a Substrate”. With a laser diode bar array, an output power in the range of 100 W / cm can be obtained and an image can be formed to form a line image with a width of about 1 micron. Also, the conversion from electricity to radiation is very efficient. Furthermore, since there are many diodes in the bar, each operating at a slightly different wavelength, it can be imaged to form a uniform line image.

しかしながら、ダイオードを連続放射線源として使用することは、ある種の用途のみにとって最適である。例えば、1ミクロンまたはそれ未満の深さを有するソース・ドレイン領域をアニールする場合には、放射線はその深さを超えてシリコンに吸収されないことが好ましい。しかしながら、0.8ミクロンの波長で動作する典型的なレーザダイオードに対する吸収長は、室温のシリコンでは約20ミクロンである。したがって、基板の最上部領域(例えば、1ミクロンより浅い)を処理するための熱処理用途では、ダイオードからの放射線の大部分は、必要とされる(または所望の)深さよりもはるかに深くシリコンウエハ内に浸透してしまう。これにより、必要とされる総パワーが増加する。この問題を緩和するために薄い吸収性コーティングを使用することもできるが、既にかなり複雑な製造プロセスに複雑さを加えることになる。   However, the use of a diode as a continuous radiation source is optimal only for certain applications. For example, when annealing a source / drain region having a depth of 1 micron or less, it is preferred that the radiation not be absorbed by silicon beyond that depth. However, the absorption length for a typical laser diode operating at a wavelength of 0.8 microns is about 20 microns for room temperature silicon. Thus, in thermal processing applications to process the top region of the substrate (eg, less than 1 micron), most of the radiation from the diode is much deeper than the required (or desired) depth. It penetrates inside. This increases the total power required. A thin absorbent coating can be used to alleviate this problem, but it adds complexity to an already quite complex manufacturing process.

[発明の要約]
本発明の第1の態様は、基板の領域を熱処理する装置である。この装置は、連続放射線ビームを提供可能な連続放射線源を含み、前記連続放射線ビームは、前記基板の領域を加熱可能な第1の強度プロファイルおよび波長を有する。任意のシステムが、前記連続放射線源の下流に配置され、かつ、前記放射線ビームを受け、第2の放射線ビームを形成するように構成されている。前記第2の放射線ビームは、前記基板上に像を形成する。実施形態の一例においては、前記像は線像である。前記装置はまた、前記基板を支持するように構成されたステージを含む。前記光学系および前記ステージのうち少なくとも1つは、前記基板に関して走査方向に前記像を走査して、放射線パルスを用いて、前記領域の処理が十分である温度へと前記領域を加熱するように構成される。
[Summary of Invention]
The first aspect of the present invention is an apparatus for heat-treating a region of a substrate. The apparatus includes a continuous radiation source capable of providing a continuous radiation beam, the continuous radiation beam having a first intensity profile and wavelength capable of heating an area of the substrate. An optional system is disposed downstream of the continuous radiation source and is configured to receive the radiation beam and form a second radiation beam. The second radiation beam forms an image on the substrate. In an example embodiment, the image is a line image. The apparatus also includes a stage configured to support the substrate. At least one of the optical system and the stage scans the image in a scanning direction with respect to the substrate and uses a radiation pulse to heat the region to a temperature sufficient to process the region. Composed.

本発明の他の態様は、基板の領域を熱処理する方法である。この方法は、前記基板の領域を加熱可能な波長を有する連続放射線ビームを生成する工程と、次いで、前記領域における各点が、前記基板の領域を処理可能な量の熱エネルギーを受けるように、前記領域の上方で走査方向に前記放射線を走査する工程を含む。   Another aspect of the present invention is a method for heat treating a region of a substrate. The method includes generating a continuous beam of radiation having a wavelength capable of heating the region of the substrate, and then each point in the region receives an amount of thermal energy that can process the region of the substrate. Scanning the radiation in a scanning direction above the region.

各図面に示される各種の要素は単に具体化されたものであり、縮尺に必ずしも制限されるものではない。ある要素の比率は誇張され、一方、その他の要素は最小化されている場合もある。各図面は、本発明の様々な実施態様を例示することを意図するものであり、当業者によって理解でき、かつ適切に実施することができる。   The various elements shown in the drawings are merely embodied and are not necessarily limited to scale. The proportions of certain elements are exaggerated, while others are minimized. The drawings are intended to illustrate various embodiments of the invention and can be understood and appropriately implemented by those skilled in the art.

[発明の詳細な説明]
以下の本発明の実施形態の詳細な説明では、本発明の説明の一部をなし、本発明を実施可能な特定の実施形態を例示する添付図面を参照する。これらの実施形態は、当業者が本発明を実施できるように十分に詳細に説明されており、その他の実施形態も利用することができ、本発明の範囲から逸脱しない限りにおいて変更を加えることができることは理解されるべきである。したがって、以下の詳細な説明は本発明を限定するものとして解釈されるべきではなく、本発明の範囲は添付の請求項のみによって定義されるものである。
Detailed Description of the Invention
In the following detailed description of the embodiments of the invention, reference is made to the accompanying drawings that form a part hereof, and in which are shown by way of illustration specific embodiments in which the invention may be practiced. These embodiments are described in sufficient detail to enable those skilled in the art to practice the invention, and other embodiments may be utilized and may be modified without departing from the scope of the invention. It should be understood that it can be done. The following detailed description is, therefore, not to be taken in a limiting sense, and the scope of the present invention is defined only by the appended claims.

[装置および方法の概括]
図1Aは、本発明のレーザ走査装置の概括的な一実施形態の模式図である。図1Aの装置10は、光軸A1に沿って連続放射線ビーム14Aを放射する連続放射線源12を含み、この連続放射線ビーム14Aは、光軸に垂直な角度で測定された出力パワーおよび強度プロファイルP1を有する。また、実施形態の一例では、放射線ビーム14Aはコリメートされている。さらに、実施形態の一例では、放射線源12はレーザであり、放射線ビーム14Aはレーザビームである。一実施形態では、放射線源12は、約9.4ミクロンから約10.8ミクロンの間の波長で動作する炭酸ガス(CO)レーザである。COレーザは、非常に効率的に電気を放射線に変換し、出力ビームは通常非常にコヒーレントであるため、プロファイルP1はガウス分布(Gaussian)型である。さらに、後述するように、COレーザによって発生する赤外線波長は、シリコン(例えば、半導体ウエハなどのシリコン基板)を処理(例えば、加熱)するために適している。また、一実施形態では、放射線ビーム14Aは直線偏光され、基板に入射する放射線がp−偏光状態Pのみ、s−偏光状態Sのみ、または両方を含むように操作することができる。放射線源12は連続放射線ビーム14Aを放射するため、本明細書では「連続放射線源」と呼ぶ。通常、放射線ビーム14Aは、基板によって吸収され、これにより、基板を加熱することのできる波長の放射線を含む。
[Overview of apparatus and method]
FIG. 1A is a schematic diagram of a general embodiment of a laser scanning device of the present invention. The apparatus 10 of FIG. 1A includes a continuous radiation source 12 that emits a continuous radiation beam 14A along an optical axis A1, which is a measured output power and intensity profile P1 measured at an angle perpendicular to the optical axis. Have In an example embodiment, the radiation beam 14A is collimated. Further, in one example embodiment, the radiation source 12 is a laser and the radiation beam 14A is a laser beam. In one embodiment, radiation source 12 is a carbon dioxide (CO 2 ) laser operating at a wavelength between about 9.4 microns and about 10.8 microns. Since the CO 2 laser converts electricity to radiation very efficiently and the output beam is usually very coherent, the profile P1 is Gaussian. Further, as will be described later, the infrared wavelength generated by the CO 2 laser is suitable for processing (eg, heating) silicon (eg, a silicon substrate such as a semiconductor wafer). Also, in one embodiment, the radiation beam 14A is linearly polarized and can be manipulated such that the radiation incident on the substrate includes only the p-polarization state P, only the s-polarization state S, or both. Since the radiation source 12 emits a continuous radiation beam 14A, it is referred to herein as a “continuous radiation source”. Typically, the radiation beam 14A includes radiation of a wavelength that can be absorbed by the substrate and thereby heat the substrate.

また、装置10は、放射線源12の下流に、放射線ビーム14Aを変化(例えば、集束または成形)させて放射線ビーム14Bを形成する光学系20を含む。光学系20は、単一素子(例えば、レンズ部材またはミラー)からなるか、または複数の部材から製造されていることができる。一実施形態では、光学系20はまた、以下に詳述するように、走査ミラーなどの可動部材を含むことができる。   The apparatus 10 also includes an optical system 20 downstream of the radiation source 12 that changes (eg, focuses or shapes) the radiation beam 14A to form the radiation beam 14B. The optical system 20 may consist of a single element (for example, a lens member or a mirror) or may be manufactured from a plurality of members. In one embodiment, the optical system 20 can also include a movable member, such as a scanning mirror, as described in detail below.

装置10は、光学系20の下流に、上面42を有するチャック(chuck)40をさらに含む。チャック40はステージ46によって支持され、ステージ46は圧盤50によって支持されている。実施形態の一例では、チャック40はステージ46に組み込まれている。実施形態の別例では、ステージ46は移動可能である。また、実施形態の一例では、基板ステージ46は、x,y,およびz軸の約1以上を中心として回転することができる。チャックの上面42は基板60を支持することができ、基板60は、表面法線Nを有する表面62と、エッジ63とを有する。   The apparatus 10 further includes a chuck 40 having an upper surface 42 downstream of the optical system 20. The chuck 40 is supported by a stage 46, and the stage 46 is supported by a platen 50. In an example embodiment, the chuck 40 is incorporated into the stage 46. In another example of embodiment, the stage 46 is movable. In an example embodiment, the substrate stage 46 can rotate about about one or more of the x, y, and z axes. The upper surface 42 of the chuck can support the substrate 60, which has a surface 62 having a surface normal N and an edge 63.

実施形態の一例では、後述するように、基板60は参照形状64を含み、この参照形状10は、装置10内での基板の位置合わせを容易にする。一実施形態の一例では、参照形状64はまた、単結晶基板60の結晶方位を識別するために機能する。実施形態の一例では、基板60は、サンノゼ市95134ザンカーロード3081(3081 Zanker Road, San Jose 95134)の国際半導体製造装置協会(SEMI)から入手できる#Semi M1−600「研磨単結晶シリコンウエハの仕様」に記載されているような単結晶シリコンウエハであり、この文書はこの参照によって本願の開示に含まれるものとする。   In one example embodiment, as described below, the substrate 60 includes a reference shape 64 that facilitates alignment of the substrate within the apparatus 10. In one example embodiment, the reference shape 64 also functions to identify the crystal orientation of the single crystal substrate 60. In one example embodiment, the substrate 60 is a #Semi M1-600 “polished single crystal silicon wafer available from the International Semiconductor Manufacturing Equipment Association (SEMI) of San Jose City, 95134 Zanker Road, 3081. A single crystal silicon wafer as described in “Specifications”, which is incorporated herein by reference.

また、実施形態の一例では、基板60は、基板内に形成された回路(例えば、トランジスタ)67の一部として表面62またはその近傍に形成されたソース領域およびドレイン領域66A,66Bを含む。実施形態の一例では、ソース領域およびドレイン領域66A,66Bは浅く、基板内部に向かって1ミクロン以下の深さを有する。   In one example of the embodiment, the substrate 60 includes source and drain regions 66A and 66B formed on or near the surface 62 as part of a circuit (eg, transistor) 67 formed in the substrate. In an example embodiment, the source and drain regions 66A, 66B are shallow and have a depth of 1 micron or less toward the interior of the substrate.

軸A1と基板法線Nとは角度Φを形成し、この角度Φは放射線ビーム14B(および軸A1)が基板表面の法線Nとなす入射角Φである。実施形態の一例では、放射線ビーム14Bは入射角Φ>0を有し、この入射角によって、基板の表面62から反射される放射線が放射線源12に戻らないようになっている。通常、入射角は0°≦φ<90°の範囲で変化することができる。しかしながら、より詳細に後述するように、ある種の用途では、この範囲内の選択された入射角で装置を動作させることが有益である。   The axis A1 and the substrate normal N form an angle Φ, which is the incident angle Φ that the radiation beam 14B (and the axis A1) makes with the normal N of the substrate surface. In one example embodiment, the radiation beam 14B has an angle of incidence Φ> 0, which prevents radiation reflected from the substrate surface 62 from returning to the radiation source 12. Usually, the incident angle can be varied in the range of 0 ° ≦ φ <90 °. However, as will be described in more detail below, in certain applications it is beneficial to operate the device at a selected angle of incidence within this range.

実施形態の一例では、装置10はコントローラ70をさらに含み、このコントローラ70は、通信ライン(「ライン」)72を介して放射線源12と接続され、ライン78を介してステージコントローラ76と接続される。ステージコントローラ76は、ライン80を介してステージ46に動作的に接続され、ステージの移動を制御する。実施形態の一例では、コントローラ70は、ライン82を介して光学系20と接続されている。コントローラ70は、各信号90,92,および94を介して、放射線源12、ステージコントローラ76、および光学系20(例えば、内部の部材の移動)の動作を制御する。   In one example embodiment, the apparatus 10 further includes a controller 70 that is connected to the radiation source 12 via a communication line (“line”) 72 and is connected to the stage controller 76 via a line 78. . The stage controller 76 is operatively connected to the stage 46 via a line 80 and controls the movement of the stage. In an example embodiment, the controller 70 is connected to the optical system 20 via a line 82. The controller 70 controls operations of the radiation source 12, the stage controller 76, and the optical system 20 (for example, movement of internal members) via the signals 90, 92, and 94.

実施形態の一例では、1以上のライン72,78,80,および82はワイヤであり、対応する1以上の信号90,92,および94は電気信号であり、実施形態の別例では、1以上の上記ラインは光ファイバであり、対応する1以上の上記信号は光信号である。   In one example embodiment, one or more lines 72, 78, 80, and 82 are wires, and the corresponding one or more signals 90, 92, and 94 are electrical signals, and in another example embodiment, one or more lines. The lines are optical fibers, and the corresponding one or more of the signals are optical signals.

実施形態の一例では、コントローラ70は、パーソナルコンピューターまたはワークステーションなどのコンピュータであり、テキサス州オースチンのデルコンピュータ社などの多数の周知のコンピュータ会社から入手できる。好ましくは、コントローラ70は、インテルPENTIUM(登録商標)シリーズまたはAMD K6またはK7プロセッサなどの商業的に入手可能な多数のマイクロプロセッサのいずれかと、プロセッサをハードディスクドライブなどの記憶装置に接続するための適切なバスアーキテクチャと、適切な入出力装置(例えば、それぞれキーボードおよびディスプレイ)とを含む。   In one example embodiment, controller 70 is a computer, such as a personal computer or workstation, and is available from a number of well-known computer companies, such as Dell Computer Corporation of Austin, Texas. Preferably, the controller 70 is suitable for connecting the processor to a storage device such as a hard disk drive and any of a number of commercially available microprocessors such as the Intel PENTIUM® series or AMD K6 or K7 processors. Bus architecture and appropriate input / output devices (eg, keyboard and display, respectively).

引き続き図1Aを参照すると、放射線ビーム14Bは、軸A1に沿って光学系20によって基板の表面62に向けられる。実施形態の一例では、光学系20は、放射線ビーム14Bを集束させて像100を基板の表面62上に形成する。本明細書において、「像」という用語は、放射線ビーム14Bによって基板の表面62に形成される光の分布を表現するために通常使用される。したがって、像100は、従来の意味における関連する物体を必ずしも有する必要はない。また、像100は、必ずしも光線を点集束させることによって形成される必要はない。例えば、像100は、アナモルフィック光学系20によって形成された楕円形のスポットであることができ、円対称の光学系から形成された法線入射集束ビームによって形成された円形のスポットであってもよい。また、「像」という用語は、ビーム14Bを基板60で遮ることによって基板の表面62に形成される光の分布を含む。   With continued reference to FIG. 1A, the radiation beam 14B is directed by the optical system 20 onto the surface 62 of the substrate along axis A1. In one example embodiment, the optical system 20 focuses the radiation beam 14B to form the image 100 on the surface 62 of the substrate. In this specification, the term “image” is typically used to describe the distribution of light formed on the surface 62 of the substrate by the radiation beam 14B. Thus, the image 100 need not necessarily have an associated object in the conventional sense. Further, the image 100 does not necessarily have to be formed by focusing light rays. For example, the image 100 can be an elliptical spot formed by the anamorphic optical system 20, and a circular spot formed by a normal incident focused beam formed from a circularly symmetric optical system. Also good. Also, the term “image” includes the distribution of light formed on the surface 62 of the substrate by blocking the beam 14 B with the substrate 60.

像100は、正方形、長方形、楕円形などのあらゆる数の形状を有することができる。また、像100は、均一な線像分布に相当するものを含む、様々な異なる強度分布を有することができる。図1Bは、線像としての像100の実施形態の一例を示す。理想化された線像100は、長寸(長さ)L1と、短寸(幅)L2と、均一な(すなわち、フラットトップ(flat-top,上部が平坦な)な)強度とを有する。実際には、回折効果のために線像100は完全に均一ではない。   The image 100 can have any number of shapes, such as a square, a rectangle, and an ellipse. The image 100 can also have a variety of different intensity distributions, including those corresponding to a uniform line image distribution. FIG. 1B shows an example of an embodiment of an image 100 as a line image. The idealized line image 100 has a long dimension (length) L1, a short dimension (width) L2, and a uniform (that is, flat-top, flat top) intensity. In practice, the line image 100 is not completely uniform due to diffraction effects.

図1Cは、実際の線像に関する強度分布を示す2次元プロットである。ほとんどの用途では、短寸L2における統合的な断面積は、長寸L1において実質的に均一であればよく、像の動作的に役立つ部分での統合的な強度分布の均一性は約±2%である。   FIG. 1C is a two-dimensional plot showing the intensity distribution for an actual line image. For most applications, the integrated cross-sectional area at the short dimension L2 need only be substantially uniform at the long dimension L1, and the uniformity of the integrated intensity distribution in the operatively useful part of the image is about ± 2. %.

図1Bおよび図1Cを引き続き参照すると、実施形態の一例では、長さL1は約1.25cmから4.4cmの範囲であり、幅L2は約50ミクロンである。実施形態の別例では、長さL1は1cm以下である。さらに、実施形態の一例では、像100は、50kW/cmから150kW/cmの範囲の強度を有する。像100の強度は、特定の用途のために基板に与える必要があるエネルギーの量、像幅L2、ならびに像が基板の表面62の上方を走査される速度に基づいて選択される。 With continued reference to FIGS. 1B and 1C, in one example embodiment, the length L1 ranges from about 1.25 cm to 4.4 cm and the width L2 is about 50 microns. In another example of the embodiment, the length L1 is 1 cm or less. Furthermore, in an example embodiment, the image 100 has an intensity in the range of 50 kW / cm 2 to 150 kW / cm 2 . The intensity of the image 100 is selected based on the amount of energy that needs to be imparted to the substrate for a particular application, the image width L2, and the speed at which the image is scanned over the surface 62 of the substrate.

図1Dは、基板の表面に線像を形成する円錐ミラーM1,M2,およびM3を含む光学系20の模式図である。図1Dの光学系20は、平行ビームを線像100に集束させるために、反射円錐セグメントをどのように使用できるかを示している。実施形態の一例では、光学系20は、柱状放物面ミラーセグメントM1,M2と、円錐ミラーセグメントM3とを含む。円錐ミラーセグメントM3は、円錐ミラー全体に関連づけられた軸A3を有する(幻像(phantom)にて示す)。軸A3は平行ビーム14Aに平行であり、基板の表面62に沿って位置する。   FIG. 1D is a schematic diagram of an optical system 20 including conical mirrors M1, M2, and M3 that form a line image on the surface of the substrate. The optical system 20 of FIG. 1D shows how a reflective cone segment can be used to focus a collimated beam into the line image 100. In one example embodiment, the optical system 20 includes columnar parabolic mirror segments M1, M2 and a conical mirror segment M3. The conical mirror segment M3 has an axis A3 associated with the entire conical mirror (indicated by a phantom). The axis A3 is parallel to the parallel beam 14A and is located along the surface 62 of the substrate.

線像100は、軸A3に沿って基板の表面62上に形成される。光学系20のこの配置の利点は、入射角Φが最小のばらつきにて、狭い回折制限像100を形成するということである。線像の長さL1は主として、入射角Φとy−方向で測定された平行ビームのサイズとに依存する。異なる入射角Φは、異なる円錐ミラーセグメント(例えば、ミラーM3’)を放射線ビーム14A’の経路に切り換えることによって達成できる。線像100の長さL1は、例えば、調節可能な(例えば、ズーム)コリメート光学系104を使用して、平行ビームサイズを変化させることによって変更することができる。   The line image 100 is formed on the surface 62 of the substrate along the axis A3. The advantage of this arrangement of the optical system 20 is that a narrow diffraction limited image 100 is formed with minimal variation in the incident angle Φ. The length L1 of the line image mainly depends on the incident angle Φ and the size of the parallel beam measured in the y-direction. Different angles of incidence Φ can be achieved by switching different conical mirror segments (eg, mirror M3 ') to the path of the radiation beam 14A'. The length L1 of the line image 100 can be changed by changing the collimated beam size using, for example, an adjustable (eg, zoom) collimating optical system 104.

図1Dを引き続き参照すると、実施形態の一例では、平行ビーム14A’のサイズは、柱状放物面ミラーM1,M2を使用して変更することができる。平行ビーム14A’は最初に、正の放物面ミラーM1によって点Fにおいて線集束される。点Fで集束される前に、集束ビーム14A’は、負の放物面ミラーM2によって遮られ、この放物面ミラーM2は集束ビームをコリメートする。2つの柱状放物面ミラーM1,M2は、平行ビームの幅をy−方向のみにおいて変化させる。したがって、放物面ミラーM1,M2はまた、基板の表面62にて線像100の長さL1を変化させるが、図の平面に垂直な方向における線像の幅L2を変化させない。   With continued reference to FIG. 1D, in one example embodiment, the size of the collimated beam 14A 'can be changed using columnar parabolic mirrors M1, M2. The collimated beam 14A 'is first line focused at point F by a positive parabolic mirror M1. Prior to focusing at point F, the focused beam 14A 'is interrupted by a negative parabolic mirror M2, which collimates the focused beam. The two columnar parabolic mirrors M1, M2 change the width of the parallel beam only in the y-direction. Accordingly, the parabolic mirrors M1, M2 also change the length L1 of the line image 100 at the substrate surface 62, but do not change the line image width L2 in the direction perpendicular to the plane of the drawing.

また、図1Dには、代替の放物面ミラーM1’,M2’および代替の円錐ミラーM3’が示されており、これらは、例えば、インデックスホイール106,108,および110を用いて、光路における所定の固定位置に配置させることができる。   Also shown in FIG. 1D are alternative paraboloidal mirrors M1 ′, M2 ′ and alternative conical mirrors M3 ′, which use, for example, index wheels 106, 108, and 110 in the optical path. It can be arranged at a predetermined fixed position.

図1Aを再び参照すると、実施形態の一例では、基板の表面62は、以下により詳細に説明するように、多くの走査パターンの1つを使用して像100の下で走査される。走査は、基板ステージ46または放射線ビーム14Bのいずれか一方を移動させることを含む多くの方法によって行なうことができる。したがって、本明細書において使用する「走査」という用語は、どのように達成されるかには関係なく、基板の表面に対する像の移動を含む。   Referring again to FIG. 1A, in one example embodiment, the surface 62 of the substrate is scanned under the image 100 using one of many scanning patterns, as will be described in more detail below. Scanning can be accomplished in many ways, including moving either the substrate stage 46 or the radiation beam 14B. Thus, as used herein, the term “scan” includes image movement relative to the surface of the substrate, regardless of how it is accomplished.

基板の表面62、例えば、領域66A,66Bなどの1以上の選択領域、またはトランジスタ67などの1以上の回路の上方で、連続放射線ビームを走査することによって、基板上の各照射点は放射線パルスを受ける。200マイクロ秒の滞在時間(すなわち、像が所与の点の上方に存在する時間)を採用した実施形態の一例では、各走査時に基板の各走査点が受けるエネルギー量は5J/cmから50J/cmの範囲である。重複する走査によって、全吸収エネルギーはさらに増加する。したがって、装置10では、パルス放射源ではなく連続放射線源を、基板上の各点への制御されたパルスまたはバースト放射線に、1以上の領域、例えば内部または上部に形成された回路または回路素子を処理するために十分なエネルギーを与えるために使用できるようになっている。本明細書で使用する「処理」という用語は、選択溶融、エクスプローシブ再結晶、およびドーパント活性化を含む。 By scanning a continuous radiation beam over the surface 62 of the substrate, for example, one or more selected regions such as regions 66A, 66B, or one or more circuits such as transistors 67, each irradiation point on the substrate is exposed to a radiation pulse. Receive. In an example embodiment employing a 200 microsecond dwell time (i.e., the time that the image exists above a given point), the amount of energy received by each scan point on the substrate during each scan is between 5 J / cm 2 and 50 J. / Cm 2 range. Overlapping scans further increase the total absorbed energy. Thus, in apparatus 10, a continuous radiation source rather than a pulsed radiation source is used for controlled pulse or burst radiation to each point on the substrate with one or more regions, eg, circuits or circuit elements formed in or on top. It can be used to give enough energy to process. As used herein, the term “treatment” includes selective melting, explosive recrystallization, and dopant activation.

また、本明細書で使用する「処理」という用語は、レーザアブレーション、基板のレーザ洗浄、またはフォトリソグラフィ露光、ならびにその後のフォトレジストの化学的な活性化は含まない。むしろ、例えば、像100は、1以上の領域の表面温度を上昇させて1以上の領域を処理するため(例えば、ソース領域およびドレイン領域66A,66Bのドーパントを活性化させるため、または前記1以上の領域の結晶構造を変化させるため)に十分な熱エネルギーを供給するため、基板60の上方を走査される。熱処理の実施形態の一例では、装置10は、浅いソース・ドレイン領域(すなわち、基板内部に表面62から1ミクロン以下の深さを有するトランジスタ67のソース領域およびドレイン領域66A,66Bなど)を加熱および冷却し、それによって活性化するために使用される。   Also, as used herein, the term “processing” does not include laser ablation, laser cleaning of the substrate, or photolithography exposure, and subsequent chemical activation of the photoresist. Rather, for example, the image 100 may be used to increase the surface temperature of one or more regions to process one or more regions (eg, to activate the dopants in the source and drain regions 66A, 66B, or the one or more regions). The substrate 60 is scanned to provide sufficient thermal energy (to change the crystalline structure of the region). In one example of a heat treatment embodiment, the apparatus 10 heats and heats shallow source / drain regions (ie, source and drain regions 66A, 66B, etc. of a transistor 67 having a depth of 1 micron or less from the surface 62 inside the substrate). Used to cool and thereby activate.

以下に述べる例によって例示されるように、装置10は多くの異なる実施形態を有する。   As illustrated by the examples described below, the device 10 has many different embodiments.

[ビームコンバータを有する実施形態]
図1Aに示す実施形態では、放射線ビーム14AのプロファイルP1は不均一である。このような状況は、例えば、放射線源12が実質的にコヒーレントなレーザであり、平行ビームにおける最終的なエネルギー分布がガウス分布型である場合に発生する場合があり、その結果、平行ビームを基板に結像した場合に同様なエネルギー分布が生じる。用途によっては、与えられた用途において像100が基板の熱処理を行なうために適当な強度分布およびサイズを有するように、放射線ビーム14A,14Bをより均一な分布にし、かつ放射線ビーム14A,14Bの大きさを変化させることが望ましい場合がある。
[Embodiment having a beam converter]
In the embodiment shown in FIG. 1A, the profile P1 of the radiation beam 14A is non-uniform. Such a situation may occur, for example, when the radiation source 12 is a substantially coherent laser and the final energy distribution in the parallel beam is Gaussian, so that the parallel beam is a substrate. A similar energy distribution is generated when an image is formed on the. Depending on the application, the radiation beams 14A, 14B have a more uniform distribution and the size of the radiation beams 14A, 14B so that the image 100 has an appropriate intensity distribution and size for heat treating the substrate in a given application. It may be desirable to change the height.

図2Aは、図1Aのレーザ走査装置10の実施形態の一例を示す模式図であり、このレーザ走査装置10は、光学系20と連続放射線源12との間に軸A1に沿って配置されたビームコンバータ150をさらに含む。ビームコンバータ150は、強度プロファイルP1を有する放射線ビーム14Aを、強度プロファイルP2を有する変形放射線ビーム14A’に変換する。実施形態の一例では、ビームコンバータ150および光学系20は結合されて、単一のコンバータ/光学系160を形成する。ビームコンバータ150は光学系20の上流に配置されているが、光学系20の下流に配置させることもできる。   FIG. 2A is a schematic diagram showing an example of an embodiment of the laser scanning device 10 of FIG. 1A, and this laser scanning device 10 is disposed along the axis A <b> 1 between the optical system 20 and the continuous radiation source 12. A beam converter 150 is further included. The beam converter 150 converts the radiation beam 14A having the intensity profile P1 into the deformed radiation beam 14A 'having the intensity profile P2. In one example embodiment, beam converter 150 and optical system 20 are combined to form a single converter / optical system 160. Although the beam converter 150 is arranged upstream of the optical system 20, it can be arranged downstream of the optical system 20.

図2Bは、ビームコンバータ150が、強度プロファイルP1を有する放射線ビーム14Aを、強度プロファイルP2を有する変形放射線ビーム14A’に変換する方法を示す模式図である。放射線ビーム14A,14A’は光線170から構成されるように示され、光線間隔は放射線ビームの相対的な強度分布に対応している。ビームコンバータ150は、光線170の相対的な間隔(すなわち、密度)を調整して、放射線ビーム14AのプロファイルP1を変化させ、プロファイルP2を有する変形放射線ビーム14A’を形成する。実施形態の一例では、ビームコンバータ150はジオプトリック、カトプトリックまたはカタディオプトリックなレンズ系である。   FIG. 2B is a schematic diagram showing how the beam converter 150 converts the radiation beam 14A having the intensity profile P1 into the deformed radiation beam 14A ′ having the intensity profile P2. The radiation beams 14A, 14A 'are shown to be composed of light rays 170, with the light beam spacing corresponding to the relative intensity distribution of the radiation beams. The beam converter 150 adjusts the relative spacing (ie, density) of the rays 170 to change the profile P1 of the radiation beam 14A to form a deformed radiation beam 14A 'having a profile P2. In one example embodiment, the beam converter 150 is a dioptric, catoptric or catadioptric lens system.

図2Cは、コンバータ/光学系160の実施形態の一例の断面図であり、コンバータ/光学系160は、コンバータ150と、光学系20とを有する。コンバータ150は、ガウス分布型プロファイルP1を有する放射線ビーム14Aを、フラットトップの(すなわち、均一な)プロファイルP2を有する放射線ビーム14A’に変換する。光学系20は、集束放射線ビーム14Bおよび線像100を形成する。図2Cのコンバータ/集束系160は、円柱レンズL1〜L5を含む。ここで、「レンズ」は、個々のレンズ部材または一群のレンズ部材(すなわち、レンズ群)を意味することができる。最初の2つの円柱レンズL1,L2は、放射線ビーム14Aの直径を減少させるのに対し、円柱レンズのL3,L4は、放射線ビーム14Aをほぼ元のサイズへと拡大するが、レンズの球面収差により生じる変形放射線ビームプロファイル14A’を有するようにする。第5の円柱レンズL5は光学系20として機能し、他のレンズと相対的に90°回転しているために、倍率は図の平面からはずれている。レンズL5は放射線ビーム14Bを形成し、放射線ビーム14Bは基板60上に線像100を形成する。   FIG. 2C is a cross-sectional view of an example of an embodiment of the converter / optical system 160, and the converter / optical system 160 includes a converter 150 and an optical system 20. The converter 150 converts the radiation beam 14A having a Gaussian profile P1 into a radiation beam 14A 'having a flat top (ie, uniform) profile P2. The optical system 20 forms a focused radiation beam 14B and a line image 100. The converter / focusing system 160 of FIG. 2C includes cylindrical lenses L1-L5. Here, “lens” may mean an individual lens member or a group of lens members (ie, a lens group). The first two cylindrical lenses L1 and L2 reduce the diameter of the radiation beam 14A, while the cylindrical lenses L3 and L4 enlarge the radiation beam 14A to its original size, but due to the spherical aberration of the lens. The resulting deformed radiation beam profile 14A '. Since the fifth cylindrical lens L5 functions as the optical system 20 and is rotated 90 ° relative to the other lenses, the magnification is deviated from the plane of the drawing. The lens L5 forms a radiation beam 14B, and the radiation beam 14B forms a line image 100 on the substrate 60.

実施形態の一例では、図2Cのコンバータ/集束系160はまた、レンズL1の上流に配置されたビネット開口180を含む。ビネット開口180は、入力ビーム14Aの最も外側の光線を取り除き、これらの光線は系における球面収差によって過補正され、一方、平坦な強度プロファイルのエッジにおける強度バンプとなる。   In one example embodiment, the converter / focusing system 160 of FIG. 2C also includes a vignette aperture 180 disposed upstream of the lens L1. Vignette aperture 180 removes the outermost rays of input beam 14A, which are overcorrected by spherical aberrations in the system, while resulting in intensity bumps at the edges of the flat intensity profile.

図2Dは、典型的なビームコンバータ150によって形成されるような、ビネットされていない均一な放射線ビーム14A’の強度プロファイルP2の一例のプロットである。通常、フラットトップ放射線ビームプロファイルP2は、その長さのほとんどの部分で平坦部200を有し、ビーム端部204の近傍に強度ピーク210を含む。ビネット開口180を用いてビームの外側の光線を取り除くことによって、図2Eに示すように、より均一な放射線ビームプロファイルP2を得ることも可能である。   FIG. 2D is an example plot of an intensity profile P2 of a non-vignetted uniform radiation beam 14A 'as formed by a typical beam converter 150. Typically, the flat top radiation beam profile P2 has a flat portion 200 in most of its length and includes an intensity peak 210 in the vicinity of the beam end 204. By removing the rays outside the beam using the vignette aperture 180, it is also possible to obtain a more uniform radiation beam profile P2 as shown in FIG. 2E.

放射線ビーム14Aの最も外側の光線をビネットすることにより、ビーム端部204における強度の上昇を防ぐことができるが、ビーム端部近傍における、ある程度の強度の上昇は、均一な加熱を生じさせるために望ましい。熱は、ビーム端部204において、線像100(図1B)に平行な方向および垂直な方向で失われる。したがって、ビーム端部204において強度が大きいほど、より高い熱損矢を補償することができる。このため、像100が基板60の上方を走査される際に、基板におけるより均一な温度分布が得られる。   By vignetting the outermost rays of the radiation beam 14A, an increase in intensity at the beam end 204 can be prevented, but a certain increase in intensity in the vicinity of the beam end causes uniform heating. desirable. Heat is lost at the beam end 204 in a direction parallel to and perpendicular to the line image 100 (FIG. 1B). Therefore, the higher the intensity at the beam end 204, the higher the heat loss arrow can be compensated. Thus, a more uniform temperature distribution on the substrate is obtained when the image 100 is scanned over the substrate 60.

[さらなる実施形態]
図3は、図1Aの装置と同様の装置10の模式図であり、装置10は、図の上部であって基板60の上方に配置される多くの追加部材をさらに含む。これらの追加部材は、単独または様々な組み合わせにおいて、本発明の付加的な実施形態を示すために含まれる。以下の各実施形態の例によって行われる動作には、図3において導入された追加部材のいくつが必要であり、上述した実施形態で述べられた部材が述べられる実施形態でも必要であるか否かは当業者に明らかであると思われる。説明を簡単にするために、これらの実施形態のいくつかは、先に述べられた実施形態に基づくものであるため、図3は、これらの付加的な実施形態に必要な部材のすべてを含むように示されている。これらの付加的な実施形態の例について以下に説明する。
[Further embodiment]
FIG. 3 is a schematic view of an apparatus 10 similar to the apparatus of FIG. 1A, which further includes a number of additional members disposed above the substrate 60 at the top of the figure. These additional members are included alone or in various combinations to illustrate additional embodiments of the present invention. The operations performed by the following example embodiments require some of the additional members introduced in FIG. 3 and whether the members described in the above-described embodiments are also required in the described embodiments. Will be apparent to those skilled in the art. For ease of explanation, since some of these embodiments are based on the previously described embodiments, FIG. 3 includes all of the components required for these additional embodiments. As shown. Examples of these additional embodiments are described below.

[減衰器]
図3を参照すると、実施形態の一例では、装置10は、放射線源12の下流に配置された減衰器226を含み、減衰器の位置によって、放射線ビーム14A,ビーム14A’,またはビーム14Bを選択的に減衰させる。一実施形態では、放射線ビーム14Aは特定の方向(例えば、p,sまたはそれらの組み合わせ)に偏光され、減衰器226は偏光子227を含み、偏光子227は、放射線ビームの偏光方向に相対的に回転させることができ、これにより、ビームを減衰させる。別の実施形態では、減衰器226は、除去可能な減衰フィルター、または複数の減衰器部材を含むプログラム可能な減衰ホイールの少なくとも1つを含む。
[Attenuator]
Referring to FIG. 3, in one example embodiment, the apparatus 10 includes an attenuator 226 disposed downstream of the radiation source 12, and selects the radiation beam 14A, beam 14A ′, or beam 14B depending on the position of the attenuator. Attenuate. In one embodiment, the radiation beam 14A is polarized in a particular direction (eg, p, s or a combination thereof), the attenuator 226 includes a polarizer 227, and the polarizer 227 is relative to the polarization direction of the radiation beam. , Which attenuates the beam. In another embodiment, the attenuator 226 includes at least one of a removable attenuation filter or a programmable attenuation wheel that includes a plurality of attenuator members.

実施形態の一例では、減衰器226はライン228を介してコントローラ70と接続され、コントローラからの信号229によって制御される。   In one example embodiment, attenuator 226 is connected to controller 70 via line 228 and is controlled by signal 229 from the controller.

[1/4波長板]
実施形態の別例では、放射線ビーム14Aは直線偏光され、装置10は、放射線源12の下流に、直線偏光を円偏光に変換するための1/4波長板230を含む。減衰器が、基板62から反射または散乱された放射線が放射線源12に戻るのを防ぐための偏光子227を含む実施形態の一例では、1/4波長板230は減衰器226と連動して動作する。特に、戻り経路において、反射された円偏光放射線は直線偏光放射線に変換され、偏光子227によって遮られる。この構成は、入射角Φが0または0の近傍である(すなわち、法線入射またはほぼ法線入射である)場合に特に有用である。
[¼ wave plate]
In another example embodiment, the radiation beam 14A is linearly polarized and the apparatus 10 includes a quarter wave plate 230 downstream of the radiation source 12 for converting the linearly polarized light into circularly polarized light. In an example embodiment where the attenuator includes a polarizer 227 to prevent radiation reflected or scattered from the substrate 62 from returning to the radiation source 12, the quarter wave plate 230 operates in conjunction with the attenuator 226. To do. In particular, in the return path, the reflected circularly polarized radiation is converted to linearly polarized radiation and blocked by the polarizer 227. This configuration is particularly useful when the incident angle Φ is 0 or in the vicinity of 0 (ie, normal incidence or almost normal incidence).

[ビームエネルギー監視システム]
実施形態の別例では、装置10は、軸A1に沿って放射線源12の下流に配置され、各ビームのエネルギーを監視するビームエネルギー監視システム250を含む。システム250は、ライン252を介してコントローラ70に接続され、測定された各ビームエネルギーを示す信号254をコントローラに供給する。
[Beam energy monitoring system]
In another example embodiment, the apparatus 10 includes a beam energy monitoring system 250 disposed downstream of the radiation source 12 along the axis A1 and monitoring the energy of each beam. System 250 is connected to controller 70 via line 252 and provides a signal 254 indicative of each measured beam energy to the controller.

[フォールドミラー]
実施形態の別例では、装置10はフォールドミラー260を含み、フォールドミラー260は、装置をよりコンパクトにするか、または特定の装置ジオメトリーを形成する。実施形態の一例では、フォールドミラー260は移動可能であり、ビーム14A’の方向を調整する。
[Fold mirror]
In another example embodiment, the device 10 includes a fold mirror 260 that makes the device more compact or forms a particular device geometry. In one example embodiment, the fold mirror 260 is movable and adjusts the direction of the beam 14A ′.

また、実施形態の一例では、フォールドミラー260は、ライン262を介してコントローラ70に接続され、コントローラからの信号264によって制御される。   In an example embodiment, the fold mirror 260 is connected to the controller 70 via a line 262 and is controlled by a signal 264 from the controller.

[反射放射線モニタ]
図3を引き続き参照すると、実施形態の別例では、装置10は、基板の表面62によって反射された放射線281を受けるように配置された反射放射線モニタ280を含む。モニタ280はライン282を介してコントローラ70に接続され、測定した反射放射線281の量を示す信号284をコントローラに供給する。
[Reflected radiation monitor]
With continued reference to FIG. 3, in another example embodiment, the apparatus 10 includes a reflected radiation monitor 280 positioned to receive radiation 281 reflected by the surface 62 of the substrate. Monitor 280 is connected to controller 70 via line 282 and provides a signal 284 indicating the amount of reflected radiation 281 measured to the controller.

図4は、入射角Φ(図1および図2A)が0°または0°の近傍である装置10の実施形態の一例のための反射放射線モニタ280の実施形態の一例を示す。反射放射線モニタ280は、軸A1に沿ったビームスプリッタ285を利用して、反射放射線281(図3)の小さな部分を検知器287に向ける。モニタ280はライン282を介してコントローラ70に接続され、検知した各放射線を示す信号284をコントローラに供給する。実施形態の一例では、検知器287に反射放射線281を集束させるために集束レンズ290を含む。   FIG. 4 shows an example of an embodiment of a reflected radiation monitor 280 for an example of an embodiment of the apparatus 10 where the incident angle Φ (FIGS. 1 and 2A) is 0 ° or near 0 °. Reflected radiation monitor 280 utilizes beam splitter 285 along axis A1 to direct a small portion of reflected radiation 281 (FIG. 3) to detector 287. The monitor 280 is connected to the controller 70 via a line 282 and supplies a signal 284 indicating each detected radiation to the controller. In one example embodiment, a focusing lens 290 is included to focus the reflected radiation 281 on the detector 287.

反射放射線モニタ280は、複数の用途を有する。1つの動作モードでは、像100はできる限り小さく作成され、反射放射線監視信号284の変化が測定される。次いで、この情報は、基板上の反射率のばらつきを評価するために使用される。この動作モードでは、検知器(例えば、検知器287)の応答時間が走査ビームの滞在時間未満に等しいことが必要となる。反射率のばらつきは、入射角Φを調整するか、入射ビーム14Bの偏光方向を調整するか、またはその両方によって最小化される。   The reflected radiation monitor 280 has multiple uses. In one mode of operation, the image 100 is made as small as possible and changes in the reflected radiation monitoring signal 284 are measured. This information is then used to evaluate the reflectance variation on the substrate. This mode of operation requires that the response time of the detector (eg, detector 287) be equal to less than the dwell time of the scanning beam. The variation in reflectivity is minimized by adjusting the incident angle Φ, adjusting the polarization direction of the incident beam 14B, or both.

第2の動作モードでは、ビームエネルギー監視システム250からのビームエネルギー監視信号254(図3)と放射線監視信号284とが組み合わされて、吸収放射線の量の正確な測定が達成される。次に、放射線ビーム14Bのエネルギーを調節して、吸収放射線を一定のレべルに維持する。この動作モードの変形には、吸収放射線に対応する方法にて走査速度を調整することが含まれる。   In the second mode of operation, the beam energy monitoring signal 254 (FIG. 3) from the beam energy monitoring system 250 and the radiation monitoring signal 284 are combined to achieve an accurate measurement of the amount of absorbed radiation. Next, the energy of the radiation beam 14B is adjusted to maintain the absorbed radiation at a constant level. This modification of the operation mode includes adjusting the scanning speed in a manner corresponding to absorbed radiation.

第3の動作モードでは、反射放射線監視信号284が閾値と比較され、閾値を超える信号は、さらなる調査を必要とする予期しない異常が発生したことの警告として使用される。実施形態の一例では、反射放射線のばらつきに関するデータは、対応する基板識別コードと共にアーカイブされ(例えば、コントローラ70のメモリに保存)、基板の処理が完了した後に発見された異常の根本的原因を決定する際の手助けとなる。   In the third mode of operation, the reflected radiation monitoring signal 284 is compared to a threshold value and the signal that exceeds the threshold value is used as a warning that an unexpected anomaly has occurred that requires further investigation. In one example embodiment, the data regarding the variation in reflected radiation is archived with the corresponding substrate identification code (eg, stored in the memory of the controller 70) to determine the root cause of the anomaly found after processing the substrate. To help you.

[診断システム]
多くの熱処理では、処理される表面の最高温度または温度−時間プロファイルを知ることが有益である。例えば、接合のアニールの場合、LTP時に達する最高温度を非常に厳密に制御することが望ましい。厳密な制御は、測定された温度を使用して、連続放射線源の出力パワーを制御することにより達成される。理想的には、そのような制御システムは、走査された像の滞在時間と同等かまたはそれよりも速い応答能力を有する。
[Diagnostic system]
In many heat treatments, it is beneficial to know the maximum temperature or temperature-time profile of the surface being treated. For example, in the case of junction annealing, it is desirable to control the maximum temperature reached during LTP very closely. Tight control is achieved by using the measured temperature to control the output power of the continuous radiation source. Ideally, such a control system has a response capability equal to or faster than the dwell time of the scanned image.

したがって、図3を再び参照すると、実施形態の別例では、装置10は、基板60と通信する診断システム300を含む。診断システム300はライン302を介してコントローラ70に接続され、基板62の温度の測定などの所定の診断動作を行なうように構成されている。診断システム300は、基板温度などの各診断測定値を示す信号304をコントローラに供給する。   Thus, referring again to FIG. 3, in another example embodiment, the apparatus 10 includes a diagnostic system 300 that communicates with the substrate 60. The diagnostic system 300 is connected to the controller 70 via a line 302 and is configured to perform a predetermined diagnostic operation such as measurement of the temperature of the substrate 62. The diagnostic system 300 provides a signal 304 indicating each diagnostic measurement such as the substrate temperature to the controller.

図4を再び参照すると、入射角Φが0°または0°の近傍である場合、診断システム300を集束光学系20の経路からはずれるように回転させる。   Referring back to FIG. 4, when the incident angle Φ is 0 ° or in the vicinity of 0 °, the diagnostic system 300 is rotated so as to deviate from the path of the focusing optical system 20.

図5は、診断システム300の実施形態の一例の拡大図であり、この診断システム300は、走査された像100の位置またはその近傍における温度を測定するために使用される。図5のシステム300は、軸A2に沿って、生じた放射線310を集光する集光光学系340と、集光された放射線310を分離し、ライン302A,302Bそれぞれを介してコントローラ70に接続された2つの検知器350A,350Bに放射線を向けるためのビームスプリッタ346とを含む。検知器350A,350Bは、放射線310の異なるスペクトルバンドを検出する。   FIG. 5 is an enlarged view of an example embodiment of a diagnostic system 300 that is used to measure the temperature at or near the position of the scanned image 100. The system 300 of FIG. 5 separates the collected radiation 310 along the axis A2 and the collected radiation 310 and connects to the controller 70 via lines 302A and 302B, respectively. And a beam splitter 346 for directing radiation toward the two detectors 350A and 350B. Detectors 350A and 350B detect different spectral bands of radiation 310.

診断システム300の非常に単純な構成は、放射線ビーム(図3)の立ち下がりエッジにおける最も熱いスポットを観測するためのシリコン検出器350Aなどの単一の検知器を含むものである。通常、像100が遭遇する基板上の様々な膜(図示せず)は異なる反射率を有するため、そのような検知器からの信号304は変化するだろう。例えば、シリコン、酸化シリコン、および酸化物層上方のポリシリコン膜はすべて、法線入射において異なる反射率を有し、したがって、異なる熱放射率を有する。   A very simple configuration of the diagnostic system 300 includes a single detector, such as a silicon detector 350A, for observing the hottest spot at the falling edge of the radiation beam (FIG. 3). Typically, the various films (not shown) on the substrate that the image 100 encounters will have different reflectivity, so the signal 304 from such a detector will vary. For example, silicon, silicon oxide, and polysilicon films above the oxide layer all have different reflectivities at normal incidence and thus have different thermal emissivities.

この問題に対処する1つの方法は、所与の期間に得られる最も高い信号のみを使用して温度を推定することである。このアプローチでは、検知器の応答時間が減少する代わりに、精度が向上する。   One way to deal with this problem is to estimate the temperature using only the highest signal available in a given period. This approach improves accuracy at the expense of reduced detector response time.

図5を引き続き参照すると、実施形態の一例では、集光光学系340は(矢印354によって示される方向に移動する)像100の立ち下がりエッジ上に集束されて、基板60上の最も熱いポイントから放射される放射線310を集光する。したがって、基板60上の最も熱い(すなわち、最も高い)温度を監視して、直接制御することができる。基板温度の制御は、連続放射線源12のパワーを変化させること、減衰器226(図3)を調整すること、基板走査速度または像走査速度を変化させること、あるいはこれらの組み合わせを含む多くの方法によって達成できる。   With continued reference to FIG. 5, in one example embodiment, the collection optics 340 is focused on the falling edge of the image 100 (moving in the direction indicated by arrow 354) from the hottest point on the substrate 60. The emitted radiation 310 is collected. Accordingly, the hottest (ie, highest) temperature on the substrate 60 can be monitored and controlled directly. Control of the substrate temperature can be accomplished in a number of ways, including changing the power of the continuous radiation source 12, adjusting the attenuator 226 (FIG. 3), changing the substrate scan speed or image scan speed, or combinations thereof. Can be achieved.

基板60の温度は、表面62全体が同じ放射率を有するという条件で、単一波長の生じた放射線310を監視することによって測定することができる。表面62がパターニングされている場合、放射率が波長によって急速に変化しないと仮定して、走査動作時に2つの近接した間隔の波長間の比率を監視することによって、温度を測定することができる。   The temperature of the substrate 60 can be measured by monitoring the generated radiation 310 of a single wavelength, provided that the entire surface 62 has the same emissivity. If the surface 62 is patterned, the temperature can be measured by monitoring the ratio between two closely spaced wavelengths during a scanning operation, assuming that the emissivity does not change rapidly with wavelength.

図6は、1410℃の温度における強度 対 波長の黒体温度プロファイル(プロット)であり、この温度は、半導体トランジスタのソース領域およびドレイン領域、すなわちトランジスタ67(図3)のソース領域およびドレイン領域66A,66Bのドーパントを活性化させるための所定の熱処理用途に使用される上限である。図6から明らかなように、1410℃付近の温度は、シリコン検出器アレイの形態の検知器350A,350Bを使用して、0.8ミクロンおよび1.0ミクロンで監視される。単一の検出器と比較して、検知器アレイを使用することの利点は、像100に沿って像100上で多くの温度サンプルが得られ、あらゆる温度の不均一性または不規則性を迅速に見つけることができることである。ソース領域およびドレイン領域66A,66Bのドーパントの活性化を含む実施形態の一例では、10℃未満の二点間の最高温度のばらつきを有する1400℃まで温度を上昇させる必要がある。   FIG. 6 is a black body temperature profile (plot) of intensity versus wavelength at a temperature of 1410 ° C., which is the source and drain region of a semiconductor transistor, ie, the source and drain region 66A of transistor 67 (FIG. 3). , 66B, the upper limit used for a given heat treatment application to activate the dopant. As is apparent from FIG. 6, temperatures around 1410 ° C. are monitored at 0.8 microns and 1.0 microns using detectors 350A, 350B in the form of silicon detector arrays. Compared to a single detector, the advantage of using a detector array is that many temperature samples are obtained on the image 100 along the image 100 to quickly eliminate any temperature non-uniformities or irregularities. Is that you can find in In an example embodiment that includes dopant activation of the source and drain regions 66A, 66B, the temperature needs to be raised to 1400 ° C. with a maximum temperature variation between two points of less than 10 ° C.

1400℃の領域における温度制御では、2つのスペクトル領域は500〜800nmおよび800〜1100nmであり得る。2つのスペクトル領域での放射率の比率が基板の表面上の様々な材料によって変化しないと仮定すると、2つの検知器からの信号の比率は、正確に温度に関連し得る。温度調節にシリコン検出器350A,350Bからの信号304A,304Bの比率を使用することにより、滞在時間とほぼ等しい応答時間を有する制御ループバンド幅を比較的容易に達成することができる。   For temperature control in the region of 1400 ° C., the two spectral regions can be 500-800 nm and 800-1100 nm. Assuming that the ratio of emissivity in the two spectral regions does not vary with different materials on the surface of the substrate, the ratio of the signals from the two detectors can be precisely related to temperature. By using the ratio of the signals 304A, 304B from the silicon detectors 350A, 350B for temperature adjustment, a control loop bandwidth having a response time approximately equal to the dwell time can be achieved relatively easily.

別のアプローチは、検知器アレイの形態で検知器350A,350Bを使用することであり、この場合、両方のアレイが基板の同じ領域に結像するが、異なるスペクトル領域を使用する。この配置によって、処理された領域の温度プロファイルが得られ、最高温度および温度均一性の両方を正確に評価することができる。この配置はまた、強度プロファイルの均一性を調整することができる。そのような配置のシリコン検出器を使用することによって、滞在時間とほぼ等しい応答時間を有する制御ループバンド幅が可能となる。   Another approach is to use detectors 350A, 350B in the form of a detector array, where both arrays image the same area of the substrate, but use different spectral regions. With this arrangement, a temperature profile of the treated area is obtained and both maximum temperature and temperature uniformity can be accurately evaluated. This arrangement can also adjust the uniformity of the intensity profile. By using a silicon detector in such an arrangement, a control loop bandwidth with a response time approximately equal to the dwell time is possible.

基板上に存在する膜の放射率のばらつきを補償する別の方法は、診断システム300を、p−偏光放射線を使用してシリコンのブリュースター角近傍の角度で基板の表面62に対向するように配置することである。この場合、ブリュースター角は、診断システム300によって検出された波長に対応する波長で計算される。吸光係数はブリュースター角と非常に一致しているため、放射率も同様である。一実施形態では、この方法を、2つの検知器アレイを使用して2つの隣り合う波長における信号の比率を得る方法と組み合わせる。この場合、図7に示すように、診断システム300の視軸を含む平面は、放射線ビーム14Bおよび反射放射線281を含む平面440と直角であり得る。   Another way to compensate for variations in the emissivity of the film present on the substrate is to make the diagnostic system 300 face the substrate surface 62 at an angle near the Brewster angle of silicon using p-polarized radiation. Is to place. In this case, the Brewster angle is calculated at a wavelength corresponding to the wavelength detected by the diagnostic system 300. Since the extinction coefficient is very consistent with the Brewster angle, so is the emissivity. In one embodiment, this method is combined with a method that uses two detector arrays to obtain the ratio of signals at two adjacent wavelengths. In this case, as shown in FIG. 7, the plane containing the viewing axis of the diagnostic system 300 may be perpendicular to the plane 440 containing the radiation beam 14B and the reflected radiation 281.

走査された像100は、基板の大きな部分で均一な加熱を生じさせることができる。しかしながら、光学縦列で発生し得る多くの欠陥とともに、回折は、像の形成を干渉し、不均一な加熱といった予期せぬ結果を引き起こし得る。したがって、像におけるエネルギーの均一性を直接測定できる内蔵の像監視システムを有することが非常に望ましい。   The scanned image 100 can cause uniform heating over a large portion of the substrate. However, along with many defects that can occur in optical columns, diffraction can interfere with image formation and cause unexpected results such as non-uniform heating. It is therefore highly desirable to have a built-in image monitoring system that can directly measure the uniformity of energy in the image.

図5に、像監視システム360の実施形態の一例を示す。実施形態の一例では、像監視システム360は走査経路に配置され、かつ、基板の表面62によって定義される平面PSに配置されている。像監視システム360は、走査経路を向いたピンホール362と、ピンホールの後ろの検知器364とを含む。動作時には、検知器364が、像の典型的な走査時に見られるであろう基板上の点を示す像100をサンプリングするように、基板ステージ46が配置されている。像監視システム360はライン366を介してコントローラ70に接続され、検出された放射線を示す信号368をコントローラに供給する。   FIG. 5 shows an example of an embodiment of the image monitoring system 360. In one example embodiment, the image monitoring system 360 is located in the scan path and in a plane PS defined by the substrate surface 62. The image monitoring system 360 includes a pinhole 362 facing the scan path and a detector 364 behind the pinhole. In operation, the substrate stage 46 is positioned so that the detector 364 samples the image 100 showing points on the substrate that would be seen during a typical scan of the image. Image monitoring system 360 is connected to controller 70 via line 366 and provides a signal 368 indicative of the detected radiation to the controller.

像の一部をサンプリングすることによって、像強度プロファイル(例えば、図1C)が決定されるのに必要なデータが得られ、それによって基板の加熱均一性を測定することができる。   By sampling a portion of the image, the data necessary to determine the image intensity profile (eg, FIG. 1C) is obtained, and thereby the heating uniformity of the substrate can be measured.

[基板プレアライナー]
再び図3を参照すると、ある場合には、基板60は、予め規定された向きでチャック40上に配置される必要がある。例えば、基板60は結晶性(例えば、結晶性シリコンウエハ)であることができる。本発明者らは、結晶性基板を利用する熱処理用途では、処理を最適化するために、結晶軸が像100に対して選択された方向に位置合わせされているのが好ましい場合が多いことを見出した。
[Substrate pre-aligner]
Referring again to FIG. 3, in some cases, the substrate 60 needs to be placed on the chuck 40 in a predefined orientation. For example, the substrate 60 can be crystalline (eg, a crystalline silicon wafer). In heat treatment applications using crystalline substrates, the inventors often prefer that the crystal axis be aligned in a selected direction with respect to the image 100 in order to optimize processing. I found it.

したがって、実施形態の一例では、装置10は、ライン378を介してコントローラ70に接続されたプレアライナー(pre-aligner)376を含む。プレアライナー376は、基板60を受け、フラットや切込みなどの参照形状64の位置を定め、参照形状が選択された方向に整列するまで基板を移動させる(例えば、回転させる)ことによって、基板60を基準位置Pに位置合わせし、処理を最適化する。基板が位置合わせされると、信号380がコントローラ70に送信される。次に、基板は、基板ハンドラー386を介してプレアライナー40からチャック40に送られる。基板ハンドラー386は、チャックおよびプレアライナー376と動作的に接続される。基板ハンドラー386はライン338を介してコントローラ70に接続され、信号390によって制御される。次に、基板60は、プレアライナー376上で予め位置合わせされた基板の向きに対応する選択された向きでチャック40上に配置される。 Thus, in one example embodiment, the apparatus 10 includes a pre-aligner 376 connected to the controller 70 via line 378. The pre-aligner 376 receives the substrate 60, positions the reference shape 64, such as flat or notched, and moves (eg, rotates) the substrate 60 until the reference shape is aligned in the selected direction. aligned with the reference position P R, to optimize the process. Once the substrate is aligned, signal 380 is sent to controller 70. Next, the substrate is fed from the pre-aligner 40 to the chuck 40 via the substrate handler 386. The substrate handler 386 is operatively connected to the chuck and pre-aligner 376. Substrate handler 386 is connected to controller 70 via line 338 and is controlled by signal 390. The substrate 60 is then placed on the chuck 40 in a selected orientation that corresponds to the orientation of the substrate pre-aligned on the pre-aligner 376.

[吸収放射線の測定]
ビームエネルギー監視システム250を使用して放射線ビーム14A,14A’,または14Bのうち1つのエネルギーを測定し、かつ、監視システム280を使用して反射放射線281のエネルギーを測定することによって、基板60によって吸収された放射線を決定することができる。これにより、基板の表面62の反射率の変化に関わらず、基板60によって吸収される放射線を走査時に一定に維持することができる。実施形態の一例では、単位面積あたりの一定のエネルギー吸収を維持することは、連続放射線源12の出力エネルギー、基板の表面62上方における像100の走査速度、および減衰器226の減衰度のうち1以上を調節することにより達成される。
[Measurement of absorbed radiation]
By the substrate 60 by measuring the energy of one of the radiation beams 14A, 14A ′, or 14B using the beam energy monitoring system 250 and measuring the energy of the reflected radiation 281 using the monitoring system 280. The absorbed radiation can be determined. Thereby, the radiation absorbed by the substrate 60 can be kept constant during scanning regardless of the change in the reflectance of the surface 62 of the substrate. In one example embodiment, maintaining constant energy absorption per unit area is one of the output energy of the continuous radiation source 12, the scanning speed of the image 100 over the surface 62 of the substrate, and the attenuation of the attenuator 226. This is achieved by adjusting the above.

実施形態の一例では、単位面積あたりの一定のエネルギー吸収は、放射線ビーム14Bの偏光を変化させること(例えば1/4波長板230を回転させること)によって達成される。実施形態の別例では、上述した手法の組み合わせによって、単位面積あたりに吸収されるエネルギーを変化または維持させる。選択された赤外波長のシリコンにおける吸収は、シリコンの導電性を向上させるドーパント不純物によって大きく増加する。入射放射線の最小吸収が室温で達成されるとしても、温度の上昇によって吸収が増加し、数ミクロンの深さの表層のみに全ての入射エネルギーが吸収される急上昇サイクルが生じる。   In one example embodiment, constant energy absorption per unit area is achieved by changing the polarization of the radiation beam 14B (eg, rotating the quarter wave plate 230). In another example of the embodiment, the energy absorbed per unit area is changed or maintained by a combination of the above-described methods. Absorption in selected infrared wavelengths of silicon is greatly increased by dopant impurities that improve the conductivity of the silicon. Even if the minimum absorption of incident radiation is achieved at room temperature, the absorption increases with increasing temperature, resulting in a steep rise cycle in which all incident energy is absorbed only by a surface layer that is a few microns deep.

したがって、シリコンウエハにおける加熱深さは、室温における赤外波長の吸収深さによって決定されるというよりむしろ、シリコンの表面からの熱の拡散によって主として決定される。また、n−型不純物またはp−型不純物を有するシリコンのドーピングによって室温における吸収が増加し、材料の表面から数ミクロンにおける強い吸収へと導く急上昇サイクルがさらに促進される。   Thus, the heating depth in a silicon wafer is determined primarily by the diffusion of heat from the surface of the silicon, rather than by the absorption depth of infrared wavelengths at room temperature. Also, doping with silicon having n-type or p-type impurities increases absorption at room temperature, further promoting a steep rising cycle leading from material surface to strong absorption at a few microns.

[ブリュースター角またはその近傍の入射角]
実施形態の一例では、入射角Φはブリュースター角に対応するように設定されている。ブリュースター角では、p−偏光放射線P(図3)はすべて基板60に吸収される。ブリュースター角は、放射線が入射する材料の屈折率に依存する。例えば、ブリュースター角は、室温のシリコンで波長λが10.6ミクロンの場合には73.69°である。入射放射線ビーム14Bの約30%は法線入射(Φ=0)で反射されるため、ブリュースター角またはその近傍でのp−偏光放射線を使用することによって、熱処理を行なうために必要な単位面積あたりのパワーを大きく減少させることができる。また、ブリュースター角などの比較的大きな入射角Φを使用することによって、一方向における像100の幅をcos−1φ倍または法線入射の像幅の約3.5倍に拡大することができる。像100の有効焦点深度も同様の倍率で減少する。
[Brewster angle or incident angle near it]
In one example of the embodiment, the incident angle Φ is set to correspond to the Brewster angle. At the Brewster angle, all the p-polarized radiation P (FIG. 3) is absorbed by the substrate 60. The Brewster angle depends on the refractive index of the material on which the radiation is incident. For example, the Brewster angle is 73.69 ° in the case of room temperature silicon and a wavelength λ of 10.6 microns. Since about 30% of the incident radiation beam 14B is reflected at normal incidence (Φ = 0), the unit area required to perform the heat treatment by using p-polarized radiation at or near the Brewster angle. The power per hit can be greatly reduced. Also, by using a relatively large incident angle Φ such as a Brewster angle, the width of the image 100 in one direction can be expanded to cos −1 φ times or about 3.5 times the normal incident image width. it can. The effective depth of focus of image 100 also decreases at a similar magnification.

典型的にはICを形成するための半導体処理のように、基板60が、複数の層を有するものも含む様々な異なる領域を有する表面62を有する場合には、処理のための最適な角度は、様々な領域において反射率 対 入射角Φをプロットすることによって決定することができる。通常、p−偏光放射線では、最小反射率は、基板のブリュースター角近傍で発生する。通常、各領域の反射率を最小化および均等化させる角度または角度の小さな範囲を見つけることができる。   If the substrate 60 has a surface 62 having a variety of different regions, including those having multiple layers, typically as in semiconductor processing to form an IC, the optimum angle for processing is Can be determined by plotting the reflectivity versus the incident angle Φ in various regions. Usually, for p-polarized radiation, the minimum reflectance occurs near the Brewster angle of the substrate. Typically, an angle or a small range of angles can be found that minimizes and equalizes the reflectivity of each region.

実施形態の一例では、入射角Φは、ブリュースター角の周辺の角度範囲に制限される。例えば、ブリュースター角が73.69°である上述の例では、入射角Φは65°〜80°の間に制限される。   In an example embodiment, the incident angle Φ is limited to an angular range around the Brewster angle. For example, in the above example where the Brewster angle is 73.69 °, the incident angle Φ is limited to between 65 ° and 80 °.

[放射線ビームジオメトリーの最適化]
実施形態の一例では、像100を表面62の上方で走査して基板60を熱処理することによって、基板の表面における材料の非常にわずかな量の部分が基板の融点近くまで加熱される。したがって、基板の加熱された部分にはかなりの量の応力と歪みが生じる。状況によっては、応力によって表面62に伝播する望ましくない滑り面が発生する。
[Optimization of radiation beam geometry]
In one example embodiment, a very small portion of material at the surface of the substrate is heated to near the melting point of the substrate by scanning the image 100 over the surface 62 and heat treating the substrate 60. Therefore, a considerable amount of stress and strain is generated in the heated part of the substrate. In some situations, undesired sliding surfaces that propagate to surface 62 due to stress occur.

また、実施形態の一例では、放射線ビーム14Aは偏光される。このような場合、表面62に入射する放射線ビーム14Bの方向とともに、基板の表面62に対する放射線ビーム14Bの偏光方向の方向を選択することが実用的であり、これにより、最も効率的な処理が得られる。さらに、基板60の熱処理は、基板の特性(例えば、構造やトポグラフィー)を変化させる多くの他のプロセスを経た後に行われることが多い。   In one example embodiment, the radiation beam 14A is polarized. In such a case, it is practical to select the direction of the polarization direction of the radiation beam 14B relative to the surface 62 of the substrate as well as the direction of the radiation beam 14B incident on the surface 62, thereby obtaining the most efficient processing. It is done. Further, the heat treatment of the substrate 60 is often performed after many other processes that change the properties (eg, structure and topography) of the substrate.

図7は、上部に形成されたパターン400を有する半導体ウエハの形態としての基板60の一例の拡大等角図である。実施形態の一例では、パターン400は、格子(すなわち、マンハッタンジオメトリー)に則ったラインまたはエッジ404,406を含み、このライン/エッジはX−方向およびY−方向に延びている。ライン/エッジ404,406は、例えば、ポリランナー、ゲート、およびフィールド酸化物分離領域のエッジまたはICチップ境界に対応する。一般に、ICチップの製造では、基板は大部分が互いに直角に延びる形状にパターニングされる。   FIG. 7 is an enlarged isometric view of an example of a substrate 60 in the form of a semiconductor wafer having a pattern 400 formed thereon. In one example embodiment, the pattern 400 includes lines or edges 404, 406 that conform to a grid (ie, Manhattan geometry) that extend in the X-direction and the Y-direction. Lines / edges 404, 406 correspond to, for example, polyrunner, gate, and field oxide isolation region edges or IC chip boundaries. Generally, in the manufacture of IC chips, the substrate is patterned in a shape that extends mostly at right angles to each other.

したがって、例えば、ICチップを形成するプロセスにおいて、基板(ウエハ)60がソース領域およびドレイン領域66A,66Bのアニールまたは活性化が必要な段階に達した時には、表面62は極めて複雑になっている。例えば、典型的なICの製造プロセスでは、表面62の一領域はベアシリコンであってもよく、表面の別の領域は比較的厚い酸化シリコン分離トレンチを有していてもよく、表面のさらに別の領域は厚い酸化物トレンチを横切る薄いポリシリコン導体を有していてもよい。   Therefore, for example, in the process of forming an IC chip, the surface 62 becomes extremely complicated when the substrate (wafer) 60 reaches a stage where annealing or activation of the source and drain regions 66A and 66B is required. For example, in a typical IC manufacturing process, one region of the surface 62 may be bare silicon and another region of the surface may have a relatively thick silicon oxide isolation trench. This region may have a thin polysilicon conductor across the thick oxide trench.

したがって、注意を怠った場合には、ライン/エッジ404,406の主方向を含む表面構造によっては、線像100が基板の表面62のある部分では反射または回折され、他の部分では選択的に吸収され得る。これは、放射線ビーム14Bを偏光させる実施形態に特に当てはまる。その結果、一般に熱処理においては望ましくない不均一な基板の加熱が生じる。   Thus, if care is not taken, the line image 100 may be reflected or diffracted in some parts of the surface 62 of the substrate and selectively in other parts, depending on the surface structure including the main direction of the lines / edges 404, 406. Can be absorbed. This is especially true for embodiments that polarize the radiation beam 14B. As a result, non-uniform substrate heating generally occurs during heat treatment.

したがって、図7を引き続き参照すると、本発明の実施形態の一例では、基板60における放射線ビーム14Bの吸収のばらつきを最小化する最適な放射線ビームジオメトリー、すなわち、偏光方向、入射角度Φ、走査方向、走査速度、および像角θを見つけ出すことが望ましい。さらに、基板における滑り面の形成を最小化する放射線ビームジオメトリーを見つけ出すことが望ましい。   Thus, with continued reference to FIG. 7, in one example embodiment of the present invention, the optimal radiation beam geometry that minimizes the variation in absorption of the radiation beam 14B in the substrate 60, ie, polarization direction, incident angle Φ, scan direction It is desirable to find the scanning speed and the image angle θ. Furthermore, it is desirable to find a radiation beam geometry that minimizes the formation of sliding surfaces on the substrate.

基板60から反射される放射線281の二点間ばらつきは、膜組成のばらつき、ライン/エッジ404,406の数と割合、偏光方向の向き、および入射角Φなどを含む多くの要因によって引き起こされる。   The point-to-point variation of the radiation 281 reflected from the substrate 60 is caused by a number of factors including variations in film composition, the number and proportion of lines / edges 404, 406, the orientation of the polarization direction, and the incident angle Φ.

図7を引き続き参照すると、平面440は、放射線ビーム14Bおよび反射放射線281を含む平面として定義される。平面440がライン/エッジ404,406に対して45°で基板の表面62と交差するように放射線ビーム14Bを基板に照射することによって、ライン/エッジ404,406の存在による反射のばらつきを最小化することができる。線像は、長さ方向が平面440と位置合わせされるか、または、この平面と直角になるように形成される。したがって、入射角Φとは関係なく、線像100と各ライン/エッジ404,406との間の像角θは45°である。   With continued reference to FIG. 7, the plane 440 is defined as the plane containing the radiation beam 14B and the reflected radiation 281. By irradiating the substrate with the radiation beam 14B so that the plane 440 intersects the surface 62 of the substrate at 45 ° with respect to the lines / edges 404, 406, reflection variations due to the presence of the lines / edges 404, 406 are minimized. can do. The line image is formed such that the length direction is aligned with the plane 440 or is perpendicular to the plane. Therefore, regardless of the incident angle Φ, the image angle θ between the line image 100 and each line / edge 404, 406 is 45 °.

基板の表面62上の様々な構造(例えば、ライン/エッジ404,406)による反射放射線281の量のばらつきは、入射角Φを適切に選択することによってさらに減少させることができる。例えば、ICの一部としてのトランジスタを形成する場合、基板60に対してソース領域およびドレイン領域66A,66Bのアニールまたは活性化を行なう準備ができた時には、基板は通常以下のトポグラフィーのすべてを含むだろう:a)ベアシリコン、b)シリコンに埋め込まれた酸化物分離領域(例えば、厚さ約0.5ミクロン)、c)埋め込まれた酸化物分離領域上の薄い(例えば、0.1ミクロン)ポリシリコンランナー。   Variations in the amount of reflected radiation 281 due to various structures (eg, lines / edges 404, 406) on the surface 62 of the substrate can be further reduced by appropriate selection of the incident angle Φ. For example, when forming a transistor as part of an IC, when the substrate 60 is ready to anneal or activate the source and drain regions 66A, 66B, the substrate typically performs all of the following topography. Would include: a) bare silicon, b) oxide isolation region embedded in silicon (eg, about 0.5 microns thick), c) thin over embedded oxide isolation region (eg, 0.1 Micron) Polysilicon runner.

図8は、無限に深い二酸化シリコン層の反射率とともに、波長10.6ミクロンのレーザ放射線の場合における、ドープされていないシリコン基板上の上記トポグラフィーのそれぞれのp−偏光Pおよびs−偏光Sの室温反射率のプロットである。図8から、反射率は、偏光および入射角Φによって大きく変化することが非常に明らかである。   FIG. 8 shows the respective p-polarized P and s-polarized S of the above topography on an undoped silicon substrate in the case of laser radiation with a wavelength of 10.6 microns, with an infinitely deep silicon dioxide layer reflectivity. It is a plot of room temperature reflectance. From FIG. 8, it is very clear that the reflectivity varies greatly depending on the polarization and the incident angle Φ.

入射角Φが約65°および約80°の間である場合のp−偏光P(すなわち、平面440における偏光)では、4つのケースすべての反射率は最小であり、ケース間のばらつきも最小である。したがって、必要とされる総パワーおよび吸収された放射線の二点間ばらつきが最小化されるため、約65°から約80°までの間の入射角Φの範囲は、半導体基板(例えば、シリコン基板に形成された、活性化されたドープ領域)を熱処理するための装置10に特に適している。   For p-polarized light P (ie, polarization at plane 440) where the incident angle Φ is between about 65 ° and about 80 °, the reflectivity of all four cases is minimal and the variation between cases is also minimal. is there. Accordingly, the range of incident angles Φ between about 65 ° and about 80 ° is within the range of semiconductor substrates (eg, silicon substrates), since the required total power and the point-to-point variation in absorbed radiation is minimized. Is particularly suitable for the apparatus 10 for heat-treating the activated doped regions formed in

ドーパントまたは高温の存在によって、シリコンはさらに金属的になり、ブリュースター角に対応した最小値が、より高い角度およびより高い反射率へと移行する。したがって、ドープされた基板および/またはより高い温度では、最適な角度は、室温におけるドープされていない材料の対応するブリュースター角よりも高くなるだろう。   Due to the presence of dopants or high temperatures, the silicon becomes more metallic and the minimum corresponding to the Brewster angle shifts to higher angles and higher reflectivity. Thus, for doped substrates and / or higher temperatures, the optimum angle will be higher than the corresponding Brewster angle of undoped material at room temperature.

図9は、半導体ウエハの形態の基板60を処理するために使用される装置10の平面等角図であり、最適な放射線ビームジオメトリーにおける装置の動作を示している。ウエハ60は、上部に形成された格子パターン400を含み、格子における各正方形468は、例えばICチップ(図1Aの回路67など)を表している。線像100は、45°の像角θとなる方向470において基板(ウエハ)の表面62に関して走査される。   FIG. 9 is a plan isometric view of the apparatus 10 used to process the substrate 60 in the form of a semiconductor wafer, illustrating the operation of the apparatus in an optimal radiation beam geometry. The wafer 60 includes a lattice pattern 400 formed thereon, and each square 468 in the lattice represents, for example, an IC chip (such as the circuit 67 in FIG. 1A). The line image 100 is scanned with respect to the surface 62 of the substrate (wafer) in a direction 470 that results in an image angle θ of 45 °.

[結晶方位の説明]
上述したように、単結晶シリコンウエハなどの結晶性基板は、参照形状64(例えば、図9に示す切込みまたはフラット)によってしばしば示される方位を有する結晶面を有する。参照形状64は、基板において、主結晶面の1つの方向に対応するエッジ63に形成されている。線像100の走査によって、走査方向470(図9)に垂直な方向474において、大きな熱勾配および応力集中が発生し、結晶性基板の構造の完全性(integrity)に悪影響を及ぼし得る。
[Description of crystal orientation]
As described above, a crystalline substrate, such as a single crystal silicon wafer, has a crystal plane with an orientation often indicated by a reference shape 64 (eg, a notch or a flat shown in FIG. 9). The reference shape 64 is formed on the edge 63 corresponding to one direction of the main crystal plane in the substrate. Scanning the line image 100 can cause large thermal gradients and stress concentrations in the direction 474 perpendicular to the scanning direction 470 (FIG. 9), which can adversely affect the structural integrity of the crystalline substrate.

引き続き図9を参照すると、通常の場合、シリコン基板60は(100)結晶方位を有し、ライン/エッジ404,406はウエハの表面において、2つの主結晶軸(100),(010)に対して45°で配置されている。結晶中の滑り面の形成を最小化するために、好ましい走査方向は主結晶軸の1つに沿った方向である。したがって、結晶中の滑り形成を最小化するために好ましい走査方向は、通常の場合におけるシリコン基板のライン/エッジ404,406に対する好ましい方向とも一致する。線像100、ライン/エッジ404,406、および結晶軸(100),(010)の間で一定の方位が維持される場合、基板(ウエハ)60に対する線像の走査は、円形またはアーチ形ではなく直線的(例えば、前後)に行なわなければならない。また、結晶方位に対して特定の走査方向が望ましいため、実施形態の一例では、基板は、例えば、基板プレアライナー376(図3)を使用してチャック40上で予め位置合わせされる。   With continued reference to FIG. 9, in the normal case, the silicon substrate 60 has a (100) crystal orientation and the lines / edges 404, 406 are relative to the two main crystal axes (100), (010) at the surface of the wafer. At 45 °. In order to minimize the formation of slip planes in the crystal, the preferred scanning direction is along one of the main crystal axes. Thus, the preferred scan direction to minimize slip formation in the crystal also coincides with the preferred direction for the silicon substrate lines / edges 404, 406 in the normal case. If a constant orientation is maintained between the line image 100, the line / edges 404, 406, and the crystal axes (100), (010), the scan of the line image relative to the substrate (wafer) 60 is circular or arcuate. Must be straight (for example, back and forth). Also, since a specific scanning direction is desirable with respect to the crystal orientation, in one example embodiment, the substrate is pre-aligned on the chuck 40 using, for example, a substrate pre-aligner 376 (FIG. 3).

基板結晶軸(100),(010)と走査方向470との間の方位を慎重に選択することによって、熱により誘発される応力によって、基板の結晶格子内に滑り面が形成される可能性を最小化することができる。結晶格子が急激な熱勾配によって誘発される滑りに対して最大の抵抗性を有する最適な走査方向は、結晶基板の性質によって異なると考えられる。しかしながら、単一の結晶基板上で像100をらせん状パターンで走査し、ウエハを検査して、滑りを示す前に最も高い温度勾配に耐え得る方向を決定することによって、最適な走査方向を実験的に見つけ出すことができる。   By carefully choosing the orientation between the substrate crystal axes (100), (010) and the scan direction 470, the possibility of slip planes being formed in the crystal lattice of the substrate by thermally induced stress. Can be minimized. It is believed that the optimal scanning direction in which the crystal lattice has the greatest resistance to slip induced by a sharp thermal gradient will depend on the nature of the crystal substrate. However, the optimal scan direction is tested by scanning the image 100 in a spiral pattern on a single crystal substrate and inspecting the wafer to determine the direction that can withstand the highest temperature gradient before showing slip. Can be found.

(100)結晶シリコンウエハの形態の基板60では、最適な走査方向は、(100)基板結晶格子方向、またはライン/エッジ404,406によって示されるパターン格子方向に対して45°に位置合わせされる。これは、放射状の線像100を、基板の中心からの距離の関数として最高温度を徐々に増加させるらせん状パターンで走査することによって、本発明者らによって実験的に確認された。最適な走査方向は、滑りに対して最も高い抵抗性を示す方向と、結晶軸の方向とを比較することによって決定された。   For a substrate 60 in the form of a (100) crystalline silicon wafer, the optimum scanning direction is aligned at 45 ° with respect to the (100) substrate crystal lattice direction or the pattern lattice direction indicated by the lines / edges 404,406. . This has been confirmed experimentally by the inventors by scanning the radial line image 100 with a spiral pattern that gradually increases the maximum temperature as a function of distance from the center of the substrate. The optimum scanning direction was determined by comparing the direction showing the highest resistance to slip with the direction of the crystal axis.

[像の走査]
(牛耕状(boustrophedonic)走査)
図10は、像が横断する基板上の各点において短い熱パルスを発生させる、基板の表面62上方における像100の牛耕状(すなわち、交互に前後または「X−Y」)走査パターン520を示す基板の平面図である。走査パターン520は線走査セグメント522を含む。牛耕状走査パターン520は、従来の双方向X−Yステージ46を用いて行なうことができる。しかしながら、そのようなステージは、通常は相当な大きさおよび限られた加速能力を有する。非常に短い滞在時間(すなわち、走査された像が、基板上の所与のポイント上に存在する時間)が望ましい場合、従来のステージは、加速および減速にかなりの時間を要する。また、そのようなステージに大きなスペースが必要である。例えば、100ミクロンのビーム幅で10マイクロ秒の滞在時間では、10メートル/秒(m/秒)のステージ速度が必要となる。1gまたは9.8m/sの加速では、加速/減速に1.02秒および5.1mの移動が必要である。加速および減速のために10.2mのスペースをステージに供給することは望ましくない。
[Image scanning]
(Bostrophedonic scanning)
FIG. 10 illustrates a cow pattern (ie, alternating back-and-forth or “X-Y”) scan pattern 520 of the image 100 over the surface 62 of the substrate that generates a short heat pulse at each point on the substrate that the image traverses. It is a top view of the board | substrate shown. Scan pattern 520 includes line scan segment 522. The cow plow scanning pattern 520 can be performed using a conventional bidirectional XY stage 46. However, such a stage usually has a considerable size and limited acceleration capability. If a very short dwell time (i.e., the time that the scanned image is on a given point on the substrate) is desired, the conventional stage takes considerable time to accelerate and decelerate. In addition, such a stage requires a large space. For example, for a dwell time of 10 microseconds with a beam width of 100 microns, a stage speed of 10 meters / second (m / second) is required. An acceleration of 1 g or 9.8 m / s 2 requires 1.02 seconds and 5.1 m of travel for acceleration / deceleration. It is undesirable to provide 10.2 m of space on the stage for acceleration and deceleration.

(光学的走査)
基板の表面62上の像100の走査は、静止した基板および移動する像を使用して行なってもよいし、基板を移動させて像を静止したままに維持してもよいし、あるいは、基板および像の双方を移動させることによって行なってもよい。
(Optical scanning)
Scanning of image 100 on substrate surface 62 may be performed using a stationary substrate and a moving image, moving the substrate to keep the image stationary, or And by moving both the image and the image.

図11は、可動走査ミラー260を含む光学系20の実施形態の一例の断面図である。光学的走査を使用することによって、非常に効率的な加速/減速速度(すなわち、ステージが同じ走査効果を達成するために移動しなければならないであろう速度)を達成することができる。   FIG. 11 is a cross-sectional view of an example of an embodiment of the optical system 20 that includes the movable scanning mirror 260. By using optical scanning, a very efficient acceleration / deceleration speed (ie, the speed at which the stage will have to move to achieve the same scanning effect) can be achieved.

図11の光学系20では、放射線ビーム14A(または14A’)は、円柱状素子L10〜L13からなるf−θリレー光学系20の瞳に位置する走査ミラー260によって反射される。実施形態の一例では、走査ミラー260は、サーボモーターユニット540に接続・駆動され、サーボモーターユニット540はライン542を介してコントローラ70に接続されている。サーボユニット540は、ライン542を介して、コントローラ70からの信号544によって制御される。   In the optical system 20 of FIG. 11, the radiation beam 14A (or 14A ′) is reflected by the scanning mirror 260 positioned at the pupil of the f-θ relay optical system 20 including the cylindrical elements L10 to L13. In the example of the embodiment, the scanning mirror 260 is connected and driven to the servo motor unit 540, and the servo motor unit 540 is connected to the controller 70 via the line 542. Servo unit 540 is controlled by signal 544 from controller 70 via line 542.

光学系20は、基板の表面62の上方において、放射線ビーム14Bを走査して、移動する像100を形成する。ステージ46は、各走査後に交差走査方向に基板の位置を増加させて、基板の所望の領域をカバーする。   The optical system 20 scans the radiation beam 14B above the surface 62 of the substrate to form a moving image 100. Stage 46 increases the position of the substrate in the cross-scan direction after each scan to cover the desired area of the substrate.

実施形態の一例では、レンズ素子L10〜L13はZnSeからなり、COレーザによって放射される放射線の赤外波長と、基板の加熱部分によって放射される近赤外線および可視放射線との両方に対して透明である。これによって、ダイクロイックビームスプリッター550を、走査ミラー260の上流で放射線ビーム14Aの経路に配置することができ、かつ、基板から放射される可視波長の放射線および近赤外波長の放射線を、基板を加熱するために使用される放射線ビーム14Aの長波長の放射線から分離することができる。 In an exemplary embodiment, the lens element L10~L13 consists ZnSe, and infrared wavelengths of radiation emitted by CO 2 lasers, transparent to both the near infrared and visible radiation emitted by the heated portion of the substrate It is. Thereby, the dichroic beam splitter 550 can be disposed in the path of the radiation beam 14A upstream of the scanning mirror 260, and the visible wavelength radiation and near infrared wavelength radiation emitted from the substrate are heated. The radiation beam 14A used to do so can be separated from the long wavelength radiation.

生じた放射線310は、基板の熱処理を監視および制御するために使用され、ビーム診断システム560によって検出される。ビーム診断システム560は、集光レンズ562と、ライン568を介してコントローラ70に接続された検知器564とを有する。実施形態の一例では、生じた放射線310はフィルターを通過し、別々の検知器アレイ564(1つのみが図示されている)に集束される。検知器564によって検出された放射線量に対応する信号570は、ライン568を介してコントローラ70に供給される。   The resulting radiation 310 is used to monitor and control the thermal processing of the substrate and is detected by the beam diagnostic system 560. The beam diagnostic system 560 includes a condenser lens 562 and a detector 564 connected to the controller 70 via a line 568. In one example embodiment, the resulting radiation 310 passes through a filter and is focused on a separate detector array 564 (only one is shown). A signal 570 corresponding to the radiation dose detected by detector 564 is provided to controller 70 via line 568.

図11は入射角Φ=0を有する放射線ビーム14Bを示しているが、他の実施形態では、入射角はΦ>0である。実施形態の一例では、軸ARを中心として基板ステージ46を適切に回転させることによって、入射角Φを変化させる。   Although FIG. 11 shows a radiation beam 14B having an incident angle Φ = 0, in other embodiments, the incident angle is Φ> 0. In an example of the embodiment, the incident angle Φ is changed by appropriately rotating the substrate stage 46 about the axis AR.

光学的走査の利点は、非常に高速で行なうことができるため、ビームまたはステージの加速および減速のために最小限の時間しか失われないということである。市販の走査光学系を使用して、8000g相当のステージ加速を達成することができる。   The advantage of optical scanning is that it can be performed very fast, so that minimal time is lost for beam or stage acceleration and deceleration. Using a commercially available scanning optical system, a stage acceleration equivalent to 8000 g can be achieved.

(らせん状走査)
別の実施形態では、像100は、基板60に対してらせん状パターンで走査される。図12は、ステージ46上に位置する4つの基板60の平面図であり、ステージは、回転的および直線的に像100と相対的に移動してらせん状走査パターン604を形成することができる。回転移動は回転中心610をほぼ中心として行われる。また、ステージ46は複数の基板を支持することができ、図では説明の便宜のために4つの基板が示されている。
(Spiral scan)
In another embodiment, the image 100 is scanned in a spiral pattern relative to the substrate 60. FIG. 12 is a plan view of four substrates 60 positioned on the stage 46, and the stage can move relative to the image 100 in a rotational and linear manner to form a helical scan pattern 604. The rotational movement is performed about the rotation center 610. The stage 46 can support a plurality of substrates, and four substrates are shown in the figure for convenience of explanation.

実施形態の一例では、ステージ46は、直線ステージ612および回転ステージ614を含む。らせん状走査パターンの一部によって各基板が覆われるように、らせん状走査パターン604は、基板の直線移動および回転移動の組み合わせによって形成される。基板上の各点で滞在時間を一定に維持するために、回転速度は回転中心610から像100までの距離に反比例して変化させる。らせん状走査の利点は、処理の開始時と終了時を除いて、急速な加速/減速が存在しない点である。したがって、そのような配置を使用して短い滞在時間を得ることが実用的である。別の利点は、一回の走査動作で複数の基板を処理できる点である。   In one example embodiment, the stage 46 includes a linear stage 612 and a rotary stage 614. The spiral scan pattern 604 is formed by a combination of linear and rotational movement of the substrate so that each substrate is covered by a part of the spiral scan pattern. In order to keep the stay time constant at each point on the substrate, the rotation speed is changed in inverse proportion to the distance from the rotation center 610 to the image 100. The advantage of helical scanning is that there is no rapid acceleration / deceleration except at the beginning and end of the process. It is therefore practical to obtain a short residence time using such an arrangement. Another advantage is that multiple substrates can be processed in a single scanning operation.

(交互ラスタ状走査)
隣り合う経路セグメントの間隔が小さい状態で、牛耕状パターンによって基板60の上方で像100を走査すると、1つのセグメントが完了し、次の新しいセグメントが開始される走査セグメントの端部で基板が過熱されることがある。そのような場合、新しい走査経路セグメントの最初の部分は、完了直後の走査経路セグメントに起因する著しい熱勾配を含む。ビーム強度が適切に修正されない限り、この勾配によって、新しい走査によって生じる温度が上昇する。このため、走査時に基板全体で均一な最高温度を達成することが困難となる。
(Alternate raster scanning)
When the image 100 is scanned over the substrate 60 with a cow plowing pattern with the spacing between adjacent path segments small, one segment is complete and the substrate is at the end of the scan segment where the next new segment begins. May be overheated. In such a case, the first part of the new scan path segment includes a significant thermal gradient due to the scan path segment immediately after completion. Unless the beam intensity is properly modified, this gradient will increase the temperature produced by the new scan. This makes it difficult to achieve a uniform maximum temperature across the entire substrate during scanning.

図13Aおよび図13Bは、交互ラスタ状走査経路700を示す基板60の平面図であり、交互ラスタ状走査経路700は、線走査経路セグメント702,704を有する。図13Aを参照すると、まず、交互ラスタ状走査経路700では、隣り合う走査経路間にギャップ706が存在するように、走査経路セグメント702の走査を行なう。実施形態の一例では、ギャップ706は、線走査の実効長の整数倍と等しい寸法を有する。実施形態の一例では、ギャップ706の幅は、像100の長さL1と同じかまたはほぼ等しい。次に、図13Bに示すように、ギャップを埋めるように走査経路セグメント704の走査が行われる。この走査方法は、密接な間隔で連続する走査経路セグメントとともに生じる、走査経路における熱勾配を大きく減少させ、走査時に基板全体で均一な最高温度を達成することがより容易になる。   FIGS. 13A and 13B are plan views of the substrate 60 showing an alternating raster scan path 700, which has line scan path segments 702 and 704. Referring to FIG. 13A, first, in the alternate raster scan path 700, the scan path segment 702 is scanned such that a gap 706 exists between adjacent scan paths. In one example embodiment, the gap 706 has a dimension equal to an integer multiple of the effective length of the line scan. In one example embodiment, the width of the gap 706 is the same as or approximately equal to the length L 1 of the image 100. Next, as shown in FIG. 13B, the scan path segment 704 is scanned to fill the gap. This scanning method greatly reduces the thermal gradient in the scan path that occurs with closely spaced scan path segments, making it easier to achieve a uniform maximum temperature across the substrate during scanning.

(走査パターンのスループット比較)
図14は、らせん状走査方法(曲線720)、光学的走査方法(曲線724)、および牛耕状(X−Y)走査方法(曲線726)に関する、シミュレートしたスループット(基板/時間) 対 滞在時間(秒)のプロットである。この比較は、ガウス分布型ビームを形成するために使用される連続放射線源として5kWレーザを用いる実施形態の一例を想定しており、したがって、100ミクロンのビーム幅L2を用いて、重なり合う走査経路において走査されたガウス分布型の像100が、約±2%の放射線均一性で得られる。
(Scanning pattern throughput comparison)
FIG. 14 shows simulated throughput (substrate / time) versus stay for the spiral scan method (curve 720), the optical scan method (curve 724), and the cattle plow (XY) scan method (curve 726). It is a plot of time (seconds). This comparison assumes an example of an embodiment using a 5 kW laser as a continuous radiation source used to form a Gaussian distributed beam, and therefore in an overlapping scan path using a 100 micron beam width L2. A scanned Gaussian image 100 is obtained with a radiation uniformity of about ± 2%.

このプロットから、らせん状走査方法がすべての条件下で優れた効率を有することが分かる。しかしながら、らせん状走査方法は一度に複数の基板を処理するため、4つのチャックを支持することができる大きな表面が必要となる。例えば、4つの300mmウエハの場合、表面は直径が約800mmよりも大きくなるだろう。この方法の別の欠点は、走査線像と基板の結晶方位との間の距離を一定の方向を維持できないため、結晶性基板のために最適な処理ジオメトリーを維持できないことである。   From this plot it can be seen that the helical scanning method has excellent efficiency under all conditions. However, since the helical scanning method processes multiple substrates at once, it requires a large surface that can support four chucks. For example, for four 300 mm wafers, the surface will be greater than about 800 mm in diameter. Another disadvantage of this method is that the distance between the scan line image and the crystal orientation of the substrate cannot be maintained in a constant direction, so that an optimal processing geometry cannot be maintained for a crystalline substrate.

光学的走査方法は、滞在時間からほとんど独立したスループットを有し、かつ、高い走査速度を必要とする滞在時間の短いX−Yステージ走査システムにも有効である。   The optical scanning method is effective for an XY stage scanning system with a short residence time that has a throughput almost independent of the residence time and requires a high scanning speed.

本発明の多くの利点および特徴は詳細な明細書から明らかであり、したがって、添付した請求項によって、本発明の目的と範囲に従う上述した装置の利点および特徴をすべて網羅されることを意図する。さらに、当業者は多くの変形および変更を容易に想到するものと考えられるため、ここで説明された構造および動作そのものに本発明を限定することは望ましくない。したがって、他の実施形態は添付した請求項の範囲に含まれる。   Many of the advantages and features of the present invention will be apparent from the detailed description, and therefore, the appended claims are intended to cover all of the advantages and features of the apparatus described above in accordance with the objects and scope of the present invention. Further, since many variations and modifications will readily occur to those skilled in the art, it is not desirable to limit the invention to the structure and operation itself described herein. Accordingly, other embodiments are within the scope of the appended claims.

図1Aは、本発明の概括的な実施形態の装置を示す模式図である。FIG. 1A is a schematic diagram showing an apparatus of a general embodiment of the present invention. 図1Bは、図1Aの装置によって基板上に形成された長寸(長さ)L1および短寸(幅)L2を有する理想的な線像の実施形態の一例を示す。FIG. 1B shows an example of an ideal line image embodiment having a long dimension (length) L1 and a short dimension (width) L2 formed on a substrate by the apparatus of FIG. 1A. 図1Cは、実際の線像と関連付けられた強度分布を示す2次元プロットである。FIG. 1C is a two-dimensional plot showing the intensity distribution associated with the actual line image. 図1Dは、基板の表面で線像を形成する円錐ミラーを含む、図1Aの装置のための光学系の実施形態の一例を示す模式図である。FIG. 1D is a schematic diagram illustrating an example of an embodiment of an optical system for the apparatus of FIG. 1A that includes a conical mirror that forms a line image on the surface of the substrate. 図2Aは、放射線源と光学系との間に配置されたビームコンバータをさらに含む、図1Aのレーザ走査装置の実施形態の一例を示す模式図である。FIG. 2A is a schematic diagram illustrating an example of the embodiment of the laser scanning device of FIG. 1A, further including a beam converter disposed between the radiation source and the optical system. 図2Bは、図2Aの装置のビームコンバータがどのように放射線ビームのプロファイルを変更するかを説明する模式図である。FIG. 2B is a schematic diagram illustrating how the beam converter of the apparatus of FIG. 2A changes the profile of the radiation beam. 図2Cは、ガウス分布−フラットトップコンバータを含むコンバータ/光学系の実施形態の一例の断面図である。FIG. 2C is a cross-sectional view of an example of an embodiment of a converter / optical system including a Gaussian-to-flat top converter. 図2Dは、例えば図2Cのコンバータ/光学系により形成される、ビネットされていない放射線ビームの強度プロファイルの一例のプロットである。FIG. 2D is a plot of an example of an intensity profile of a non-vignetted radiation beam formed, for example, by the converter / optical system of FIG. 2C. 図2Eは、エッジ光線を用いる図2Dと同様のプロットであり、このエッジ光線はビネット開口によってビネットされて、像の端部における強度ピークを減少させる。FIG. 2E is a plot similar to FIG. 2D that uses edge rays that are vignetted by the vignette aperture to reduce the intensity peak at the edges of the image. 図3は、本発明の異なる実施形態の一例を示す、付加的な要素を有する図1Aの装置と同様の装置を示す模式図である。FIG. 3 is a schematic diagram showing an apparatus similar to the apparatus of FIG. 1A with additional elements, illustrating an example of a different embodiment of the present invention. 図4は、入射角Φが0°または0°の近傍である場合における、図3の装置の反射された放射線モニタの実施形態の一例を示す。FIG. 4 shows an example of an embodiment of the reflected radiation monitor of the apparatus of FIG. 3 when the incident angle Φ is 0 ° or near 0 °. 図5は、走査時に、像の位置またはその近傍における基板の温度を測定するために使用される、図3の装置の診断システムの実施形態の一例の拡大図である。FIG. 5 is an enlarged view of an example embodiment of the diagnostic system of the apparatus of FIG. 3 that is used to measure the temperature of the substrate at or near the position of the image during scanning. 図6は、1410℃の黒体に関する、相対的強度 対 波長のプロファイル(プロット)であり、この温度は、半導体トランジスタのソースおよびドレイン領域でドーパントを活性化するために用いられる温度よりも若干高い。FIG. 6 is a relative intensity versus wavelength profile (plot) for a black body at 1410 ° C., which is slightly higher than the temperature used to activate the dopant in the source and drain regions of the semiconductor transistor. . 図7は、格子パターンにおいて位置合わせされた形状を有する基板の拡大等角図であり、格子パターン形状に相対的な入射レーザビームおよび反射レーザビームを含む平面の45°配向が示されている。FIG. 7 is an enlarged isometric view of a substrate having a shape aligned in the grating pattern, showing a 45 ° orientation of the plane containing the incident and reflected laser beams relative to the grating pattern shape. 図8は、以下の表面から反射する波長10.6ミクロンのレーザ放射線ビームのp−偏光およびs−偏光方向に関する、反射率 対 入射角のプロットである:(a)ベアシリコン、(b)シリコンの上部にある0.5ミクロンの酸化物分離領域、(c)シリコン上の0.5ミクロンの酸化物分離領域の上部にある0.1ミクロンのポリシリコンランナー、および(d)無限に深い酸化シリコン層。FIG. 8 is a plot of reflectance versus angle of incidence for p-polarized and s-polarized directions of a 10.6 micron wavelength laser radiation beam reflected from the following surfaces: (a) bare silicon, (b) silicon. 0.5 micron oxide isolation region on top of (c) 0.1 micron polysilicon runner on top of 0.5 micron oxide isolation region on silicon, and (d) infinitely deep oxidation Silicon layer. 図9は、例えば形成された格子パターンを有する半導体ウエハの形態で基板を処理するのに使用される、本発明の一実施形態の装置の平面等角図であり、最適放射線ビームジオメトリーでの装置の動作を示している。FIG. 9 is a plan isometric view of an apparatus of an embodiment of the present invention used to process a substrate, for example, in the form of a semiconductor wafer having a formed lattice pattern, with an optimal radiation beam geometry. The operation of the device is shown. 図10は、基板の表面の上方において、像の牛耕状走査パターンを示す基板の平面図である。FIG. 10 is a plan view of the substrate showing a cow pattern scan pattern of the image above the surface of the substrate. 図11は、可動走査ミラーを含む光学系の実施形態の一例の断面図である。FIG. 11 is a cross-sectional view of an example of an embodiment of an optical system including a movable scanning mirror. 図12は、回転式および直線的に移動して像のらせん状走査を基板の上方にて行なうことができる、ステージに配置された4つの基板の平面図である。FIG. 12 is a plan view of four substrates arranged on a stage that can be rotated and linearly moved to perform helical scanning of an image above the substrate. 図13Aは、交互ラスタ状の走査パターンを示す基板の平面図であり、走査経路は空間によって分離されて、隣り合う走査経路を走査する前に基板を冷却することができる。FIG. 13A is a plan view of a substrate showing an alternating raster scan pattern where the scan paths are separated by space so that the substrate can be cooled before scanning adjacent scan paths. 図13Bは、交互ラスタ状の走査パターンを示す基板の平面図であり、走査経路が空間によって分離されて、隣り合う走査経路を走査する前に基板を冷却することができる。FIG. 13B is a plan view of a substrate showing an alternating raster scan pattern where the scan paths are separated by space so that the substrate can be cooled before scanning adjacent scan paths. 図14は、本発明の装置にかかる、らせん状の走査方法、光学的走査方法、および牛耕状走査方法に関する、シミュレートされたスループット(基板/時間) 対 滞在時間(マイクロ秒)のプロットである。FIG. 14 is a simulated throughput (substrate / time) versus dwell time (microseconds) plot for a helical scan method, an optical scan method, and a cow tillage scan method for the apparatus of the present invention. is there.

Claims (76)

基板の領域を熱処理する装置であって、
連続的な第1の放射ビームを提供可能な連続放射線源と、
前記第1の放射ビームを受けて、前記基板に像を形成する第2の放射線ビームを形成するように構成された光学系と、
前記基板を支持するように構成されたステージと、
を軸に沿って含み、
前記第1の放射ビームは、前記基板の領域を加熱可能な第1の強度プロファイルおよび波長を有し、
前記光学系および前記ステージのうち少なくとも1つは、走査方向において前記基板に関して前記像を走査して、放射線パルスを用いて、前記領域の熱処理が十分である温度へと前記領域を加熱するように構成される、装置。
An apparatus for heat-treating a region of a substrate,
A continuous radiation source capable of providing a continuous first radiation beam;
An optical system configured to form a second radiation beam that receives the first radiation beam and forms an image on the substrate;
A stage configured to support the substrate;
Along the axis,
The first radiation beam has a first intensity profile and wavelength capable of heating a region of the substrate;
At least one of the optical system and the stage scans the image with respect to the substrate in a scanning direction and uses a radiation pulse to heat the region to a temperature at which heat treatment of the region is sufficient. Configured device.
請求項1において、
前記像は線像である、装置。
In claim 1,
The apparatus, wherein the image is a line image.
請求項1において、
前記光学系は、1以上の曲状ミラーを含む、装置。
In claim 1,
The optical system includes one or more curved mirrors.
請求項3において、
前記1以上の曲状ミラーは円錐ミラーである、装置。
In claim 3,
The apparatus, wherein the one or more curved mirrors are conical mirrors.
請求項4において、
複数の円錐ミラーをさらに含み、
前記複数の円錐ミラーは、それぞれが異なる円錐角および選択的配置可能性を有し、かつ、異なる大きさの線像を形成するために前記第1の放射線ビームから除去可能である、装置。
In claim 4,
A plurality of conical mirrors;
The apparatus wherein the plurality of conical mirrors each have a different cone angle and selective placement possibility and can be removed from the first radiation beam to form line images of different sizes.
請求項3において、
前記第1の放射線ビームは大きさを有し、
前記1以上の曲状ミラーは、反対出力の2以上の組の柱状放物面ミラーを含み、
前記柱状放物面ミラーは、前記第1の放射線ビーム中に配置されて、前記第1の放射線ビームの大きさおよび方向を変える、装置。
In claim 3,
The first radiation beam has a size;
The one or more curved mirrors include two or more sets of columnar parabolic mirrors with opposite outputs;
The apparatus wherein the columnar parabolic mirror is disposed in the first radiation beam to change the size and direction of the first radiation beam.
請求項6において、
前記組の柱状放物面ミラーは、前記第1の放射線ビームの大きさを変えるために選択的配置可能性を有し、かつ、前記第1の放射線ビームから除去可能である、装置。
In claim 6,
The apparatus wherein the set of columnar paraboloid mirrors has a selective placement possibility to change the size of the first radiation beam and is removable from the first radiation beam.
請求項1において、
前記放射線源の下流に配置されたビームコンバータを含み、
前記ビームコンバータは、前記第1の放射線ビームを受けて、前記第1の強度プロファイルを第2の強度プロファイルへと変換する、装置。
In claim 1,
A beam converter disposed downstream of the radiation source,
The beam converter receives the first radiation beam and converts the first intensity profile into a second intensity profile.
請求項8において、
前記ビームコンバータおよび前記光学系は、単一のコンバータ/光学系内で結合されている、装置。
In claim 8,
The apparatus wherein the beam converter and the optical system are combined in a single converter / optical system.
請求項8において、
前記第1の強度プロファイルは、ガウス分布である、装置。
In claim 8,
The apparatus, wherein the first intensity profile is a Gaussian distribution.
請求項8において、
前記第2の強度プロファイルは、前記走査方向に垂直な方向において実質的に均一である、装置。
In claim 8,
The apparatus, wherein the second intensity profile is substantially uniform in a direction perpendicular to the scanning direction.
請求項1において、
前記連続放射線源は、レーザである、装置。
In claim 1,
The apparatus wherein the continuous radiation source is a laser.
請求項1において、
前記レーザは、COレーザである、装置。
In claim 1,
The apparatus, wherein the laser is a CO 2 laser.
請求項13において、
前記波長は、約9.4μm〜約10.8μmである、装置。
In claim 13,
The apparatus wherein the wavelength is from about 9.4 μm to about 10.8 μm.
請求項1において、
前記ステージに結合されたステージコントローラを含む、装置。
In claim 1,
An apparatus comprising a stage controller coupled to the stage.
請求項15において、
前記放射線源、前記光学系、および前記ステージコントローラの少なくとも1つに結合されたコントローラを含む、装置。
In claim 15,
An apparatus comprising a controller coupled to at least one of the radiation source, the optical system, and the stage controller.
請求項1において、
前記放射線源の下流に配置された調整可能な減衰器と、
前記放射線源の下流に配置された1/4波長板と、
前記放射線源の下流に配置されたフォールドミラーと、
前記ステージと連動し、かつ、前記基板を受けて、前記基板を参照位置に位置合わせするプレアライナーと、
前記ステージに隣り合うように配置され、かつ、前記基板から反射された放射線を受け取って測定するモニタと、
前記ステージに隣り合うように配置され、かつ、前記基板から放出される放射線を受け取って測定する診断システムと、
前記放射線源の下流に配置され、かつ、前記第1および第2の放射線ビームのうち一方のエネルギーを測定するビームエネルギー監視システムと、
のうち1以上を含む、装置。
In claim 1,
An adjustable attenuator disposed downstream of the radiation source;
A quarter-wave plate disposed downstream of the radiation source;
A fold mirror disposed downstream of the radiation source;
A pre-aligner that interlocks with the stage and receives the substrate and aligns the substrate with a reference position;
A monitor arranged adjacent to the stage and receiving and measuring radiation reflected from the substrate;
A diagnostic system disposed adjacent to the stage and receiving and measuring radiation emitted from the substrate;
A beam energy monitoring system disposed downstream of the radiation source and measuring the energy of one of the first and second radiation beams;
A device comprising one or more of the following.
請求項17において、
前記装置は前記フォールドミラーを含み、
前記フォールドミラーは、前記基板の上方で前記像を走査するために移動可能である、装置。
In claim 17,
The apparatus includes the fold mirror;
The apparatus, wherein the fold mirror is movable to scan the image above the substrate.
請求項17において、
前記装置は前記減衰器を含み、
前記減衰器は調整可能な偏光子を含む、装置。
In claim 17,
The apparatus includes the attenuator;
The apparatus wherein the attenuator includes an adjustable polarizer.
請求項17において、
前記装置は前記診断システムを含み、
前記診断システムは、第1および第2の検出器を含み、
前記第1および第2の検出器はそれぞれ、前記基板から放出される前記放射線の第1および第2のスペクトルバンドを検出して、前記基板の最大温度を確定するように構成されている、装置。
In claim 17,
The apparatus includes the diagnostic system;
The diagnostic system includes first and second detectors;
The first and second detectors are configured to detect first and second spectral bands of the radiation emitted from the substrate, respectively, to determine a maximum temperature of the substrate; .
請求項1において、
前記光学系は、前記基板の領域の上方で前記像を走査するように構成された走査ミラーを含む、装置。
In claim 1,
The apparatus includes: a scanning mirror configured to scan the image above a region of the substrate.
請求項1において、
前記第1の放射線ビームは偏光されている、装置。
In claim 1,
The apparatus, wherein the first radiation beam is polarized.
請求項22において、
前記偏光は円形である、装置。
In claim 22,
The apparatus, wherein the polarization is circular.
請求項1において、
前記軸は、前記基板の表面の法線と入射角Φを形成し、かつ、0≦Φ<90°である、装置。
In claim 1,
The apparatus wherein the axis forms a normal to the surface of the substrate and an incident angle Φ, and 0 ≦ Φ <90 °.
請求項24において、
前記入射角Φは、ブリュースター角と等しいかまたはその近傍であり、
前記第2の放射線ビームは、前記基板に関してp−偏光されている、装置。
In claim 24,
The incident angle Φ is equal to or near the Brewster angle,
The apparatus, wherein the second radiation beam is p-polarized with respect to the substrate.
請求項25において、
前記基板は単結晶半導体であり、
前記入射角Φは50°〜80°の間である、装置。
In claim 25,
The substrate is a single crystal semiconductor;
The apparatus, wherein the incident angle Φ is between 50 ° and 80 °.
請求項1において、
前記基板は格子パターンを含み、
前記像は、前記格子パターンに関して45°に配向している、装置。
In claim 1,
The substrate includes a lattice pattern;
The apparatus, wherein the image is oriented at 45 ° with respect to the grid pattern.
基板の1以上の領域を熱処理する方法であって、
a.前記領域を加熱可能な波長を有する連続放射線ビームを生成する工程、および
b.前記1以上の領域における各点が、前記1以上の領域それぞれを処理可能な量の熱エネルギーを受けるように、走査方向において前記1以上の領域の上方で前記放射線を走査する工程
を含む、方法。
A method for heat treating one or more regions of a substrate, comprising:
a. Generating a continuous radiation beam having a wavelength capable of heating the region; and b. Scanning the radiation above the one or more regions in a scanning direction such that each point in the one or more regions receives an amount of thermal energy that can process each of the one or more regions. .
請求項28において、
前記基板は単結晶であり、
前記1以上の領域の各点において、前記像がマイクロ秒からミリ秒の間の滞在時間を有するように、前記工程bが実行される、方法。
In claim 28,
The substrate is a single crystal;
The method wherein step b is performed such that at each point of the one or more regions, the image has a dwell time between microseconds and milliseconds.
請求項29において、
前記1以上の領域は集積回路を含み、
前記工程bの前記放射線は、前記走査方向と垂直に、1cmまたはそれ未満の面積を有する像を形成する、方法。
In claim 29,
The one or more regions include integrated circuits;
The method wherein the radiation of step b forms an image having an area of 1 cm or less perpendicular to the scanning direction.
請求項28において、
前記連続放射線ビームは、第1のプロファイルを有し、かつ、
c.前記連続放射線ビームを変更して、第2のプロファイルを形成する工程をさらに含む、方法。
In claim 28,
The continuous radiation beam has a first profile; and
c. The method further includes altering the continuous radiation beam to form a second profile.
請求項31において、
前記工程cは、前記第2のプロファイルが前記基板において実質的に均一な強度を有する像を形成するように、前記連続放射線ビームを変更する、方法。
In claim 31,
The step c modifies the continuous radiation beam so that the second profile forms an image having a substantially uniform intensity on the substrate.
請求項28において、
c.前記連続放射線ビームを減衰させて、前記1以上の領域を選択された温度に維持する工程をさらに含む、方法。
In claim 28,
c. The method further comprises attenuating the continuous radiation beam to maintain the one or more regions at a selected temperature.
請求項28において、
前記連続放射線ビームは出力パワーを有し、かつ、
c.前記出力パワーを変化させて、前記1以上の領域を選択された温度に維持する工程をさらに含む、方法。
In claim 28,
The continuous radiation beam has an output power; and
c. Changing the output power to further maintain the one or more regions at a selected temperature.
請求項28において、
c.線像を形成する工程をさらに含む、方法。
In claim 28,
c. The method further comprising the step of forming a line image.
請求項35において、
d.前記線像の長寸を、入射放射線のビームおよび反射放射線のビームに関連付けられた軸によって定義された平面に相対的に位置合わせする工程をさらに含む、方法。
In claim 35,
d. The method further comprises aligning the length of the line image relative to a plane defined by an axis associated with the beam of incident radiation and the beam of reflected radiation.
請求項35において、
d.円錐ミラーから前記放射線ビームを反射することにより、前記線像を形成する工程をさらに含む、方法。
In claim 35,
d. The method further comprises forming the line image by reflecting the radiation beam from a conical mirror.
請求項35において、
前記線像は長さL1および幅L2を有し、かつ、
d.少なくとも前記長さおよび前記幅を変化させる工程をさらに含む、方法。
In claim 35,
The line image has a length L1 and a width L2, and
d. A method further comprising changing at least the length and the width.
請求項28において、
c.前記基板の領域から反射された放射線を測定する工程をさらに含む、方法。
In claim 28,
c. Measuring the radiation reflected from the area of the substrate.
請求項28において、
c.前記基板の領域の温度を測定する工程をさらに含む、方法。
In claim 28,
c. Measuring the temperature of the region of the substrate.
請求項40において、
前記工程cはさらに、
I.2つの異なるスペクトルバンド内で前記基板から放出される放射線を測定する工程を含む、方法。
In claim 40,
The step c further includes
I. Measuring the radiation emitted from the substrate in two different spectral bands.
請求項40において、
d.各検出器アレイを用いて、異なるスペクトルバンド内で前記基板の共通領域を撮像する工程、および
e.前記検出器アレイからの各出力信号を比較して、前記共通領域における最大温度点および前記最大温度点における温度を決定する工程
をさらに含む、方法。
In claim 40,
d. Using each detector array to image a common area of the substrate in a different spectral band; and e. Comparing each output signal from the detector array to determine a maximum temperature point in the common region and a temperature at the maximum temperature point.
請求項28において、
前記放射線ビームは偏光されている、方法。
In claim 28,
The method wherein the radiation beam is polarized.
請求項43において、
c.前記放射線ビームの偏光を1/4波長回転する工程をさらに含む、方法。
In claim 43,
c. The method further comprises rotating the polarization of the radiation beam by a quarter wavelength.
請求項43において、
c.第1の放射線ビームを変化させて、円形に偏光した放射線のビームを形成する工程をさらに含む、方法。
In claim 43,
c. Changing the first radiation beam to further form a circularly polarized beam of radiation.
請求項28において、
前記放射線ビームは、前記基板に関してp−偏光され、
c.ブリュースター角と等しいかまたはその近傍の角度の前記放射線ビームを前記基板に照射する工程をさらに含む、方法。
In claim 28,
The radiation beam is p-polarized with respect to the substrate;
c. Irradiating the substrate with the radiation beam at an angle equal to or near a Brewster angle.
請求項28において、
前記基板は単結晶半導体であり、
前記放射線ビームはp−偏光され、かつ、
c.入射角Φが50°〜80°の間にて前記放射線ビームを前記基板に照射する工程をさらに含む、方法。
In claim 28,
The substrate is a single crystal semiconductor;
The radiation beam is p-polarized, and
c. Irradiating the substrate with the radiation beam at an incident angle Φ of 50 ° to 80 °.
請求項28において、
前記工程bは、牛耕状パターン、らせん状パターン、および交互ラスタ状パターンのうち1つにて行なわれる、方法。
In claim 28,
The step b is performed in one of a cow plow pattern, a spiral pattern, and an alternating raster pattern.
請求項28において、
c.第1の放射線ビームの偏光を変化させて、前記基板を選択された温度に維持する工程をさらに含む、方法。
In claim 28,
c. The method further comprises changing the polarization of the first radiation beam to maintain the substrate at a selected temperature.
請求項28において、
前記工程bを可変速度にて行ない、前記基板を選択された温度に維持する、方法。
In claim 28,
Performing step b at a variable rate and maintaining the substrate at a selected temperature.
請求項28において、
前記第1の放射線ビームの波長は、9.4〜10.8μmである、方法。
In claim 28,
The method wherein the wavelength of the first radiation beam is 9.4 to 10.8 μm.
請求項28において、
前記工程bは、前記基板から反射された放射線の変化を最小限にするために、以下の工程を含む:
i.前記基板の上方で連続放射線ビームを走査する工程、
ii.連続的な第1の放射線ビームの入射角の範囲にわたって、前記反射された放射線の変化を測定して、少なくとも前記反射された放射線の量の変化が最も小さい点に相当する最適入射角を決定する工程、および
iii.前記最適入射角またはその近傍を走査して、前記1以上の領域を熱処理する工程。
In claim 28,
Step b includes the following steps to minimize the change in the radiation reflected from the substrate:
i. Scanning a continuous beam of radiation above the substrate;
ii. The change in the reflected radiation is measured over a range of incident angles of the continuous first radiation beam to determine an optimum angle of incidence corresponding to at least the smallest change in the amount of reflected radiation. Steps, and iii. Scanning the optimum incident angle or the vicinity thereof and heat-treating the one or more regions.
請求項28において、
前記工程bは、前記基板上で生成された最大温度の変化を最小限にするために、以下の工程を含む:
i.前記連続放射線ビームから像を形成する工程、
i.前記基板の上方で前記像を走査する工程、
iii.入射角の範囲にわたって、各入射角に関して前記基板上の異なる位置で形成された最大温度の変化を測定して、少なくとも前記最大温度の変化量が最も小さい点に相当する最適入射角を決定する工程、および
iv.前記最適入射角またはその近傍を走査して、前記1以上の領域を熱処理する工程。
In claim 28,
Step b includes the following steps in order to minimize the change in maximum temperature generated on the substrate:
i. Forming an image from the continuous radiation beam;
i. Scanning the image above the substrate;
iii. Measuring a change in maximum temperature formed at different positions on the substrate with respect to each incident angle over a range of incident angles, and determining an optimum incident angle corresponding to at least a point where the amount of change in the maximum temperature is the smallest. And iv. Scanning the optimum incident angle or the vicinity thereof and heat-treating the one or more regions.
請求項28において、
前記基板は結晶性であり、かつ、
前記工程bは、前記基板において滑り面の形成を最小限にする方向に前記像を走査する、方法。
In claim 28,
The substrate is crystalline, and
The step b scans the image in a direction that minimizes the formation of sliding surfaces on the substrate.
請求項54において、
前記基板は結晶軸を有し、
前記工程bは、前記結晶軸の1つに沿った方向にて前記像を走査する、方法。
In claim 54,
The substrate has a crystallographic axis;
The step b scans the image in a direction along one of the crystal axes.
請求項28において、
前記1以上の領域は、パターン化された形状を有し、かつ、
さらに以下の工程を含む:
c.前記連続放射線ビームを用いて線像を形成する工程、および
d.前記パターン化された形状に関する像角にて前記線像を用いて、かつ、入射角にて前記連続放射線ビームを前記基板に照射する工程。
In claim 28,
The one or more regions have a patterned shape; and
In addition, it includes the following steps:
c. Forming a line image using the continuous radiation beam; and d. Irradiating the substrate with the continuous radiation beam at an angle of incidence using the line image at an image angle with respect to the patterned shape.
請求項56において、
前記入射角および像角は、前記1以上の領域における温度変化を最小限にするために選択される、方法。
In claim 56,
The method wherein the angle of incidence and the image angle are selected to minimize temperature changes in the one or more regions.
請求項57において、
前記基板は結晶性であり、かつ、
e.走査方向を選択して、前記基板における滑り面の形成を最小限にする、方法。
In claim 57,
The substrate is crystalline, and
e. A method of selecting a scanning direction to minimize the formation of sliding surfaces on the substrate.
請求項17において、
前記診断システムは検出器を含み、
前記検出器は、前記基板に存在する前記検出器および膜に使用される波長に対してブリュースター角にて、前記加熱された基板を観察するように配置された、装置。
In claim 17,
The diagnostic system includes a detector;
The apparatus, wherein the detector is arranged to observe the heated substrate at a Brewster angle relative to the wavelength used for the detector and film present on the substrate.
請求項59において、
前記診断システムは、0.5〜0.8μmの波長を有する放射線を受け、かつ測定する、装置。
In claim 59,
The diagnostic system receives and measures radiation having a wavelength of 0.5 to 0.8 μm.
請求項59において、
前記診断システムは、3〜11μmの波長を有する放射線を受け、かつ測定する、装置。
In claim 59,
The diagnostic system receives and measures radiation having a wavelength of 3-11 μm.
請求項17において、
前記診断システムは検出器アレイを含み、
前記検出器アレイは、前記基板に存在する前記検出器および膜に使用される波長に対してブリュースター角にて、前記加熱された基板を観察するように配置された、装置。
In claim 17,
The diagnostic system includes a detector array;
The apparatus wherein the detector array is arranged to observe the heated substrate at a Brewster angle relative to the wavelength used for the detector and film present on the substrate.
請求項62において、
前記診断システムは、0.5〜0.8μmの波長を有する放射線を受け、かつ測定する、装置。
In claim 62,
The diagnostic system receives and measures radiation having a wavelength of 0.5 to 0.8 μm.
請求項62において、
前記診断システムは、3〜11μmの波長を有する放射線を受け、かつ測定する、装置。
In claim 62,
The diagnostic system receives and measures radiation having a wavelength of 3-11 μm.
請求項1において、
前記基板上で前記放射線ビームを小さいサイズへと縮小させるビーム形成システムと、
前記基板から反射された放射線を受け、かつ測定するように配置された、前記ステージに隣り合う放射線モニタと、
1以上のチップを含む、限られた領域において、前記小さいサイズにされた放射線ビームを前記基板の上方で走査するように構成された走査システムと、
を含み、
前記放射線モニタは、前記限られた領域において、反射率の変化を示す放射線を受ける、装置。
In claim 1,
A beam forming system for reducing the radiation beam to a small size on the substrate;
A radiation monitor adjacent to the stage, arranged to receive and measure radiation reflected from the substrate;
A scanning system configured to scan the small-sized radiation beam over the substrate in a limited area including one or more chips;
Including
The apparatus wherein the radiation monitor receives radiation indicative of a change in reflectance in the limited area.
請求項1において、
前記基板上で前記放射線ビームを小さいサイズへと縮小させるビーム形成システムと、
前記基板から反射される放射線を受け、かつ測定するように配置された、前記ステージに隣り合う放射線モニタと、
1以上のチップを含む、限られた領域において、前記小さいサイズにされた放射線ビームを前記基板の上方で走査するように構成された走査システムと、
を含み、
前記小さいサイズにされた放射線ビームは、前記基板上に存在する膜に対してブリュースター角にて前記基板に入射し、
前記放射線モニタは、前記限られた領域において、反射率の変化を示す放射線を受ける、装置。
In claim 1,
A beam forming system for reducing the radiation beam to a small size on the substrate;
A radiation monitor adjacent to the stage, arranged to receive and measure radiation reflected from the substrate;
A scanning system configured to scan the small-sized radiation beam over the substrate in a limited area including one or more chips;
Including
The sized radiation beam is incident on the substrate at a Brewster angle with respect to the film present on the substrate,
The apparatus wherein the radiation monitor receives radiation indicative of a change in reflectance in the limited area.
請求項1において、
前記診断システムはさらに、
前記基板に存在する検出器および膜に使用される波長に対してブリュースター角にて、前記基板の加熱された領域を観察するように配置された検出器と、
1以上のチップを含む、限られた領域において、前記基板の上方で前記第2の放射線ビームを走査する走査システムと、
を含み、
前記基板の限られた領域の上方で、前記第2の放射線ビームが走査されると、前記検出器は、前記第2の放射線ビームによって生じた温度変化を示す放射線を受ける、装置。
In claim 1,
The diagnostic system further includes:
A detector located on the substrate and a detector arranged to observe a heated region of the substrate at a Brewster angle relative to the wavelength used for the film;
A scanning system that scans the second radiation beam over the substrate in a limited area comprising one or more chips;
Including
The apparatus, when the second radiation beam is scanned over a limited area of the substrate, the detector receives radiation indicative of a temperature change caused by the second radiation beam.
請求項67において、
前記診断システムは、0.5〜0.8μmの波長を採用する、装置。
In claim 67,
The diagnostic system employs a wavelength of 0.5 to 0.8 μm.
請求項67において、
前記診断システムは、3〜11μmの波長を採用する、装置。
In claim 67,
The diagnostic system employs a wavelength of 3 to 11 μm.
請求項1において、
前記診断システムはさらに、
前記基板に存在する検出器および膜に使用される波長に対してブリュースター角にて、前記基板の加熱された領域を観察するように配置された検出器と、
1以上のチップを含む、限られた領域において、前記第2の放射線ビームの波長および前記基板上に存在する膜に対してブリュースター角にて、前記基板の上方で前記第2の放射線ビームを走査する走査システムと、
を含み、
前記走査システムが前記基板の限られた領域の上方を走査すると、前記検出器は、前記第2の放射線ビームによって生じた温度変化を示す放射線を受ける、装置。
In claim 1,
The diagnostic system further includes:
A detector located on the substrate and a detector arranged to observe a heated region of the substrate at a Brewster angle relative to the wavelength used for the film;
In a limited area including one or more chips, the second radiation beam is projected above the substrate at a Brewster angle with respect to the wavelength of the second radiation beam and the film present on the substrate. A scanning system for scanning;
Including
The apparatus receives radiation indicative of a temperature change caused by the second radiation beam when the scanning system scans over a limited area of the substrate.
請求項70において、
前記診断システムは、0.5〜0.8μmの波長を採用する、装置。
In claim 70,
The diagnostic system employs a wavelength of 0.5 to 0.8 μm.
請求項70において、
前記診断システムは、3〜11μmの波長を採用する、装置。
In claim 70,
The diagnostic system employs a wavelength of 3 to 11 μm.
請求項1において、
前記診断システムはさらに、
前記基板に存在する検出器および膜に使用される波長に対してブリュースター角にて、前記基板の加熱された領域を観察するように配置された検出器アレイと、
1以上のチップを含む、限られた領域において、前記基板の上方で前記第2の放射線ビームを走査する走査システムと、
を含み、
前記限られた領域の上方で、前記第2の放射線ビームが走査されると、前記検出器は、前記第2の放射線ビームによって生じた温度変化を示す放射線を受ける、装置。
In claim 1,
The diagnostic system further includes:
A detector array arranged to observe a heated region of the substrate at a Brewster angle relative to the wavelength used for the detector and film present on the substrate;
A scanning system that scans the second radiation beam over the substrate in a limited area comprising one or more chips;
Including
The apparatus, when the second radiation beam is scanned over the limited area, the detector receives radiation indicative of a temperature change caused by the second radiation beam.
請求項1において、
前記基板上に存在する膜に対してブリュースター角にて、前記第2の放射線ビームを前記基板に入射させるビーム位置決めシステムをさらに含み、
前記診断システムは、
前記基板に存在する検出器および前記膜に使用される波長に対してブリュースター角にて、前記基板の加熱された領域を観察するように配置された検出器アレイと、
1以上のチップを含む、限られた領域において、前記基板の上方で前記第2の放射線ビームを走査する走査システムと、
を含み、
前記基板の限られた領域の上方で、前記第2の放射線ビームが走査されると、前記検出器は、前記第2の放射線ビームによって生じた温度変化を示す放射線を受ける、装置。
In claim 1,
A beam positioning system that impinges the second radiation beam on the substrate at a Brewster angle relative to a film present on the substrate;
The diagnostic system includes:
A detector array arranged to observe a heated region of the substrate at a Brewster angle relative to the wavelength used for the detector and the film present on the substrate;
A scanning system that scans the second radiation beam over the substrate in a limited area comprising one or more chips;
Including
The apparatus, when the second radiation beam is scanned over a limited area of the substrate, the detector receives radiation indicative of a temperature change caused by the second radiation beam.
請求項46において、
前記放射線ビームは、レーザダイオードアレイによって生じる、装置。
In claim 46,
The apparatus wherein the radiation beam is generated by a laser diode array.
請求項75において、
前記レーザダイオードアレイからの前記放射線ビームの波長は、0.6〜1.5μmである、装置。
In claim 75,
The wavelength of the radiation beam from the laser diode array is 0.6 to 1.5 μm.
JP2004551743A 2002-11-06 2003-11-03 Laser scanning apparatus and heat treatment method Pending JP2006505953A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/287,864 US6747245B2 (en) 2002-11-06 2002-11-06 Laser scanning apparatus and methods for thermal processing
PCT/US2003/035236 WO2004044955A2 (en) 2002-11-06 2003-11-03 Laser scanning apparatus and methods for thermal processing

Publications (1)

Publication Number Publication Date
JP2006505953A true JP2006505953A (en) 2006-02-16

Family

ID=32175774

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004551743A Pending JP2006505953A (en) 2002-11-06 2003-11-03 Laser scanning apparatus and heat treatment method

Country Status (6)

Country Link
US (2) US6747245B2 (en)
EP (1) EP1562719A4 (en)
JP (1) JP2006505953A (en)
KR (1) KR100776949B1 (en)
TW (1) TWI259118B (en)
WO (1) WO2004044955A2 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008028355A (en) * 2006-06-20 2008-02-07 Shin Etsu Handotai Co Ltd Silicon wafer manufacturing method, and silicon wafer manufactured by the method
JP2008116269A (en) * 2006-11-02 2008-05-22 Sumitomo Heavy Ind Ltd Temperature measuring device and temperature calculation method
JP2012129500A (en) * 2010-10-22 2012-07-05 Ultratech Inc System and method for forming time averaged line image
WO2012118119A1 (en) * 2011-03-03 2012-09-07 東京エレクトロン株式会社 Annealing method and annealing equipment
JP2013048226A (en) * 2011-08-10 2013-03-07 Ultratech Inc Systems and methods for forming time-averaged line image
JP2013074246A (en) * 2011-09-29 2013-04-22 Sumitomo Heavy Ind Ltd Laser annealing device, laser annealing method, and stage
JP2013074247A (en) * 2011-09-29 2013-04-22 Sumitomo Heavy Ind Ltd Laser annealing device, and laser annealing method
JP2013232639A (en) * 2012-04-27 2013-11-14 Ultratech Inc Laser annealing scanning methods with reduced annealing non-uniformity
WO2018055840A1 (en) * 2016-09-21 2018-03-29 株式会社日本製鋼所 Laser irradiation device, laser irradiation method, and semiconductor device manufacturing method
WO2024116269A1 (en) * 2022-11-29 2024-06-06 Jswアクティナシステム株式会社 Laser irradiation apparatus, laser irradiation method, and method for manufacturing semiconductor device

Families Citing this family (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6970644B2 (en) 2000-12-21 2005-11-29 Mattson Technology, Inc. Heating configuration for use in thermal processing chambers
US7015422B2 (en) 2000-12-21 2006-03-21 Mattson Technology, Inc. System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
TW552645B (en) * 2001-08-03 2003-09-11 Semiconductor Energy Lab Laser irradiating device, laser irradiating method and manufacturing method of semiconductor device
US6987240B2 (en) * 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US7154066B2 (en) * 2002-11-06 2006-12-26 Ultratech, Inc. Laser scanning apparatus and methods for thermal processing
US20050189329A1 (en) * 2003-09-02 2005-09-01 Somit Talwar Laser thermal processing with laser diode radiation
US7763828B2 (en) * 2003-09-02 2010-07-27 Ultratech, Inc. Laser thermal processing with laser diode radiation
US7098155B2 (en) * 2003-09-29 2006-08-29 Ultratech, Inc. Laser thermal annealing of lightly doped silicon substrates
US7148159B2 (en) * 2003-09-29 2006-12-12 Ultratech, Inc. Laser thermal annealing of lightly doped silicon substrates
US7422988B2 (en) * 2004-11-12 2008-09-09 Applied Materials, Inc. Rapid detection of imminent failure in laser thermal processing of a substrate
US7129440B2 (en) * 2004-11-12 2006-10-31 Applied Materials, Inc. Single axis light pipe for homogenizing slow axis of illumination systems based on laser diodes
US7910499B2 (en) * 2004-11-12 2011-03-22 Applied Materials, Inc. Autofocus for high power laser diode based annealing system
US7438468B2 (en) * 2004-11-12 2008-10-21 Applied Materials, Inc. Multiple band pass filtering for pyrometry in laser based annealing systems
US7326877B2 (en) * 2004-12-01 2008-02-05 Ultratech, Inc. Laser thermal processing chuck with a thermal compensating heater module
US7731798B2 (en) * 2004-12-01 2010-06-08 Ultratech, Inc. Heated chuck for laser thermal processing
US7371596B2 (en) * 2004-12-30 2008-05-13 Semicube, Inc. Parallel-beam scanning for surface patterning of materials
US7253376B2 (en) * 2005-01-21 2007-08-07 Ultratech, Inc. Methods and apparatus for truncating an image formed with coherent radiation
US7313501B2 (en) * 2005-02-02 2007-12-25 Texas Instruments Incorporated Method and system for determining the location of a potential defect in a device based on a temperature profile
US7292616B2 (en) * 2005-02-09 2007-11-06 Ultratech, Inc. CO2 laser stabilization systems and methods
US7279721B2 (en) 2005-04-13 2007-10-09 Applied Materials, Inc. Dual wavelength thermal flux laser anneal
US7176405B2 (en) * 2005-04-22 2007-02-13 Ultratech, Inc. Heat shield for thermal processing
US7767927B2 (en) 2005-05-16 2010-08-03 Ultratech, Inc. Methods and apparatus for remote temperature measurement of a specular surface
US7135392B1 (en) 2005-07-20 2006-11-14 Applied Materials, Inc. Thermal flux laser annealing for ion implantation of semiconductor P-N junctions
US7238915B2 (en) * 2005-09-26 2007-07-03 Ultratech, Inc. Methods and apparatus for irradiating a substrate to avoid substrate edge damage
US7482254B2 (en) * 2005-09-26 2009-01-27 Ultratech, Inc. Apparatus and methods for thermally processing undoped and lightly doped substrates without pre-heating
US20080173620A1 (en) * 2005-09-26 2008-07-24 Ultratech, Inc. Apparatuses and methods for irradiating a substrate to avoid substrate edge damage
US7633307B2 (en) * 2005-12-16 2009-12-15 Freescale Semiconductor, Inc. Method for determining temperature profile in semiconductor manufacturing test
FI119593B (en) * 2006-01-19 2009-01-15 Savcor Alfa Oy Laser welding procedure
WO2007101112A1 (en) * 2006-02-24 2007-09-07 Uvtech Systems, Inc. Method and apparatus for delivery of pulsed laser radiation
US7569463B2 (en) * 2006-03-08 2009-08-04 Applied Materials, Inc. Method of thermal processing structures formed on a substrate
TW200741883A (en) * 2006-04-21 2007-11-01 Zeiss Carl Laser Optics Gmbh Apparatus for laser annealing of large substrates and method for laser annealing for large substrates
US7514305B1 (en) * 2006-06-28 2009-04-07 Ultratech, Inc. Apparatus and methods for improving the intensity profile of a beam image used to process a substrate
US20080025354A1 (en) * 2006-07-31 2008-01-31 Dean Jennings Ultra-Fast Beam Dithering with Surface Acoustic Wave Modulator
US7548364B2 (en) 2006-07-31 2009-06-16 Applied Materials, Inc. Ultra-fast beam dithering with surface acoustic wave modulator
US20080045041A1 (en) * 2006-08-17 2008-02-21 Toshiba America Electronic Components, Inc. Liquid Immersion Laser Spike Anneal
US7674999B2 (en) 2006-08-23 2010-03-09 Applied Materials, Inc. Fast axis beam profile shaping by collimation lenslets for high power laser diode based annealing system
CA2560238A1 (en) * 2006-09-20 2008-03-20 Institut National D'optique Laser-based ablation method and optical system
US7659187B2 (en) * 2006-11-03 2010-02-09 Applied Materials, Inc. Method of forming PN junctions including a post-ion implant dynamic surface anneal process with minimum interface trap density at the gate insulator-silicon interface
US20080151951A1 (en) * 2006-12-22 2008-06-26 Elliott David J Laser optical system
US20090323739A1 (en) * 2006-12-22 2009-12-31 Uv Tech Systems Laser optical system
US7759773B2 (en) 2007-02-26 2010-07-20 International Business Machines Corporation Semiconductor wafer structure with balanced reflectance and absorption characteristics for rapid thermal anneal uniformity
US20090096066A1 (en) * 2007-10-10 2009-04-16 Anderson Brent A Structure and Method for Device-Specific Fill for Improved Anneal Uniformity
US7679166B2 (en) * 2007-02-26 2010-03-16 International Business Machines Corporation Localized temperature control during rapid thermal anneal
US7745909B2 (en) * 2007-02-26 2010-06-29 International Business Machines Corporation Localized temperature control during rapid thermal anneal
US7692275B2 (en) 2007-02-26 2010-04-06 International Business Machines Corporation Structure and method for device-specific fill for improved anneal uniformity
US7732353B2 (en) * 2007-04-18 2010-06-08 Ultratech, Inc. Methods of forming a denuded zone in a semiconductor wafer using rapid laser annealing
DE102007024701A1 (en) 2007-05-25 2008-11-27 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Material removal method and apparatus for carrying out the method
US7804042B2 (en) * 2007-06-18 2010-09-28 Applied Materials, Inc. Pryometer for laser annealing system compatible with amorphous carbon optical absorber layer
US7744274B1 (en) 2007-06-20 2010-06-29 Ultratech, Inc. Methods and apparatus for temperature measurement and control on a remote substrate surface
US8148663B2 (en) * 2007-07-31 2012-04-03 Applied Materials, Inc. Apparatus and method of improving beam shaping and beam homogenization
US7847213B1 (en) 2007-09-11 2010-12-07 Ultratech, Inc. Method and apparatus for modifying an intensity profile of a coherent photonic beam
JP2009123421A (en) * 2007-11-13 2009-06-04 Canon Inc Method of manufacturing air tight container
JP5011072B2 (en) * 2007-11-21 2012-08-29 株式会社ディスコ Laser processing equipment
US7713757B2 (en) * 2008-03-14 2010-05-11 Applied Materials, Inc. Method for measuring dopant concentration during plasma ion implantation
US8071908B1 (en) 2008-03-26 2011-12-06 Ultratech, Inc. Edge with minimal diffraction effects
US8319149B2 (en) * 2008-04-16 2012-11-27 Applied Materials, Inc. Radiant anneal throughput optimization and thermal history minimization by interlacing
US20090278287A1 (en) * 2008-05-12 2009-11-12 Yun Wang Substrate processing with reduced warpage and/or controlled strain
KR101213659B1 (en) * 2008-06-26 2012-12-18 가부시키가이샤 아이에이치아이 Method and apparatus for laser annealing
US20100068898A1 (en) 2008-09-17 2010-03-18 Stephen Moffatt Managing thermal budget in annealing of substrates
US8314369B2 (en) * 2008-09-17 2012-11-20 Applied Materials, Inc. Managing thermal budget in annealing of substrates
EP2210696A1 (en) * 2009-01-26 2010-07-28 Excico France Method and apparatus for irradiating a semiconductor material surface by laser energy
US7947968B1 (en) * 2009-01-29 2011-05-24 Ultratech, Inc. Processing substrates using direct and recycled radiation
US20100304527A1 (en) * 2009-03-03 2010-12-02 Peter Borden Methods of thermal processing a solar cell
US7786025B1 (en) 2009-03-17 2010-08-31 International Business Machines Corporation Activating dopants using multiple consecutive millisecond-range anneals
JP5300564B2 (en) * 2009-04-07 2013-09-25 株式会社日本製鋼所 Laser annealing equipment
EP2253413A1 (en) * 2009-05-15 2010-11-24 National University of Ireland Galway Method for laser ablation
US8658451B2 (en) 2009-11-06 2014-02-25 Ultratech, Inc. Activating GaN LEDs by laser spike annealing and flash annealing
US8460959B2 (en) 2009-11-06 2013-06-11 Ultratech, Inc. Fast thermal annealing of GaN LEDs
US8592309B2 (en) * 2009-11-06 2013-11-26 Ultratech, Inc. Laser spike annealing for GaN LEDs
US8014427B1 (en) 2010-05-11 2011-09-06 Ultratech, Inc. Line imaging systems and methods for laser annealing
US8399808B2 (en) 2010-10-22 2013-03-19 Ultratech, Inc. Systems and methods for forming a time-averaged line image
US9302348B2 (en) 2011-06-07 2016-04-05 Ultratech Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
US8309474B1 (en) 2011-06-07 2012-11-13 Ultratech, Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
US8569187B2 (en) 2011-06-24 2013-10-29 Applied Materials, Inc. Thermal processing apparatus
US8575043B2 (en) * 2011-07-26 2013-11-05 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
JP2013120936A (en) 2011-12-07 2013-06-17 Ultratech Inc Ganled laser anneal with reduced pattern effect
US8546805B2 (en) 2012-01-27 2013-10-01 Ultratech, Inc. Two-beam laser annealing with improved temperature performance
US9272365B2 (en) * 2012-09-12 2016-03-01 Siemens Energy, Inc. Superalloy laser cladding with surface topology energy transfer compensation
US9558973B2 (en) 2012-06-11 2017-01-31 Ultratech, Inc. Laser annealing systems and methods with ultra-short dwell times
SG195515A1 (en) 2012-06-11 2013-12-30 Ultratech Inc Laser annealing systems and methods with ultra-short dwell times
US9490128B2 (en) * 2012-08-27 2016-11-08 Ultratech, Inc. Non-melt thin-wafer laser thermal annealing methods
US9289854B2 (en) 2012-09-12 2016-03-22 Siemens Energy, Inc. Automated superalloy laser cladding with 3D imaging weld path control
US9272369B2 (en) 2012-09-12 2016-03-01 Siemens Energy, Inc. Method for automated superalloy laser cladding with 3D imaging weld path control
KR102090708B1 (en) * 2013-01-22 2020-04-16 삼성디스플레이 주식회사 Laser annealing apparatus
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20150111341A1 (en) * 2013-10-23 2015-04-23 Qualcomm Incorporated LASER ANNEALING METHODS FOR INTEGRATED CIRCUITS (ICs)
US9358635B2 (en) 2013-12-19 2016-06-07 Siemens Energy, Inc. Rastered laser melting of a curved surface path with uniform power density distribution
US9343307B2 (en) 2013-12-24 2016-05-17 Ultratech, Inc. Laser spike annealing using fiber lasers
US20150187616A1 (en) * 2013-12-31 2015-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms of adjustable laser beam for laser spike annealing
JP2015202594A (en) * 2014-04-11 2015-11-16 セイコーエプソン株式会社 Molding device and molding method
US9559023B2 (en) 2014-06-23 2017-01-31 Ultratech, Inc. Systems and methods for reducing beam instability in laser annealing
US9613828B2 (en) 2014-06-24 2017-04-04 Ultratech, Inc. Method of laser annealing a semiconductor wafer with localized control of ambient oxygen
KR20170037633A (en) * 2014-07-21 2017-04-04 어플라이드 머티어리얼스, 인코포레이티드 Scanned pulse anneal apparatus and methods
JP6193305B2 (en) 2014-07-29 2017-09-06 ウルトラテック インク High performance line forming optical system and method
US10083843B2 (en) 2014-12-17 2018-09-25 Ultratech, Inc. Laser annealing systems and methods with ultra-short dwell times
TWI550982B (en) * 2015-03-06 2016-09-21 智泰科技股份有限公司 Real-time wavelength correction system for visible light
CN106935491B (en) * 2015-12-30 2021-10-12 上海微电子装备(集团)股份有限公司 Laser annealing device and annealing method thereof
KR101789185B1 (en) * 2016-02-05 2017-10-23 주식회사 이오테크닉스 Laser processing method using an angle of inclination of laser beam
EP3533557B1 (en) * 2018-03-01 2021-05-26 Synova S.A. Apparatus for machining a workpiece with a laser beam coupled into a fluid jet, with automatic laser-nozzle alignment ; method of aligning such a beam
SG11202010365TA (en) * 2018-04-27 2020-11-27 Tokyo Electron Ltd Substrate processing system and substrate processing method
US10955459B2 (en) * 2018-06-27 2021-03-23 Taiwan Semiconductor Manufacturing Company Ltd. Method of analyzing semiconductor structure
KR102546719B1 (en) 2018-09-04 2023-06-21 삼성전자주식회사 Monitoring device and monitoring method
KR102258055B1 (en) * 2019-08-27 2021-05-27 공주대학교 산학협력단 Temperature monitoring system of laser annealing equipment
US11909091B2 (en) 2020-05-19 2024-02-20 Kymeta Corporation Expansion compensation structure for an antenna

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03280531A (en) * 1990-03-29 1991-12-11 Photonics:Kk Laser annealing and laser annealing device
JPH0897141A (en) * 1994-09-22 1996-04-12 A G Technol Kk Method of forming polycrystalline semiconductor layer, polycrystalline semiconductor tft, and beam annealing device
JP2000306834A (en) * 1999-02-12 2000-11-02 Semiconductor Energy Lab Co Ltd Device and method for laser irradiation and semiconductor device
JP2001189458A (en) * 1999-10-19 2001-07-10 Sanyo Electric Co Ltd Manufacturing method of semiconductor device
US20020161468A1 (en) * 2001-04-25 2002-10-31 Intel Corporation Integrated circuit annealing methods and apparatus

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4370175A (en) * 1979-12-03 1983-01-25 Bernard B. Katz Method of annealing implanted semiconductors by lasers
JPS56135972A (en) * 1980-03-28 1981-10-23 Chiyou Lsi Gijutsu Kenkyu Kumiai Manufacture of semiconductor device
US4356375A (en) * 1980-07-10 1982-10-26 Avery International Corporation Process for producing lines of weakness in the protective backing of an adhesive laminate
US4761786A (en) * 1986-12-23 1988-08-02 Spectra-Physics, Inc. Miniaturized Q-switched diode pumped solid state laser
US4734912A (en) * 1986-06-06 1988-03-29 Lightwave Electronics Corp. Laser diode end pumped Nd:YAG single mode laser
JPS6428809U (en) * 1987-08-14 1989-02-21
US4908493A (en) * 1988-05-31 1990-03-13 Midwest Research Institute Method and apparatus for optimizing the efficiency and quality of laser material processing
US5057664A (en) * 1989-10-20 1991-10-15 Electro Scientific Industries, Inc. Method and apparatus for laser processing a target material to provide a uniformly smooth, continuous trim profile
US5264238A (en) * 1990-06-12 1993-11-23 House Food Industrial Co., Ltd. Method for manufacturing snack foods
KR100299292B1 (en) * 1993-11-02 2001-12-01 이데이 노부유끼 Polysilicon Thin Film Forming Method and Surface Treatment Apparatus
US5756369A (en) * 1996-07-11 1998-05-26 Lsi Logic Corporation Rapid thermal processing using a narrowband infrared source and feedback
JP4663047B2 (en) * 1998-07-13 2011-03-30 株式会社半導体エネルギー研究所 Laser irradiation apparatus and method for manufacturing semiconductor device
US6208673B1 (en) * 1999-02-23 2001-03-27 Aculight Corporation Multifunction solid state laser system
US6366308B1 (en) * 2000-02-16 2002-04-02 Ultratech Stepper, Inc. Laser thermal processing apparatus and method
US6531681B1 (en) * 2000-03-27 2003-03-11 Ultratech Stepper, Inc. Apparatus having line source of radiant energy for exposing a substrate
US6337467B1 (en) * 2000-05-09 2002-01-08 Wafermasters, Inc. Lamp based scanning rapid thermal processing
JP2002141301A (en) * 2000-11-02 2002-05-17 Mitsubishi Electric Corp Optical system for laser annealing and laser annealing apparatus using the same

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03280531A (en) * 1990-03-29 1991-12-11 Photonics:Kk Laser annealing and laser annealing device
JPH0897141A (en) * 1994-09-22 1996-04-12 A G Technol Kk Method of forming polycrystalline semiconductor layer, polycrystalline semiconductor tft, and beam annealing device
JP2000306834A (en) * 1999-02-12 2000-11-02 Semiconductor Energy Lab Co Ltd Device and method for laser irradiation and semiconductor device
JP2001189458A (en) * 1999-10-19 2001-07-10 Sanyo Electric Co Ltd Manufacturing method of semiconductor device
US20020161468A1 (en) * 2001-04-25 2002-10-31 Intel Corporation Integrated circuit annealing methods and apparatus

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008028355A (en) * 2006-06-20 2008-02-07 Shin Etsu Handotai Co Ltd Silicon wafer manufacturing method, and silicon wafer manufactured by the method
JP2008116269A (en) * 2006-11-02 2008-05-22 Sumitomo Heavy Ind Ltd Temperature measuring device and temperature calculation method
JP2012129500A (en) * 2010-10-22 2012-07-05 Ultratech Inc System and method for forming time averaged line image
WO2012118119A1 (en) * 2011-03-03 2012-09-07 東京エレクトロン株式会社 Annealing method and annealing equipment
JPWO2012118119A1 (en) * 2011-03-03 2014-07-07 東京エレクトロン株式会社 Annealing method and annealing apparatus
JP2013048226A (en) * 2011-08-10 2013-03-07 Ultratech Inc Systems and methods for forming time-averaged line image
JP2013074246A (en) * 2011-09-29 2013-04-22 Sumitomo Heavy Ind Ltd Laser annealing device, laser annealing method, and stage
JP2013074247A (en) * 2011-09-29 2013-04-22 Sumitomo Heavy Ind Ltd Laser annealing device, and laser annealing method
JP2013232639A (en) * 2012-04-27 2013-11-14 Ultratech Inc Laser annealing scanning methods with reduced annealing non-uniformity
WO2018055840A1 (en) * 2016-09-21 2018-03-29 株式会社日本製鋼所 Laser irradiation device, laser irradiation method, and semiconductor device manufacturing method
US11355343B2 (en) 2016-09-21 2022-06-07 Jsw Aktina System Co., Ltd. Laser irradiation apparatus, laser irradiation method, and method of manufacturing semiconductor device
WO2024116269A1 (en) * 2022-11-29 2024-06-06 Jswアクティナシステム株式会社 Laser irradiation apparatus, laser irradiation method, and method for manufacturing semiconductor device

Also Published As

Publication number Publication date
US20040173585A1 (en) 2004-09-09
US20040084427A1 (en) 2004-05-06
KR100776949B1 (en) 2007-11-21
KR20050072813A (en) 2005-07-12
US7157660B2 (en) 2007-01-02
TW200418603A (en) 2004-10-01
US6747245B2 (en) 2004-06-08
TWI259118B (en) 2006-08-01
WO2004044955A3 (en) 2004-07-08
EP1562719A4 (en) 2008-09-10
WO2004044955A2 (en) 2004-05-27
EP1562719A2 (en) 2005-08-17

Similar Documents

Publication Publication Date Title
JP4001602B2 (en) Laser scanning apparatus and method for heat treatment
JP2006505953A (en) Laser scanning apparatus and heat treatment method
US7399945B2 (en) Method of thermal processing a substrate with direct and redirected reflected radiation
US20060091120A1 (en) Recycling optical systems and methods for thermal processing
JP4843225B2 (en) Laser thermal annealing of lightly doped silicon substrates.
US7494942B2 (en) Laser thermal annealing of lightly doped silicon substrates
JP5053636B2 (en) Laser heat treatment with laser diode radiation
KR101115174B1 (en) Dual wavelength thermal flux laser anneal
US8314360B2 (en) Apparatuses and methods for irradiating a substrate to avoid substrate edge damage
US20090095724A1 (en) Laser thermal processing with laser diode radiation
US9613815B2 (en) High-efficiency line-forming optical systems and methods for defect annealing and dopant activation
US7238915B2 (en) Methods and apparatus for irradiating a substrate to avoid substrate edge damage
KR101345070B1 (en) Processing substrates using direct and recycled radiation
JP6345737B2 (en) High performance line forming optical system and method using sawtooth spatial filter

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090610

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090825

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090901

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091007

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091015

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091110

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100630

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101028

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20101104

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20110107