JP2006128720A - Semiconductor device - Google Patents

Semiconductor device Download PDF

Info

Publication number
JP2006128720A
JP2006128720A JP2006013585A JP2006013585A JP2006128720A JP 2006128720 A JP2006128720 A JP 2006128720A JP 2006013585 A JP2006013585 A JP 2006013585A JP 2006013585 A JP2006013585 A JP 2006013585A JP 2006128720 A JP2006128720 A JP 2006128720A
Authority
JP
Japan
Prior art keywords
layer
film
wiring
insulating
insulating layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006013585A
Other languages
Japanese (ja)
Other versions
JP4443517B2 (en
Inventor
Jun Tanaka
順 田中
Yoshiharu Otani
美晴 大谷
Kiyoshi Ogata
潔 尾形
Yasumichi Suzuki
康道 鈴木
Katsuhiko Hotta
勝彦 堀田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Original Assignee
Renesas Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Technology Corp filed Critical Renesas Technology Corp
Priority to JP2006013585A priority Critical patent/JP4443517B2/en
Publication of JP2006128720A publication Critical patent/JP2006128720A/en
Application granted granted Critical
Publication of JP4443517B2 publication Critical patent/JP4443517B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/45144Gold (Au) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48245Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • H01L2224/48247Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/484Connecting portions
    • H01L2224/48463Connecting portions the connecting portion on the bonding area of the semiconductor or solid-state body being a ball bond
    • H01L2224/48464Connecting portions the connecting portion on the bonding area of the semiconductor or solid-state body being a ball bond the other connecting portion not on the bonding area also being a ball bond, i.e. ball-to-ball
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3025Electromagnetic shielding

Abstract

<P>PROBLEM TO BE SOLVED: To prevent the deterioration of mechanical strength in entire elements, and to reduce the delay of a signal propagating through wiring. <P>SOLUTION: First and third insulating layers for composing each wiring layer 100 contain a silicon carbonitride film, silicon carbide, and/or silicon oxide. A second insulating layer in a lower wiring layer contains the silicon oxide. A second insulating layer in an upper wiring layer contains fluorinated silicon oxide and/or carbonated silicon oxide. The specific dielectric constant of the second insulating layer in the lower wiring layer is set smaller than that of the second insulating layer in the upper wiring layer. <P>COPYRIGHT: (C)2006,JPO&NCIPI

Description

本発明は、低誘電率特性を有する絶縁膜を層間絶縁膜に用いることで、信号配線遅延を低減し、これにより素子性能の向上を図った半導体装置に関する。   The present invention relates to a semiconductor device in which signal wiring delay is reduced by using an insulating film having low dielectric constant characteristics as an interlayer insulating film, thereby improving element performance.

半導体素子の高集積化とチップサイズの縮小に伴い、配線の微細化、狭ピッチ化及び多層化が進められている。これに伴って、信号が配線を伝播するときの遅れ時間、即ち配線遅延が増加する傾向にあり、半導体素子を用いた電子機器を使用するに際して大きな問題となっている。   Along with the high integration of semiconductor elements and the reduction in chip size, the miniaturization of wiring, the narrowing of pitch, and the multilayering are being promoted. Along with this, there is a tendency that the delay time when the signal propagates through the wiring, that is, the wiring delay increases, which is a serious problem when using an electronic device using a semiconductor element.

一般に、配線を伝播する信号の速度は配線抵抗(R)と配線間容量(C)の積(RC)によって決まるため、配線抵抗を下げること、又は配線間容量を小さくすること、即ち層間絶縁膜の低誘電率化を行うことが配線遅延を低減するために必要である。   In general, since the speed of a signal propagating through a wiring is determined by the product (RC) of the wiring resistance (R) and the capacitance (C) between the wirings, the wiring resistance is lowered or the capacitance between the wirings is reduced, that is, an interlayer insulating film. It is necessary to reduce the dielectric constant in order to reduce the wiring delay.

配線抵抗を下げることに対して、高性能な半導体素子では、配線材料をアルミニウムから銅に替えることが進められており、特に銅配線を層間絶縁膜層に埋め込むダマシン構造のプロセス適用が盛んに行われている。   In order to lower the wiring resistance, in high-performance semiconductor elements, the wiring material is being changed from aluminum to copper. In particular, damascene process embedding copper wiring in an interlayer insulating film layer is actively used. It has been broken.

また、層間絶縁膜の低誘電率化に対しては、従来、半導体装置の層間絶縁膜にはCVD(化学蒸着:Chemical Vapor Deposition)法を用いて成膜されたシリコン酸化膜(SiO2:比誘電率4.0程度)やシリコン窒化膜(Si−N:比誘電率7.0程度)等の無機系材料が使用されていた。そして、従来のプロセスを踏襲できる低誘電率材料として、最近ではフッ素添加シリコン酸化膜(Si−O−F:比誘電率3.6程度)の採用が相次いでいる。 In order to reduce the dielectric constant of an interlayer insulating film, conventionally, a silicon oxide film (SiO 2 : ratio) formed on the interlayer insulating film of a semiconductor device by using a CVD (Chemical Vapor Deposition) method. An inorganic material such as a dielectric constant of about 4.0) or a silicon nitride film (Si-N: relative dielectric constant of about 7.0) has been used. In recent years, fluorine-added silicon oxide films (Si—O—F: relative dielectric constant of about 3.6) have been adopted one after another as a low dielectric constant material that can follow conventional processes.

しかしながら、フッ素添加シリコン酸化膜の誘電率は比較的高く、これを層間絶縁膜として使用した場合には層間容量を低減する効果が十分でないため、配線プロセス90nmノード世代以降の半導体装置ではさらに低い誘電率を有する材料が必要とされている。   However, the dielectric constant of the fluorine-added silicon oxide film is relatively high, and when this is used as an interlayer insulating film, the effect of reducing the interlayer capacitance is not sufficient. A material with a rate is needed.

比誘電率が3.5を下回る特性を有する層間絶縁膜材料として、種々の材料が提案されており、大きく区分すると、基板に塗布した後に加熱により膜を形成するいわゆるスピンオングラス材料や同様に成膜形成する有機系材料と、CVD法を用いて成膜形成する手法が検討も検討されている。   Various materials have been proposed as interlayer insulating film materials having a dielectric constant lower than 3.5, and can be roughly classified into so-called spin-on-glass materials that form films by heating after being applied to a substrate. Studies are also underway on organic materials for film formation and techniques for film formation using CVD.

スピンオングラス材料としては、シルセスキオキサン水素(Hydrogen Silsesquioxane)化合物又はシルセスキオキサンメチル(Methyl Silsesquioxane)化合物を含む材料が挙げられる。シルセスキオキサン水素化合物又はシルセスキオキサンメチル化合物を主成分として含む材料が好ましい。なお、本明細書において主成分とは、最も配合比(モル比)の高い成分をいう。   Examples of the spin-on-glass material include a material containing a hydrogen silsesquioxane compound or a silsesquioxane methyl compound. A material containing a silsesquioxane hydrogen compound or a silsesquioxane methyl compound as a main component is preferable. In addition, in this specification, a main component means a component with the highest compounding ratio (molar ratio).

シルセスキオキサン水素化合物を主成分とする塗布溶液は、一般式(HSiO3/2nで表される化合物をメチルイソブチルケトンなどの溶媒に溶解させたものである。この溶液を基板に塗布し、100〜250℃程度の温度で中間加熱したのち、窒素雰囲気中などの不活性雰囲気内にて350〜450℃の温度で加熱することにより、Si−O−Siの結合がラダー構造的に形成され、最終的にSiOを主成分とする絶縁膜が形成される。 The coating solution containing a silsesquioxane hydrogen compound as a main component is obtained by dissolving a compound represented by the general formula (HSiO 3/2 ) n in a solvent such as methyl isobutyl ketone. This solution is applied to a substrate, subjected to intermediate heating at a temperature of about 100 to 250 ° C., and then heated at a temperature of 350 to 450 ° C. in an inert atmosphere such as a nitrogen atmosphere. Bonds are formed in a ladder structure, and finally an insulating film mainly composed of SiO is formed.

シルセスキオキサンメチル化合物を主成分とする塗布溶液は、一般式(CH3SiO3/2nで表される化合物をメチルイソブチルケトンなどの溶媒に溶解させたものである。この溶液を基板に塗布し、100〜250℃程度の温度で中間加熱したのち、窒素雰囲気中などの不活性雰囲気内にて350〜450℃の温度で加熱することにより、Si−O−Siの結合がラダー構造的に形成され、最終的にSiOを主成分とする絶縁膜が形成される。 The coating solution containing a silsesquioxane methyl compound as a main component is obtained by dissolving a compound represented by the general formula (CH 3 SiO 3/2 ) n in a solvent such as methyl isobutyl ketone. This solution is applied to a substrate, subjected to intermediate heating at a temperature of about 100 to 250 ° C., and then heated at a temperature of 350 to 450 ° C. in an inert atmosphere such as a nitrogen atmosphere. Bonds are formed in a ladder structure, and finally an insulating film mainly composed of SiO is formed.

有機絶縁膜材料としては、炭化水素系樹脂であるポリイミド、ポリパラキシリレン、ポリアリーレンエーテル、ポリアリーレン、ベンズシクロブテン、ポリナフタレン等の高分子材料が知られている。これらの材料は、炭素原子を含有することで膜の密度を低減させ、また分子(モノマ)自身の分極率を小さくすることで低誘電率を達成している。   As the organic insulating film material, polymer materials such as polyimide, polyparaxylylene, polyarylene ether, polyarylene, benzcyclobutene and polynaphthalene, which are hydrocarbon resins, are known. These materials achieve a low dielectric constant by containing carbon atoms and reducing the density of the film, and by reducing the polarizability of the molecules (monomers) themselves.

上述のようなスピンオングラス膜、有機膜、CVD膜といった層間絶縁膜の比誘電率をさらに低減する手法として、膜中に微小空孔を形成して多孔質膜とすることが知られている。上記の材料やプロセスに関して、「International Technology Roadmap for Semiconductors」(1999年編)第163〜186頁、特開2000-340569号公報、特開2001-274239号公報に開示されている。   As a technique for further reducing the relative dielectric constant of an interlayer insulating film such as a spin-on-glass film, an organic film, or a CVD film as described above, it is known to form a porous film by forming microvoids in the film. The above materials and processes are disclosed in “International Technology Roadmap for Semiconductors” (1999), pages 163 to 186, JP 2000-340569 A, and JP 2001-274239 A.

しかしながら、上述した従来技術において、比誘電率が3.5を下回る特性を有する層間絶縁膜では、CVD成膜のシリコン酸化膜やシリコン窒化膜に比べて、絶縁膜の硬度や弾性率といった機械的強度が本質的に低いという問題点を抱えている。   However, in the above-described prior art, in the interlayer insulating film having a characteristic that the relative dielectric constant is less than 3.5, mechanical properties such as hardness and elastic modulus of the insulating film are compared with those of the silicon oxide film and silicon nitride film formed by CVD. It has the problem of low strength.

このような絶縁膜において、さらに比誘電率を低減するため膜中に微小空孔を形成して多孔質化することは、機械的な強度をさらに劣化させる方向にあって、現実的ではないとされていた。   In such an insulating film, in order to further reduce the dielectric constant, it is not practical to form a micropore in the film to make it porous, which is in the direction of further degrading mechanical strength. It had been.

絶縁膜の比誘電率を下げる手段として、ポリイミドなどの絶縁性有機ポリマを用いることがある。有機ポリマはその比誘電率が4未満であるので好都合であるが、無機膜に比べて物理的に機械的強度が低く、また吸湿性や透湿性が高いという欠点がある。また、層間絶縁膜として利用する場合、素子構造の機械的強度の低下及び吸湿水分による配線の腐食等、素子の信頼性に問題が生じる。   An insulating organic polymer such as polyimide may be used as a means for reducing the relative dielectric constant of the insulating film. An organic polymer is advantageous because its relative dielectric constant is less than 4, but it has the disadvantages that it has a physically low mechanical strength as compared with an inorganic film, and has a high hygroscopicity and moisture permeability. Also, when used as an interlayer insulating film, there are problems in device reliability, such as a decrease in mechanical strength of the device structure and corrosion of wiring due to moisture absorption.

「International Technology Roadmap for Semiconductors」(1999年編)第163〜186頁“International Technology Roadmap for Semiconductors” (1999) pp. 163-186 特開2000-340569号公報JP 2000-340569 特開2001-274239号公報JP 2001-274239 A

そこで、特に、配線抵抗を下げた銅配線を層間絶縁膜層に埋め込むダマシン構造を適用した多層配線半導体素子において、素子構造の機械的強度の低下を抑えながら、層間絶縁膜全体の誘電率を下げる方法を検討した。   Therefore, in particular, in a multilayer wiring semiconductor element using a damascene structure in which copper wiring with reduced wiring resistance is embedded in an interlayer insulating film layer, the dielectric constant of the entire interlayer insulating film is reduced while suppressing a decrease in mechanical strength of the element structure. The method was examined.

本願発明は上記した技術的背景のもとに、上記の如き誘電率の低い膜と誘電率の高い膜との積層構造にし、かつ、各々の材料の組合せや構造の最適化を図ることによって、絶縁膜自身の電気的な特性と機械的な特性との両立を実現する方法を提案するものである。   Based on the technical background described above, the present invention has a laminated structure of a film having a low dielectric constant and a film having a high dielectric constant as described above, and by optimizing the combination and structure of each material. The present invention proposes a method for realizing both electrical characteristics and mechanical characteristics of the insulating film itself.

特に、配線抵抗を下げた銅配線を層間絶縁膜層に埋め込むダマシン構造を適用した積層構造の半導体装置において、層間絶縁膜の機械的強度の低下を抑制しつつ、配線を伝播する信号の遅延を極力低減させた高信頼で高性能な特性を有する半導体装置を可能とした。   In particular, in a semiconductor device having a laminated structure in which a damascene structure in which copper wiring with reduced wiring resistance is embedded in an interlayer insulating film layer is applied, a delay of a signal propagating through the wiring is suppressed while suppressing a decrease in mechanical strength of the interlayer insulating film. A semiconductor device having highly reliable and high performance characteristics reduced as much as possible has been made possible.

本発明の半導体装置は、トランジスタ素子や半導体回路部が形成された基板上に、第1の絶縁層と、第2の絶縁層と、第3の絶縁層と、その3層を貫通するように形成された導体配線を備えた配線層を複数層積層して形成された半導体装置である。このとき、各配線層を構成する第1と第3の絶縁層が、シリコン炭化窒化膜、シリコン炭化物又はシリコン酸化物からなり、また、配線層のうち、下層部に位置する配線層の第2の絶縁層がシリコン酸化物を含み、上層部に位置する配線層の第2の絶縁層がフッ素添加シリコン酸化物又は炭素添加シリコン酸化物を含むようにした。   The semiconductor device of the present invention penetrates the first insulating layer, the second insulating layer, the third insulating layer, and the three layers on the substrate on which the transistor element and the semiconductor circuit portion are formed. It is a semiconductor device formed by laminating a plurality of wiring layers each including a formed conductor wiring. At this time, the first and third insulating layers constituting each wiring layer are made of a silicon carbonitride film, silicon carbide, or silicon oxide, and the second of the wiring layers located in the lower layer portion of the wiring layers. This insulating layer contains silicon oxide, and the second insulating layer of the wiring layer located in the upper layer part contains fluorine-added silicon oxide or carbon-added silicon oxide.

このとき、導体配線として銅配線を構成要素とした場合、第1の絶縁膜は銅配線を埋め込むために絶縁膜を開口する際のエッチングストッパー膜となる。また、第3の絶縁層は銅配線の拡散バリア膜となる。   At this time, when copper wiring is used as a constituent element as the conductor wiring, the first insulating film serves as an etching stopper film when opening the insulating film to embed the copper wiring. The third insulating layer serves as a diffusion barrier film for copper wiring.

従来、エッチングストッパー膜や拡散バリア膜はシリコン窒化膜が用いられており、本発明ではシリコン窒化膜より比誘電率の低いシリコン炭化窒化膜(Si−C−N:比誘電率4.6程度)、シリコン炭化物(Si−C:比誘電率4.4程度)又はシリコン酸化物からなる膜を用いるため、多層積層構造にした配線層全体においても、その比誘電率を低減できる。   Conventionally, a silicon nitride film is used for the etching stopper film and the diffusion barrier film, and in the present invention, a silicon carbonitride film having a relative dielectric constant lower than that of the silicon nitride film (Si—C—N: relative dielectric constant of about 4.6). Further, since a film made of silicon carbide (Si—C: relative dielectric constant of about 4.4) or silicon oxide is used, the relative dielectric constant can be reduced even in the entire wiring layer having a multilayer laminated structure.

配線層のうち、上層部に位置する配線層の第2の絶縁層がシリコン酸化膜より比誘電率の小さいフッ素添加シリコン酸化膜又は炭素添加シリコン酸化膜(比誘電率2.9程度)にすることによって、配線層を構成する全ての第2の絶縁層がシリコン酸化物とした場合に比べて、配線層全体の比誘電率を低減できる。   Of the wiring layers, the second insulating layer of the wiring layer located in the upper layer is made of a fluorine-added silicon oxide film or carbon-added silicon oxide film (relative dielectric constant of about 2.9) having a relative dielectric constant smaller than that of the silicon oxide film. Thus, the relative dielectric constant of the entire wiring layer can be reduced as compared with the case where all the second insulating layers constituting the wiring layer are made of silicon oxide.

また、本発明の半導体装置は下層部に位置する配線層の第2の絶縁層が比誘電率3.0未満の絶縁膜材からなり、上層部に位置する配線層の第2の絶縁層がフッ素添加シリコン酸化膜又は炭素添加シリコン酸化膜からなるようにした。即ち、第2の絶縁層の構成成分が上層部に位置する配線層と下層部に位置する配線層とで異なるようにし、前者に比較して後者の絶縁膜の比誘電率が小さくなるようにした。   In the semiconductor device of the present invention, the second insulating layer of the wiring layer located in the lower layer portion is made of an insulating film material having a relative dielectric constant of less than 3.0, and the second insulating layer of the wiring layer located in the upper layer portion is It was made of a fluorine-added silicon oxide film or a carbon-added silicon oxide film. That is, the constituent components of the second insulating layer are made different between the wiring layer located in the upper layer part and the wiring layer located in the lower layer part so that the relative dielectric constant of the latter insulating film becomes smaller than the former. did.

また、本発明の半導体装置は、下層部に位置する配線層の第2の絶縁層が比誘電率3.0未満の特性を有し、SiOを含有する絶縁膜であって、かつ絶縁膜中に存在する微小空孔の半数以上が0.05nm以上4nm以下の直径を有するようにした。本発明では、微小空孔の主要構成が直径0.05nm以上4nm以下であることが望ましい。本発明では、膜中に微小空孔を有することで、膜の密度を低減し、単膜としての比誘電率3.0未満と小さくしたSiOを含有する絶縁膜を用いることで、多層積層構造の配線層全体ではさらに比誘電率を低減できる。   Further, in the semiconductor device of the present invention, the second insulating layer of the wiring layer located in the lower layer portion has a characteristic having a relative dielectric constant of less than 3.0, is an insulating film containing SiO, and is in the insulating film More than half of the microvoids present in the sample have a diameter of 0.05 nm or more and 4 nm or less. In the present invention, it is desirable that the main structure of the minute holes has a diameter of 0.05 nm or more and 4 nm or less. In the present invention, a multilayer laminated structure is obtained by using a dielectric film containing SiO, which has a small void in the film, reduces the density of the film, and has a small relative dielectric constant of less than 3.0 as a single film. The relative dielectric constant can be further reduced in the entire wiring layer.

この時、絶縁膜中に微少な空孔を形成して密度を低下させ、真空の比誘電率に近づけるという方法を用いることによって、絶縁膜の比誘電率をシリコン酸化膜の比誘電率より低下させ、特に、この微小空孔の寸法や密度を制御することによって、任意の比誘電率を有する絶縁膜を形成することができる。   At this time, the dielectric constant of the insulating film is made lower than the relative dielectric constant of the silicon oxide film by using a method in which minute voids are formed in the insulating film to reduce the density and approach the dielectric constant of vacuum. In particular, an insulating film having an arbitrary dielectric constant can be formed by controlling the size and density of the minute holes.

しかしながら、微小空孔の径が大きくなると絶縁膜自身の構造体としての機械的強度が低下する、又は、絶縁膜を流れるリーク電流が大きくなって絶縁膜としての特徴である絶縁耐圧が低下する等の問題も新たに生じることとなり、絶縁膜中に含有させる空孔の大きさには、細心の注意が必要である。   However, when the diameter of the micropores increases, the mechanical strength of the insulating film itself as a structure decreases, or the leakage current flowing through the insulating film increases and the dielectric strength voltage characteristic of the insulating film decreases. This problem also arises, and it is necessary to pay close attention to the size of the holes contained in the insulating film.

そこで、本発明では、空孔径の範囲を制御することで、絶縁膜の機械的強度や絶縁耐圧の低下を抑制するようにした。このとき、微小空孔の半数以上が0.05nm以上4nm以下の径である場合に、絶縁膜の膜強度を低下させないで信頼性の高い半導体装置が可能となる。   Therefore, in the present invention, the range of the hole diameter is controlled to suppress the decrease in the mechanical strength and dielectric strength of the insulating film. At this time, when more than half of the microvoids have a diameter of 0.05 nm or more and 4 nm or less, a highly reliable semiconductor device can be obtained without reducing the film strength of the insulating film.

上記した微小空孔を有する絶縁膜は、シルセスキオキサン水素化合物又はシルセスキオキサンメチル化合物を主成分とする膜を加熱して得られるSiOを主成分とする絶縁膜で形成される。   The insulating film having microscopic voids is formed of an insulating film mainly containing SiO obtained by heating a film mainly containing a silsesquioxane hydrogen compound or a silsesquioxane methyl compound.

シルセスキオキサン水素化合物を主成分とする塗布溶液は、一般式(HSiO3/2nで表される化合物をメチルイソブチルケトンなどの溶媒に溶解させたものである。また、シルセスキオキサンメチル化合物を主成分とする塗布溶液は、一般式(CH3SiO3/2nで表される化合物をメチルイソブチルケトンなどの溶媒に溶解させたものである。 The coating solution containing a silsesquioxane hydrogen compound as a main component is obtained by dissolving a compound represented by the general formula (HSiO 3/2 ) n in a solvent such as methyl isobutyl ketone. The coating solution containing a silsesquioxane methyl compound as a main component is obtained by dissolving a compound represented by the general formula (CH 3 SiO 3/2 ) n in a solvent such as methyl isobutyl ketone.

これらの溶液を基板に塗布し、100〜250℃程度の温度で中間加熱したのち、窒素雰囲気中などの不活性雰囲気内にて350〜450℃の温度で加熱することにより、Si−O−Siの結合がラダー構造的に形成され、最終的にSiOを主成分とする絶縁膜が形成される。   These solutions are applied to a substrate, subjected to intermediate heating at a temperature of about 100 to 250 ° C., and then heated at a temperature of 350 to 450 ° C. in an inert atmosphere such as a nitrogen atmosphere, whereby Si—O—Si. Are formed in a ladder structure, and finally an insulating film containing SiO as a main component is formed.

シルセスキオキサン水素化合物又はシルセスキオキサンメチル化合物を主成分とする膜を加熱して得られるSiOを主成分とする絶縁膜において、絶縁膜中に存在する空孔の径を制御する手法として、例えば、シルセスキオキサン(Silsesquioxane)化合物溶液にメチルイソブチルケトンなどの溶媒以外の成分を含有させ、膜中で本成分が分解した跡が空孔として形成し、成膜温度により分解挙動を変化させることで、空孔形成を制御し、空孔径範囲を選択的な範囲に収めることを可能とする手法が挙げられる。   In an insulating film mainly composed of SiO obtained by heating a film mainly composed of silsesquioxane hydrogen compound or silsesquioxane methyl compound, as a method for controlling the diameter of vacancies existing in the insulating film For example, when a component other than a solvent such as methyl isobutyl ketone is contained in a silsesquioxane compound solution, a trace of the decomposition of this component is formed as a void in the film, and the decomposition behavior changes depending on the film formation temperature. Thus, there is a method of controlling the formation of holes and allowing the hole diameter range to fall within a selective range.

上記した絶縁膜形成用の溶液を塗布する方法としては、回転塗布やスリット塗布又は印刷方式が挙げられる。そして、絶縁膜はこの膜を加熱して形成されるため、高密度に微細な配線を形成した場合であってもCVD法による絶縁膜と比較して、段差の被覆性が良好であって、表面段差を解消できるという点で優位となる。   Examples of the method for applying the insulating film forming solution include spin coating, slit coating, and printing. And since the insulating film is formed by heating this film, even when fine wiring is formed at high density, the step coverage is better than the insulating film by the CVD method, It is advantageous in that the surface step can be eliminated.

また、Siウエハの大口径化に対して、CVD法を用いて絶縁膜を形成する場合には大型の成膜装置を必要とし、設備コストが素子コストに大きな影響をきたすことになる。これに対して、本発明では塗布・加熱方式で絶縁膜を形成するため、設備コストの大幅な低減が可能であって、製造ラインの投資コスト、さらには素子コストを抑えると言う大きな効果が期待できる。   In addition, in order to increase the diameter of the Si wafer, when an insulating film is formed using the CVD method, a large film forming apparatus is required, and the equipment cost greatly affects the element cost. On the other hand, in the present invention, since the insulating film is formed by the coating / heating method, the equipment cost can be greatly reduced, and the great effect of suppressing the investment cost of the production line and further the element cost is expected. it can.

CVD法で絶縁膜を形成する場合は、アルキルシラン化合物、アルコキシシラン化合物を主成分としてソースガスに利用して、ECR(Electron Cyclotron Resonance)プラズマCVD法などで、最終的にSiOを主成分とする絶縁膜を形成する。   When an insulating film is formed by a CVD method, an alkyl silane compound or an alkoxysilane compound is used as a main component for a source gas, and finally, an ECR (Electron Cyclotron Resonance) plasma CVD method or the like is used to finally contain SiO as a main component. An insulating film is formed.

この場合も、絶縁膜中に存在する空孔の径を制御する手法として、例えば、ソースガスとして熱分解温度の高い成分を含有させ、成膜時に350℃〜450℃の加熱により、膜中で本成分が分解した跡が空孔として形成される手法が挙げられる。   Also in this case, as a method for controlling the diameter of the vacancies existing in the insulating film, for example, a component having a high thermal decomposition temperature is contained as a source gas, and heating at 350 ° C. to 450 ° C. is performed in the film during film formation. There is a technique in which the trace of decomposition of this component is formed as a void.

このような手法では、熱分解温度の高い成分を種々選択することで、成膜温度により分解挙動が変化させることが可能で、これにより空孔形成を制御することで、空孔径範囲を選択的な範囲に収めることを可能とする。   In such a method, it is possible to change the decomposition behavior depending on the film forming temperature by selecting various components having a high thermal decomposition temperature. By controlling the formation of the holes, the hole diameter range can be selectively selected. It is possible to fit within the range.

また、本発明の半導体装置では、半導体装置周辺部からの吸湿、透湿を防ぐために素子装置周辺を囲うように導体配線を形成する材料から構成された隔壁層(本発明ではガードリングと称する)を素子装置周辺に設ける。これにより、本発明によれば素子周辺や基板と層間絶縁膜の界面から層間絶縁膜内を透過してくる水分を遮蔽し、素子自体の耐湿信頼性を向上させることができる。   Further, in the semiconductor device of the present invention, a partition layer (referred to as a guard ring in the present invention) composed of a material for forming a conductor wiring so as to surround the periphery of the element device in order to prevent moisture absorption and moisture permeation from the periphery of the semiconductor device. Is provided around the element device. Thus, according to the present invention, moisture that permeates through the interlayer insulating film from the periphery of the element or the interface between the substrate and the interlayer insulating film can be shielded, and the moisture resistance reliability of the element itself can be improved.

以上説明したように、配線抵抗を下げた銅配線を層間絶縁膜層に埋め込むダマシン構造を適用した多層積層配線を有する半導体素子において、エッチングストッパー膜や拡散バリア膜としてシリコン窒化膜より小さい比誘電率の膜を用い、そして多層積層構造の下層部と上層部における絶縁膜を異なるようにすることで、素子全体の機械的強度を高め、かつ、層間絶縁膜全体の誘電率を下げた高性能の半導体装置が得ることができる。   As described above, in a semiconductor element having a multi-layer laminated wiring using a damascene structure in which copper wiring with reduced wiring resistance is embedded in an interlayer insulating film layer, the relative dielectric constant is smaller than that of a silicon nitride film as an etching stopper film or a diffusion barrier film. By using different films and making the insulating film in the lower and upper layers of the multi-layered structure different, the mechanical strength of the entire device is increased and the dielectric constant of the entire interlayer insulating film is reduced. A semiconductor device can be obtained.

以下、本発明の実施の形態について図面を参照して説明する。   Hereinafter, embodiments of the present invention will be described with reference to the drawings.

(第1の実施例)
第1の実施例では、図1に示すように6層の配線層100を有するCu配線デュアルダマシン構造の6層配線半導体素子を作製した。
(First embodiment)
In the first example, a six-layer wiring semiconductor element having a Cu wiring dual damascene structure having six wiring layers 100 as shown in FIG. 1 was produced.

一般的に良く知られた方法を用いてMOSトランジスタ等の構成素子(図示省略)を形成した半導体基板101上に、第1配線層100aの第1絶縁層となるシリコン炭化窒化膜102を40nm厚でCVD法を用いて形成した。この第1絶縁層は、配線パターンを形成する開口時にエッチングストッパー膜となる。   On a semiconductor substrate 101 on which a component (not shown) such as a MOS transistor is formed using a generally well-known method, a silicon carbon nitride film 102 serving as a first insulating layer of the first wiring layer 100a is 40 nm thick. And using the CVD method. This first insulating layer becomes an etching stopper film at the opening for forming the wiring pattern.

次に、第1配線層100aの第2絶縁層となるシリコン酸化膜103を400nm厚でCVD法を用いて形成した。   Next, a silicon oxide film 103 serving as a second insulating layer of the first wiring layer 100a was formed by a CVD method with a thickness of 400 nm.

次に、第1配線層100aの第3絶縁層となるシリコン炭化窒化膜104を40nm厚でCVD法を用いて形成した。この膜は第2配線層100bの第1絶縁層として配線パターンを形成する開口時にエッチングストッパー膜やCu拡散バリア膜としての役目も果たす。   Next, a silicon carbon nitride film 104 serving as a third insulating layer of the first wiring layer 100a was formed by a CVD method with a thickness of 40 nm. This film also serves as an etching stopper film and a Cu diffusion barrier film at the time of opening for forming a wiring pattern as the first insulating layer of the second wiring layer 100b.

次に、シリコン炭化窒化膜104に開口117を形成した。開口は、フォトレジストを用いて、周知の技術でレジストパターンを形成し、シリコン炭化窒化膜を除去できるエッチングガスを用いて、レジストをマスクにしてドライエッチング方式を用いて形成した(図2(a))。このとき、開口は第1配線層100aの配線寸法となっている。   Next, an opening 117 was formed in the silicon carbonitride film 104. The openings are formed by using a photoresist and a dry etching method by forming a resist pattern by a known technique, using an etching gas capable of removing the silicon carbonitride film, using the resist as a mask (FIG. 2A). )). At this time, the opening is the wiring dimension of the first wiring layer 100a.

次に、第1配線層100a形成と同様の方法を用いて、第2配線層100bの第2絶縁層となるシリコン酸化膜105を400nm厚で、第3絶縁層となるシリコン炭化窒化膜106を40nm厚で形成した。   Next, using a method similar to the formation of the first wiring layer 100a, the silicon oxide film 105 serving as the second insulating layer of the second wiring layer 100b is 400 nm thick, and the silicon carbon nitride film 106 serving as the third insulating layer is formed. It was formed with a thickness of 40 nm.

次に、シリコン炭化窒化膜に開口118を形成した(図2(b))。開口は、フォトレジストを用いて、周知の技術でレジストパターンを形成し、シリコン炭化窒化膜を除去できるエッチングガスを用いて、レジストをマスクにしてドライエッチング方式を用いて形成した。   Next, an opening 118 was formed in the silicon carbonitride film (FIG. 2B). The openings were formed using a dry etching method using a photoresist, forming a resist pattern by a known technique, using an etching gas capable of removing the silicon carbonitride film, and using the resist as a mask.

次に、シリコン炭化窒化膜をマスクにしてシリコン酸化膜を除去できるCF系ガスを用いてドライエッチング方式で、シリコン酸化膜105に開口を形成し、その下部でシリコン炭化窒化膜104の開口117が露出する。   Next, an opening is formed in the silicon oxide film 105 by a dry etching method using a CF-based gas capable of removing the silicon oxide film using the silicon carbon nitride film as a mask, and the opening 117 of the silicon carbon nitride film 104 is formed thereunder. Exposed.

引き続き、シリコン炭化窒化膜104の開口117をマスクに、シリコン酸化膜103に開口を形成し、その下部でシリコン炭化窒化膜102を露出させた。   Subsequently, an opening was formed in the silicon oxide film 103 using the opening 117 of the silicon carbonitride film 104 as a mask, and the silicon carbonitride film 102 was exposed below the opening.

続いて、シリコン炭化窒化膜を除去できるエッチングガスに切替え、シリコン酸化膜103の開口をマスクに、シリコン炭化窒化膜102をドライエッチング除去し、半導体基板101に貫通する開口を形成した。このとき、シリコン炭化窒化膜104もエッチングされて、最上層のシリコン炭化窒化膜の開口118と同じ寸法に広がる。これにより、半導体基板101に貫通する配線溝119を形成した(図2(c))。   Subsequently, the etching gas was switched to remove the silicon carbon nitride film, and the silicon carbon nitride film 102 was removed by dry etching using the opening of the silicon oxide film 103 as a mask to form an opening penetrating the semiconductor substrate 101. At this time, the silicon carbon nitride film 104 is also etched and spreads to the same size as the opening 118 of the uppermost silicon carbon nitride film. As a result, a wiring groove 119 penetrating the semiconductor substrate 101 was formed (FIG. 2C).

次に、配線溝119内面にバリアメタル膜120を形成した後、良く知られたメッキ法を用いてCu121の充填を行った。バリアメタルは、本実施例ではTiNを用いた。   Next, after forming a barrier metal film 120 on the inner surface of the wiring trench 119, Cu 121 was filled using a well-known plating method. In this embodiment, TiN was used as the barrier metal.

そして、最上層であるシリコン炭化窒化膜上に存在する不要なCu膜を除去し、表面を洗浄することで、接続用プラグと配線を同時に形成した。Cu膜の除去には、砥粒としてアルミナ又はシリカを用い、Cu錯化剤、界面活性剤等の添加剤からなる研磨剤を用いた化学機械研磨法(Chemical Mechanical Polishing)を用いることが好都合である。   Then, an unnecessary Cu film present on the uppermost silicon carbon nitride film was removed, and the surface was washed to form a connection plug and a wiring at the same time. For removal of the Cu film, it is convenient to use a chemical mechanical polishing method using alumina or silica as abrasive grains and using an abrasive comprising an additive such as a Cu complexing agent or a surfactant. is there.

この研磨工程で、最上層に当たるシリコン炭化窒化膜106も研磨除去した。これにより、Cu配線(120と121を含む)を形成したデュアルダマシン構造を作製した(図2(d))。   In this polishing step, the silicon carbon nitride film 106 corresponding to the uppermost layer was also removed by polishing. Thus, a dual damascene structure in which Cu wiring (including 120 and 121) was formed was produced (FIG. 2D).

続いて、同様の工程を2度行って第3配線層100c〜第6配線層100fを形成し、6層のCu配線構造を得た。このとき、絶縁層106、108、110、112は、CVD法を用いて成膜したシリコン炭化窒化膜からなり、絶縁層107、109はシリコン酸化膜からなる。また、絶縁層111、113は、フッ素添加シリコン酸化膜からなる。   Subsequently, the same process was performed twice to form the third wiring layer 100c to the sixth wiring layer 100f, and a six-layer Cu wiring structure was obtained. At this time, the insulating layers 106, 108, 110, and 112 are made of a silicon carbonitride film formed by a CVD method, and the insulating layers 107 and 109 are made of a silicon oxide film. The insulating layers 111 and 113 are made of a fluorine-added silicon oxide film.

次に、最上層にシリコン窒化膜114を形成して、6層のCu配線115を備える多層配線半導体素子を作製した(図1)。   Next, a silicon nitride film 114 was formed as the uppermost layer, and a multilayer wiring semiconductor device having six layers of Cu wiring 115 was fabricated (FIG. 1).

これにより、エッチングストッパー膜や拡散バリア膜として、シリコン窒化膜より比誘電率の低いシリコン炭化窒化膜を用い、また、多層構造の上層部において、シリコン酸化膜より比誘電率の小さいフッ素添加シリコン酸化膜を用いることで、層間絶縁膜全体の誘電率を下げた高性能の半導体装置が得られた。   As a result, a silicon carbon nitride film having a relative dielectric constant lower than that of the silicon nitride film is used as an etching stopper film and a diffusion barrier film, and fluorine-doped silicon oxide having a relative dielectric constant lower than that of the silicon oxide film in the upper layer portion of the multilayer structure. By using the film, a high-performance semiconductor device having a reduced dielectric constant of the entire interlayer insulating film was obtained.

(第2の実施例)
第1の実施例と同様の手法を用いて、本実施例では絶縁層107、109についてもCVD法を用いてフッ素添加シリコン酸化膜を成膜した。次に、最上層にシリコン窒化膜114を形成し、6層のCu配線115を備える多層配線半導体素子を作製した。
(Second embodiment)
In the present embodiment, a fluorine-added silicon oxide film is formed on the insulating layers 107 and 109 using the CVD method in the same manner as in the first embodiment. Next, a silicon nitride film 114 was formed as the uppermost layer, and a multilayer wiring semiconductor element provided with six layers of Cu wiring 115 was fabricated.

これにより、エッチングストッパー膜や拡散バリア膜として、シリコン窒化膜より比誘電率の低いシリコン炭化窒化膜を用い、また、多層構造の1/3以上の上層部において、シリコン酸化膜より比誘電率の小さいフッ素添加シリコン酸化膜を用いることで、層間絶縁膜全体の誘電率を下げた高性能の半導体装置が得られた。   As a result, a silicon carbon nitride film having a relative dielectric constant lower than that of the silicon nitride film is used as an etching stopper film and a diffusion barrier film, and the relative dielectric constant of the upper layer portion of the multilayer structure is more than 1/3 of that of the silicon oxide film. By using a small fluorine-added silicon oxide film, a high-performance semiconductor device in which the dielectric constant of the entire interlayer insulating film was lowered was obtained.

(第3の実施例)
第1の実施例と同様の手法を用いて、本実施例では絶縁層102、104、106、108、110、112について、CVD法を用いてシリコン炭化膜を成膜した。次に、最上層にシリコン窒化膜114を形成し、6層のCu配線115を備える多層配線半導体素子を作製した。
(Third embodiment)
In the present example, a silicon carbide film was formed on the insulating layers 102, 104, 106, 108, 110, and 112 by using the CVD method, using the same method as in the first example. Next, a silicon nitride film 114 was formed as the uppermost layer, and a multilayer wiring semiconductor element provided with six layers of Cu wiring 115 was fabricated.

これにより、エッチングストッパー膜や拡散バリア膜として、シリコン窒化膜より比誘電率の低いシリコン炭化膜を用いることで、層間絶縁膜全体の誘電率を下げた高性能の半導体装置が得られた。   As a result, a silicon carbide film having a relative dielectric constant lower than that of the silicon nitride film is used as the etching stopper film and the diffusion barrier film, thereby obtaining a high-performance semiconductor device in which the dielectric constant of the entire interlayer insulating film is lowered.

(第4の実施例)
第2の実施例と同様の手法を用いて、本実施例では絶縁層102、104、106、108、110、112について、CVD法を用いてシリコン炭化膜を成膜した。次に、最上層にシリコン窒化膜114を形成し、6層のCu配線115を備える多層配線半導体素子を作製した。
(Fourth embodiment)
In the present example, a silicon carbide film was formed on the insulating layers 102, 104, 106, 108, 110, and 112 by using the CVD method, using the same method as in the second example. Next, a silicon nitride film 114 was formed as the uppermost layer, and a multilayer wiring semiconductor element provided with six layers of Cu wiring 115 was fabricated.

これにより、エッチングストッパー膜や拡散バリア膜として、シリコン窒化膜より比誘電率の低いシリコン炭化膜を用いることで、層間絶縁膜全体の誘電率を下げた高性能の半導体装置が得られた。   As a result, a silicon carbide film having a relative dielectric constant lower than that of the silicon nitride film is used as the etching stopper film and the diffusion barrier film, thereby obtaining a high-performance semiconductor device in which the dielectric constant of the entire interlayer insulating film is lowered.

(第5の実施例)
第1の実施例と同様の手法を用いて、本実施例では絶縁層111、113について、CVD法を用いて炭素添加シリコン酸化膜を成膜し、6層のCu配線115を備える多層配線半導体素子を作製した。
(Fifth embodiment)
Using the same technique as in the first embodiment, in this embodiment, a carbon-added silicon oxide film is formed on the insulating layers 111 and 113 using the CVD method, and a multilayer wiring semiconductor having six layers of Cu wiring 115 is used. An element was produced.

これにより、多層構造の上層部において、シリコン酸化膜より比誘電率の小さい炭素添加シリコン酸化膜を用いることで、層間絶縁膜全体の誘電率を下げた高性能の半導体装置が得られた。   As a result, a high-performance semiconductor device in which the dielectric constant of the entire interlayer insulating film was lowered was obtained by using a carbon-added silicon oxide film having a relative dielectric constant smaller than that of the silicon oxide film in the upper layer portion of the multilayer structure.

(第6の実施例)
第2の実施例と同様の手法を用いて、本実施例では絶縁層107、109、111、113について、CVD法を用いて炭素添加シリコン酸化膜を成膜し、6層のCu配線115を備える多層配線半導体素子を作製した。
(Sixth embodiment)
In the present embodiment, a carbon-added silicon oxide film is formed on the insulating layers 107, 109, 111, and 113 by using the CVD method, and a six-layer Cu wiring 115 is formed using the same method as in the second embodiment. A multilayer wiring semiconductor device provided was prepared.

また、多層構造の1/3以上の上層部において、シリコン酸化膜より比誘電率の小さい炭素添加シリコン酸化膜を用いることで、層間絶縁膜全体の誘電率を下げた高性能の半導体装置が得られた。   In addition, by using a carbon-added silicon oxide film having a relative dielectric constant smaller than that of the silicon oxide film in the upper layer portion of 1/3 or more of the multilayer structure, a high-performance semiconductor device in which the dielectric constant of the entire interlayer insulating film is lowered can be obtained. It was.

(第7の実施例)
第5の実施例と同様の手法を用いて、本実施例では絶縁層102、104、106、108、110、112について、CVD法を用いてシリコン炭化膜を成膜した。次に、最上層にシリコン窒化膜114を形成し、6層のCu配線115を備える多層配線半導体素子を作製した。
(Seventh embodiment)
In the present example, a silicon carbide film was formed on the insulating layers 102, 104, 106, 108, 110, and 112 by using the CVD method by using the same method as in the fifth example. Next, a silicon nitride film 114 was formed as the uppermost layer, and a multilayer wiring semiconductor element provided with six layers of Cu wiring 115 was fabricated.

これにより、エッチングストッパー膜や拡散バリア膜として、シリコン窒化膜より比誘電率の低いシリコン炭化膜を用いることで、層間絶縁膜全体の誘電率を下げた高性能の半導体装置が得られた。   As a result, a silicon carbide film having a relative dielectric constant lower than that of the silicon nitride film is used as the etching stopper film and the diffusion barrier film, thereby obtaining a high-performance semiconductor device in which the dielectric constant of the entire interlayer insulating film is lowered.

(第8の実施例)
第6の実施例と同様の手法を用いて、本実施例では絶縁層102、104、106、108、110、112について、CVD法を用いてシリコン炭化膜を成膜した。次に、最上層にシリコン窒化膜114を形成し、6層のCu配線115を備える多層配線半導体素子を作製した。
(Eighth embodiment)
In the present example, a silicon carbide film was formed on the insulating layers 102, 104, 106, 108, 110, and 112 using the CVD method by using the same method as in the sixth example. Next, a silicon nitride film 114 was formed as the uppermost layer, and a multilayer wiring semiconductor element provided with six layers of Cu wiring 115 was fabricated.

これにより、エッチングストッパー膜や拡散バリア膜として、シリコン窒化膜より比誘電率の低いシリコン炭化膜を用いることで、層間絶縁膜全体の誘電率を下げた高性能の半導体装置が得られた。   As a result, a silicon carbide film having a relative dielectric constant lower than that of the silicon nitride film is used as the etching stopper film and the diffusion barrier film, thereby obtaining a high-performance semiconductor device in which the dielectric constant of the entire interlayer insulating film is lowered.

(第9の実施例)
第1の実施例と同様の手法を用いて、本実施例では絶縁層103、105、107、109について、CVD法を用いて炭素添加シリコン酸化膜を成膜し、6層のCu配線115を備える多層配線半導体素子を作製した。
(Ninth embodiment)
In the present embodiment, a carbon-added silicon oxide film is formed on the insulating layers 103, 105, 107, and 109 using the CVD method, and a six-layer Cu wiring 115 is formed using the same method as in the first embodiment. A multilayer wiring semiconductor device provided was prepared.

これにより、多層構造の下層部において、比誘電率の低い炭素添加シリコン酸化膜を絶縁膜に用い、また、多層構造の上層部において、シリコン酸化膜より比誘電率の小さいフッ素添加シリコン酸化膜を用いることで、層間絶縁膜全体の誘電率を下げた高性能の半導体装置が得られた。   As a result, a carbon-added silicon oxide film having a low relative dielectric constant is used as an insulating film in the lower layer portion of the multilayer structure, and a fluorine-added silicon oxide film having a relative dielectric constant smaller than that of the silicon oxide film is used in the upper layer portion of the multilayer structure. By using it, a high-performance semiconductor device having a reduced dielectric constant of the entire interlayer insulating film was obtained.

(第10の実施例)
第2の実施例と同様の手法を用いて、本実施例では絶縁層103、105について、CVD法を用いて炭素添加シリコン酸化膜を成膜し、6層のCu配線115を備える多層配線半導体素子を作製した。
(Tenth embodiment)
In the present embodiment, a multi-layer wiring semiconductor including a six-layer Cu wiring 115 formed by depositing a carbon-added silicon oxide film using a CVD method for the insulating layers 103 and 105 using the same method as in the second embodiment. An element was produced.

これにより、多層構造の下層部において、比誘電率の低い炭素添加シリコン酸化膜を絶縁膜に用い、また、多層構造の1/3以上の上層部において、シリコン酸化膜より比誘電率の小さいフッ素添加シリコン酸化膜を用いることで、層間絶縁膜全体の誘電率を下げた高性能の半導体装置が得られた。   As a result, a carbon-added silicon oxide film having a low relative dielectric constant is used as an insulating film in the lower layer portion of the multilayer structure, and fluorine having a relative dielectric constant smaller than that of the silicon oxide film in an upper layer portion of 1/3 or more of the multilayer structure. By using the added silicon oxide film, a high-performance semiconductor device in which the dielectric constant of the entire interlayer insulating film was lowered was obtained.

(第11の実施例)
第3の実施例と同様の手法を用いて、本実施例では絶縁層103、105、107、109について、CVD法を用いて炭素添加シリコン酸化膜を成膜し、6層のCu配線115を備える多層配線半導体素子を作製した。
(Eleventh embodiment)
In the present embodiment, a carbon-added silicon oxide film is formed by CVD on the insulating layers 103, 105, 107, and 109 using the same method as in the third embodiment, and six layers of Cu wiring 115 are formed. A multilayer wiring semiconductor device provided was prepared.

これにより、多層構造の下層部において、比誘電率の低い炭素添加シリコン酸化膜を絶縁膜に用い、また、多層構造の上層部において、シリコン酸化膜より比誘電率の小さいフッ素添加シリコン酸化膜を用い、エッチングストッパー膜や拡散バリア膜として、シリコン窒化膜より比誘電率の低いシリコン炭化膜を用いることで、層間絶縁膜全体の誘電率を下げた高性能の半導体装置が得られた。   As a result, a carbon-added silicon oxide film having a low relative dielectric constant is used as an insulating film in the lower layer portion of the multilayer structure, and a fluorine-added silicon oxide film having a relative dielectric constant smaller than that of the silicon oxide film is used in the upper layer portion of the multilayer structure. Using a silicon carbide film having a relative dielectric constant lower than that of the silicon nitride film as the etching stopper film and diffusion barrier film, a high performance semiconductor device having a reduced dielectric constant of the entire interlayer insulating film was obtained.

(第12の実施例)
第4の実施例と同様の手法を用いて、本実施例では絶縁層103、105について、CVD法を用いて炭素添加シリコン酸化膜を成膜し、6層のCu配線115を備える多層配線半導体素子を作製した。
(Twelfth embodiment)
In the present embodiment, a multi-layered wiring semiconductor having a six-layer Cu wiring 115 formed by forming a carbon-added silicon oxide film using a CVD method for the insulating layers 103 and 105 using the same method as in the fourth embodiment. An element was produced.

これにより、多層構造の下層部において、比誘電率の低い炭素添加シリコン酸化膜を絶縁膜に用い、また、多層構造の1/3以上の上層部において、シリコン酸化膜より比誘電率の小さいフッ素添加シリコン酸化膜を用い、エッチングストッパー膜や拡散バリア膜として、シリコン窒化膜より比誘電率の低いシリコン炭化膜を用いることで、層間絶縁膜全体の誘電率を下げた高性能の半導体装置が得られた。   As a result, a carbon-added silicon oxide film having a low relative dielectric constant is used as an insulating film in the lower layer portion of the multilayer structure, and fluorine having a relative dielectric constant smaller than that of the silicon oxide film in an upper layer portion of 1/3 or more of the multilayer structure. By using a silicon carbide film having a lower relative dielectric constant than the silicon nitride film as an etching stopper film and diffusion barrier film using an added silicon oxide film, a high-performance semiconductor device with a reduced dielectric constant of the entire interlayer insulating film can be obtained. It was.

(第13の実施例)
第1の実施例と同様の手法を用いて、本実施例では、絶縁層103、105、107、109について、シルセスキオキサン水素化合物を主成分とするメチルイソブチルケトン溶液を、塗布方法を用いて基板の上に形成した後、窒素雰囲気中で、ホットプレートを用いて100℃で10分間、次いで150℃で10分間、230℃で10分間の加熱を行った。
(Thirteenth embodiment)
In this embodiment, using a method similar to that in the first embodiment, a methyl isobutyl ketone solution containing a silsesquioxane hydrogen compound as a main component is applied to the insulating layers 103, 105, 107, and 109 using a coating method. Then, the substrate was heated on a hot plate at 100 ° C. for 10 minutes, then at 150 ° C. for 10 minutes, and at 230 ° C. for 10 minutes in a nitrogen atmosphere.

そしてさらに、窒素雰囲気中炉体を用いて350℃で30分間加熱することによって、Si−O−Si結合をラダー構造的に形成し、最終的にはSiOを主成分として、空孔形成を制御した微小空孔を膜中に有する絶縁膜を形成し、6層のCu配線115を備える多層配線半導体素子を作製した。開口形成は、SiOをエッチングできるCF系ガスを用いて、ドライエッチング方式で行った。   Furthermore, by heating at 350 ° C. for 30 minutes using a furnace body in a nitrogen atmosphere, a Si—O—Si bond is formed in a ladder structure, and finally pore formation is controlled with SiO as the main component. An insulating film having the minute holes in the film was formed, and a multilayer wiring semiconductor element having six layers of Cu wirings 115 was produced. The opening was formed by a dry etching method using a CF-based gas that can etch SiO.

本実施例の場合は、図3に示すように、0.05nm以上4nm以下の直径を有する空孔を主として含む分布特性を有する微小空孔が存在する絶縁膜であり、比誘電率は2.3程度である。   In the case of the present embodiment, as shown in FIG. 3, the insulating film has microvoids having distribution characteristics mainly including vacancies having a diameter of 0.05 nm or more and 4 nm or less, and the relative dielectric constant is 2. About three.

径分布は、理学電機(株)製X線薄膜構造解析装置(型式:ATX−G)を用いて得られるX線反射測定データと散漫散乱X線測定データを基に、球状散乱体を想定した散乱関数に基づく理論散乱強度と比較して、散乱体の径分布を算出することで求めた。   The diameter distribution assumed a spherical scatterer based on X-ray reflection measurement data and diffuse scattering X-ray measurement data obtained by using an X-ray thin film structure analyzer (model: ATX-G) manufactured by Rigaku Corporation. Compared to the theoretical scattering intensity based on the scattering function, the diameter distribution of the scatterer was calculated.

また、上述の微小空孔を膜中に有する絶縁膜は、ヤング率12Gaの特性を有する。これら特性は、米国MTSシステムズ社製NanoindenterXPを使用したインデンテーション測定法を用い、Siウエハ上に形成した膜厚250nmの同膜について、総膜厚の1/5の表層点での硬度によって上述の膜硬度を求めた。   In addition, the insulating film having the above-described minute holes in the film has a characteristic of Young's modulus of 12Ga. These characteristics are determined by the hardness at the surface layer point of 1/5 of the total film thickness of the same film having a film thickness of 250 nm formed on a Si wafer using an indentation measurement method using Nanoindenter XP manufactured by MTS Systems, USA. The film hardness was determined.

また、ヤング率も総膜厚の1/5の表層点での値であり、溶融石英のポアソン比0.17を基に換算した。同様の手法で求めた同程度の膜厚のp−TEOS膜は、ヤング率70Gaの特性を有する。   The Young's modulus is also a value at the surface layer point that is 1/5 of the total film thickness, and is converted based on the Poisson's ratio of 0.17 of fused quartz. A p-TEOS film having a similar film thickness obtained by a similar method has a Young's modulus of 70 Ga.

これから、上述の微小空孔を膜中に有する絶縁膜は、p−TEOS膜の約17%のヤング率を有する膜で、特開2000−340569号公報に記載されている低誘電率膜に比べて、機械的特性に優れた低誘電率絶縁膜が得られた。   From this, the insulating film having the above-described microvoids in the film is a film having a Young's modulus of about 17% of the p-TEOS film, and is lower than the low dielectric constant film described in Japanese Patent Application Laid-Open No. 2000-340569. Thus, a low dielectric constant insulating film having excellent mechanical properties was obtained.

これにより、多層構造の下層部において、比誘電率が2.5未満で膜強度に優れた絶縁膜を用い、また、多層構造の上層部において、シリコン酸化膜より比誘電率の小さいフッ素添加シリコン酸化膜を用いることで、層間絶縁膜全体の誘電率を下げ、素子構造の機械的強度の低下を抑えながら高性能の半導体装置が得られた。   As a result, an insulating film having a relative dielectric constant of less than 2.5 and excellent film strength is used in the lower layer portion of the multilayer structure, and fluorine-added silicon having a lower relative dielectric constant than the silicon oxide film in the upper layer portion of the multilayer structure. By using the oxide film, a high-performance semiconductor device was obtained while lowering the dielectric constant of the entire interlayer insulating film and suppressing the decrease in mechanical strength of the element structure.

(第14の実施例)
第13の実施例と同様の手法を用いて、本実施例では絶縁層102、104、106、108、110、112について、CVD法を用いてシリコン炭化膜を成膜した。
(Fourteenth embodiment)
In the present example, a silicon carbide film was formed on the insulating layers 102, 104, 106, 108, 110, and 112 by using the CVD method by using the same method as in the thirteenth example.

次に、最上層にシリコン窒化膜114を形成し、6層のCu配線115を備える多層配線半導体素子を作製した。   Next, a silicon nitride film 114 was formed as the uppermost layer, and a multilayer wiring semiconductor element provided with six layers of Cu wiring 115 was fabricated.

これにより、エッチングストッパー膜や拡散バリア膜として、シリコン窒化膜より比誘電率の低いシリコン炭化膜を用い、また、微小空孔を膜中に有する絶縁膜を用いて、空孔径を規定することで機械的特性に優れた低誘電率絶縁膜が得られる。   As a result, a silicon carbide film having a relative dielectric constant lower than that of a silicon nitride film is used as an etching stopper film and a diffusion barrier film, and an insulating film having minute vacancies in the film is used to define the hole diameter. A low dielectric constant insulating film having excellent mechanical characteristics can be obtained.

そして、さらには多層積層構造の下層部において、第2の絶縁層として比誘電率が2.5未満で膜強度に優れた絶縁膜を用い、また、多層構造の上層部において、第2の絶縁層にシリコン酸化膜より比誘電率の小さいフッ素添加シリコン酸化膜を用いることで、層間絶縁膜全体の誘電率を下げ、素子構造の機械的強度の低下を抑えながら高性能の半導体装置が得られた。   Further, in the lower layer portion of the multilayer structure, an insulating film having a relative dielectric constant of less than 2.5 and excellent film strength is used as the second insulating layer, and the second insulating layer is used in the upper layer portion of the multilayer structure. By using a fluorine-doped silicon oxide film with a relative dielectric constant smaller than that of the silicon oxide layer, a high-performance semiconductor device can be obtained while lowering the dielectric constant of the entire interlayer insulating film and suppressing the mechanical strength of the element structure. It was.

(第15の実施例)
第13の実施例と同様の手法を用いて、本実施例では、絶縁層103、105、107、109について、シルセスキオキサン水素化合物を主成分とするメチルイソブチルケトン溶液を、塗布方法を用いて基板の上に形成した後、窒素雰囲気中で、ホットプレートを用いて100℃で10分間、次いで150℃で10分間、230℃で10分間の加熱を行った。
(15th Example)
In this example, using a method similar to that of the thirteenth example, a methyl isobutyl ketone solution mainly composed of a silsesquioxane hydrogen compound is applied to the insulating layers 103, 105, 107, and 109 using a coating method. Then, the substrate was heated on a hot plate at 100 ° C. for 10 minutes, then at 150 ° C. for 10 minutes, and at 230 ° C. for 10 minutes in a nitrogen atmosphere.

そしてさらに、窒素雰囲気中炉体を用いて350℃で30分間加熱することによって、Si−O−Si結合をラダー構造的に形成し、最終的にはSiOを主成分として、空孔形成を制御した微小空孔を膜中に有する絶縁膜を形成し、6層のCu配線115を備える多層配線半導体素子を作製した。開口形成は、SiOをエッチングするガスを用いて、ドライエッチング方式で行った。   Furthermore, by heating at 350 ° C. for 30 minutes using a furnace body in a nitrogen atmosphere, a Si—O—Si bond is formed in a ladder structure, and finally pore formation is controlled with SiO as the main component. An insulating film having the minute holes in the film was formed, and a multilayer wiring semiconductor element having six layers of Cu wirings 115 was produced. The opening was formed by a dry etching method using a gas for etching SiO.

本実施例の場合は、図4に示すように、0.05nm以上1nm以下の直径を有する空孔を主として含む分布特性を有する微小空孔が存在する絶縁膜であり、比誘電率は2.7程度である。   In the case of this example, as shown in FIG. 4, the insulating film has minute vacancies having distribution characteristics mainly including vacancies having a diameter of 0.05 nm to 1 nm, and the relative dielectric constant is 2. It is about 7.

径分布は、X線薄膜構造解析装置を用いて得られるX線反射測定データと散漫散乱X線測定データを基に、球状散乱体を想定した散乱関数に基づく理論散乱強度と比較して、散乱体の径分布を算出することで求めた。   The diameter distribution is based on the X-ray reflection measurement data and diffuse scattering X-ray measurement data obtained using the X-ray thin film structure analyzer, and compared with the theoretical scattering intensity based on the scattering function assuming a spherical scatterer. It was obtained by calculating the diameter distribution of the body.

また、上述の微小空孔を膜中に有する絶縁膜は、ヤング率11Gaの特性を有する。これら特性は、NanoindenterXPを使用したインデンテーション測定法を用い、Siウエハ上に形成した膜厚250nmの同膜について、総膜厚の1/5の表層点での硬度によって上述の膜硬度を求めた。   In addition, the insulating film having the above-described minute holes in the film has a characteristic of Young's modulus of 11 Ga. For these characteristics, the indentation measurement method using NanoindenterXP was used to determine the above-mentioned film hardness by the hardness at the surface layer point of 1/5 of the total film thickness for the same film with a film thickness of 250 nm formed on the Si wafer. .

また、ヤング率も総膜厚の1/5の表層点での値であり、溶融石英のポアソン比0.17を基に換算した。同様の手法で求めた同程度の膜厚のp−TEOS膜は、ヤング率70Gaの特性を有する。   The Young's modulus is also a value at the surface layer point that is 1/5 of the total film thickness, and is converted based on the Poisson's ratio of 0.17 of fused quartz. A p-TEOS film having a similar film thickness obtained by a similar method has a Young's modulus of 70 Ga.

これから、上述の微小空孔を膜中に有する絶縁膜は、p−TEOS膜の約16%のヤング率を有する膜で、特開2000−340569号公報に記載されている低誘電率膜に比べて、機械的特性に優れた低誘電率絶縁膜が得られた。   From this, the insulating film having the above-described microvoids in the film is a film having a Young's modulus of about 16% of the p-TEOS film, and is lower than the low dielectric constant film described in Japanese Patent Application Laid-Open No. 2000-340569. Thus, a low dielectric constant insulating film having excellent mechanical properties was obtained.

以上により、多層積層構造の下層部において、第2の絶縁層として比誘電率が3.0未満で膜強度に優れた絶縁膜を用い、また、多層積層構造の上層部において、第2の絶縁層にシリコン酸化膜より比誘電率の小さいフッ素添加シリコン酸化膜を用いることで、層間絶縁膜全体の誘電率を下げ、素子構造の機械的強度の低下を抑えながら高性能の半導体装置が得られた。   As described above, an insulating film having a relative dielectric constant of less than 3.0 and excellent film strength is used as the second insulating layer in the lower layer portion of the multilayer laminated structure, and the second insulating layer is used in the upper layer portion of the multilayer laminated structure. By using a fluorine-doped silicon oxide film with a relative dielectric constant smaller than that of the silicon oxide layer, a high-performance semiconductor device can be obtained while lowering the dielectric constant of the entire interlayer insulating film and suppressing the mechanical strength of the element structure. It was.

(第16の実施例)
第15の実施例と同様の手法を用いて、本実施例では絶縁層102、104、106、108、110、112について、CVD法を用いてシリコン炭化膜を成膜した。次に、最上層にシリコン窒化膜114を形成し、6層のCu配線115を備える多層配線半導体素子を作製した。
(Sixteenth embodiment)
In the present example, a silicon carbide film was formed on the insulating layers 102, 104, 106, 108, 110, and 112 by using the CVD method, using the same method as in the fifteenth example. Next, a silicon nitride film 114 was formed as the uppermost layer, and a multilayer wiring semiconductor element provided with six layers of Cu wiring 115 was fabricated.

これにより、エッチングストッパー膜や拡散バリア膜として、シリコン窒化膜より比誘電率の低いシリコン炭化膜を用い、また、微小空孔を膜中に有する絶縁膜を用いて、空孔径を規定することで機械的特性に優れた低誘電率絶縁膜が得られた。そして、多層積層構造の下層部において、第2の絶縁層として比誘電率が3.0未満で膜強度に優れた絶縁膜を用い、また、多層構造の上層部において、第2の絶縁層にシリコン酸化膜より比誘電率の小さいフッ素添加シリコン酸化膜を用いることで、層間絶縁膜全体の誘電率を下げ、素子構造の機械的強度の低下を抑えながら高性能の半導体装置が得られた。   As a result, a silicon carbide film having a relative dielectric constant lower than that of a silicon nitride film is used as an etching stopper film and a diffusion barrier film, and an insulating film having minute vacancies in the film is used to define the hole diameter. A low dielectric constant insulating film excellent in mechanical properties was obtained. In the lower layer portion of the multilayer structure, an insulating film having a relative dielectric constant of less than 3.0 and excellent film strength is used as the second insulating layer, and the second insulating layer is used as the second insulating layer in the upper layer portion of the multilayer structure. By using a fluorine-added silicon oxide film having a relative dielectric constant smaller than that of the silicon oxide film, a high performance semiconductor device was obtained while lowering the dielectric constant of the entire interlayer insulating film and suppressing the decrease in mechanical strength of the element structure.

(第17の実施例)
第17の実施例は、Cu配線デュアルダマシン構造の形成に適用した例であって、図5(a)〜(d)の工程図を用いて説明する。
(Seventeenth embodiment)
The seventeenth embodiment is an example applied to the formation of a Cu wiring dual damascene structure, and will be described with reference to the process diagrams of FIGS.

一般的に良く知られた方法を用いてMOSトランジスタ等の構成素子(図示省略)を形成した半導体基板501上に、第1配線層の第1絶縁層となるシリコン炭化窒化膜502を40nm厚でCVD法を用いて形成した。この第1絶縁層は、配線パターンを形成する開口時にエッチングストッパー膜となる。   A silicon carbon nitride film 502 serving as a first insulating layer of a first wiring layer is formed with a thickness of 40 nm on a semiconductor substrate 501 on which a component (not shown) such as a MOS transistor is formed using a generally well-known method. It formed using the CVD method. This first insulating layer becomes an etching stopper film at the opening for forming the wiring pattern.

次に、シルセスキオキサン水素化合物を主成分とするメチルイソブチルケトン溶液を、塗布方法を用いて基板の上に形成した後、窒素雰囲気中で、ホットプレートを用いて100℃で10分間、次いで150℃で10分間、230℃で10分間の加熱を行った。そしてさらに、窒素雰囲気中炉体を用いて350℃で30分間加熱することによって、Si−O−Si結合をラダー構造的に形成し、最終的にはSiOを主成分として、図3に示すように、0.05nm以上4nm以下の直径を有する空孔を主として含む分布特性を有する微小空孔が存在する比誘電率2.3程度の絶縁膜を形成し、第1配線層の第2絶縁層503とした。   Next, a methyl isobutyl ketone solution containing a silsesquioxane hydrogen compound as a main component is formed on a substrate using a coating method, and then in a nitrogen atmosphere using a hot plate at 100 ° C. for 10 minutes, Heating was performed at 150 ° C. for 10 minutes and at 230 ° C. for 10 minutes. Further, by heating at 350 ° C. for 30 minutes using a furnace body in a nitrogen atmosphere, a Si—O—Si bond is formed in a ladder structure, and finally SiO is the main component as shown in FIG. In addition, an insulating film having a relative dielectric constant of about 2.3 in which micro-holes having distribution characteristics mainly including holes having a diameter of 0.05 nm to 4 nm are present, and the second insulating layer of the first wiring layer is formed. 503.

次に、第1配線層の第3絶縁層となるシリコン炭化窒化膜504を40nm厚でCVD法を用いて形成した。この膜は、第2配線層の第1絶縁層として配線パターンを形成する開口時にエッチングストッパー膜やCu拡散バリア膜としての役目も果たす。   Next, a silicon carbon nitride film 504 serving as a third insulating layer of the first wiring layer was formed with a thickness of 40 nm using a CVD method. This film also serves as an etching stopper film and a Cu diffusion barrier film at the time of opening the wiring pattern as the first insulating layer of the second wiring layer.

次に、シリコン炭化窒化膜504に開口517を形成した。開口は、フォトレジストを用いて、周知の技術でレジストパターンを形成し、シリコン炭化窒化膜を除去できるエッチングガスを用いて、レジストをマスクにしてドライエッチング方式を用いて形成した(図5(a))。このとき、開口は第1配線層の配線寸法となっている。   Next, an opening 517 was formed in the silicon carbon nitride film 504. The openings are formed by using a photoresist and forming a resist pattern by a known technique, using an etching gas capable of removing the silicon carbonitride film, and using a dry etching method with the resist as a mask (FIG. 5A )). At this time, the opening is the wiring dimension of the first wiring layer.

次に、第1配線層の第2絶縁層503形成と同様の方法を用いて、第2配線層の第2絶縁層となる、図3に示すように0.05nm以上4nm以下の直径を有する空孔を主として含む分布特性を有する微小空孔が存在する比誘電率2.3の絶縁層505を400nm厚で、第3絶縁層となるシリコン炭化窒化膜506を40nm厚で形成した。   Next, using the same method as the formation of the second insulating layer 503 of the first wiring layer, it becomes a second insulating layer of the second wiring layer, and has a diameter of 0.05 nm to 4 nm as shown in FIG. An insulating layer 505 having a relative dielectric constant of 2.3 having minute pores having distribution characteristics mainly including vacancies was formed with a thickness of 400 nm, and a silicon carbon nitride film 506 serving as a third insulating layer was formed with a thickness of 40 nm.

次に、シリコン炭化窒化膜に開口518を形成した(図5(b))。開口は、フォトレジストを用いて、周知の技術でレジストパターンを形成し、シリコン炭化窒化膜を除去できるエッチングガスを用いて、レジストをマスクにしてドライエッチング方式を用いて形成した。   Next, an opening 518 was formed in the silicon carbonitride film (FIG. 5B). The openings were formed using a dry etching method using a photoresist, forming a resist pattern by a known technique, using an etching gas capable of removing the silicon carbonitride film, and using the resist as a mask.

次に、シリコン炭化窒化膜をマスクにして微小空孔を有するSiO膜を除去できるガスを用いてドライエッチング方式で、絶縁層505に開口を形成し、その下部でシリコン炭化窒化膜504の開口517が露出する。   Next, an opening is formed in the insulating layer 505 by a dry etching method using a gas capable of removing the SiO film having microvoids using the silicon carbonitride film as a mask, and the opening 517 of the silicon carbonitride film 504 is formed therebelow. Is exposed.

引き続き、シリコン炭化窒化膜504の開口517をマスクに、絶縁層503に開口を形成し、その下部でシリコン炭化窒化膜502を露出させた。続いて、シリコン炭化窒化膜を除去できるエッチングガスに切替え、絶縁層503の開口をマスクに、シリコン炭化窒化膜502をドライエッチング除去し、半導体基板501に貫通する開口を形成した。このとき、シリコン炭化窒化膜504もエッチングされて、最上層のシリコン炭化窒化膜の開口518と同じ寸法に広がる。これにより、半導体基板501に貫通する配線溝519を形成した(図5(c))。   Subsequently, an opening was formed in the insulating layer 503 using the opening 517 of the silicon carbon nitride film 504 as a mask, and the silicon carbon nitride film 502 was exposed below the opening. Subsequently, the etching gas was switched to remove the silicon carbon nitride film, and the silicon carbon nitride film 502 was removed by dry etching using the opening of the insulating layer 503 as a mask to form an opening penetrating the semiconductor substrate 501. At this time, the silicon carbon nitride film 504 is also etched and spreads to the same dimension as the opening 518 of the uppermost silicon carbon nitride film. As a result, a wiring groove 519 penetrating the semiconductor substrate 501 was formed (FIG. 5C).

次に、配線溝119内面にバリアメタル膜120を形成した後、良く知られたメッキ法を用いてCu121の充填を行った。バリアメタルは、本実施例ではTiNを用いた。   Next, after forming a barrier metal film 120 on the inner surface of the wiring trench 119, Cu 121 was filled using a well-known plating method. In this embodiment, TiN was used as the barrier metal.

そして、化学機械研磨法を用いて最上層であるシリコン炭化窒化膜上に存在する不要なCu膜を除去し、表面を洗浄することで、接続用プラグと配線を同時に形成した。この研磨工程で、最上層に当たるシリコン炭化窒化膜506は研磨除去せずに残した。これにより、Cu配線(520及び521を含む)を形成したデュアルダマシン構造を作製した(図5(d))。   Then, by using a chemical mechanical polishing method, an unnecessary Cu film existing on the silicon carbon nitride film as the uppermost layer was removed, and the surface was washed to simultaneously form a connection plug and a wiring. In this polishing step, the silicon carbon nitride film 506 corresponding to the uppermost layer is left without being polished and removed. This produced a dual damascene structure in which Cu wiring (including 520 and 521) was formed (FIG. 5D).

上記のように、層間絶縁膜層の主要な構成層である第2の絶縁層503に比誘電率の低い膜を用いることで、層間絶縁膜全体の誘電率を下げた高性能の半導体装置が得られる。   As described above, a high-performance semiconductor device in which the dielectric constant of the entire interlayer insulating film is reduced by using a film having a low relative dielectric constant for the second insulating layer 503 which is a main constituent layer of the interlayer insulating film layer. can get.

本実施例の構成では配線層を2層積層した構造であるが、配線層を2回以上繰り返して積み上げることで多層配線構造を有する半導体装置が得られる。   Although the structure of this embodiment has a structure in which two wiring layers are stacked, a semiconductor device having a multilayer wiring structure can be obtained by repeatedly stacking the wiring layers two or more times.

(第18の実施例)
第17の実施例と同様にして、本実施例では第2の絶縁層503について図4に示すように、0.05nm以上1nm以下の直径を有する空孔を主として含む分布特性を有する微小空孔が存在する比誘電率2.7程度のSiO絶縁膜形成し、Cu配線を形成したデュアルダマシン構造を作製した。
(Eighteenth embodiment)
Similar to the seventeenth embodiment, in this embodiment, as shown in FIG. 4, the second insulating layer 503 has microscopic holes having a distribution characteristic mainly including holes having a diameter of 0.05 nm to 1 nm. A dual damascene structure in which an SiO insulating film having a relative dielectric constant of about 2.7 in which Cu exists is formed and Cu wiring is formed is manufactured.

これにより、層間絶縁膜層の主要な構成層である503に関して、比誘電率の低い膜を用いることで、層間絶縁膜全体の誘電率を下げた高性能の半導体装置が得られる。さらにまた、配線層を2回以上繰り返して積み上げることで多層配線構造を有する高性能の半導体装置が容易に得られる。   Thus, a high-performance semiconductor device in which the dielectric constant of the entire interlayer insulating film is reduced can be obtained by using a film having a low relative dielectric constant for 503 which is a main constituent layer of the interlayer insulating film layer. Furthermore, a high-performance semiconductor device having a multilayer wiring structure can be easily obtained by repeatedly stacking wiring layers twice or more.

(第19の実施例)
図6は第19の実施例である半導体ロジック素子の断面図である。半導体基板601上に既知のSTI(Shallow Trench Isolation)を用いて素子分離膜領域602を形成し、この素子分離膜領域602内部にMOSトランジスタ603を形成する(トランジスタ部のハッチングは図を見易くするために省略した)。そして、既知のCVD法を用いて50nm程度のシリコン酸化膜604と500nm程度のBPSG(ボロン・リン・シリケイトガラス)膜605とを、MOSトランジスタ603を含み、半導体基板601の表面に順次形成した後、例えば800〜900℃の窒素雰囲気でリフローアニールする。
(Nineteenth embodiment)
FIG. 6 is a sectional view of a semiconductor logic device according to the nineteenth embodiment. An element isolation film region 602 is formed on the semiconductor substrate 601 by using known STI (Shallow Trench Isolation), and a MOS transistor 603 is formed in the element isolation film region 602 (the hatching of the transistor portion is easy to see the figure). Omitted). Then, a silicon oxide film 604 of about 50 nm and a BPSG (boron, phosphorus silicate glass) film 605 of about 500 nm are sequentially formed on the surface of the semiconductor substrate 601 using the known CVD method, including the MOS transistor 603. For example, reflow annealing is performed in a nitrogen atmosphere at 800 to 900 ° C.

次に、シリカ砥粒を用いた化学機械研磨法を用いてBPSG膜605の表面を平坦化研磨した後、コンタクトホールを形成し、このコンタクトホール内に、CVD法によりタングステンの埋め込みを行い、導電プラグ606を形成する。この時、BPSG膜605の表面上に存在する不要なタングステンは既知のエッチバック法により除去されている。   Next, after planarizing and polishing the surface of the BPSG film 605 using a chemical mechanical polishing method using silica abrasive grains, a contact hole is formed, and tungsten is buried in the contact hole by a CVD method to conduct the conductive process. A plug 606 is formed. At this time, unnecessary tungsten existing on the surface of the BPSG film 605 is removed by a known etch back method.

次に、第17の実施例と同様にして、第1配線層の第1絶縁層となるシリコン炭化窒化膜607を40nm厚でCVD法を用いて形成した。この第1絶縁層は、配線パターンを形成する開口時にエッチングストッパー膜となる。   Next, in the same manner as in the seventeenth embodiment, a silicon carbon nitride film 607 serving as a first insulating layer of the first wiring layer was formed to a thickness of 40 nm using a CVD method. This first insulating layer becomes an etching stopper film at the opening for forming the wiring pattern.

次に、シルセスキオキサン水素化合物を主成分とするメチルイソブチルケトン溶液を、塗布方法を用いて基板の上に形成した後、窒素雰囲気中で、ホットプレートを用いて100℃で10分間、次いで150℃で10分間、230℃で10分間の加熱を行った。そしてさらに、窒素雰囲気中炉体を用いて350℃で30分間加熱することによって、Si−O−Si結合をラダー構造的に形成し、最終的にはSiOを主成分として、図3に示すように、0.05nm以上4nm以下の直径を有する空孔を主として含む分布特性を有する微小空孔が存在する比誘電率2.3程度の絶縁膜を形成し、第1配線層の第2絶縁層608とした。   Next, a methyl isobutyl ketone solution containing a silsesquioxane hydrogen compound as a main component is formed on a substrate using a coating method, and then in a nitrogen atmosphere using a hot plate at 100 ° C. for 10 minutes, Heating was performed at 150 ° C. for 10 minutes and at 230 ° C. for 10 minutes. Further, by heating at 350 ° C. for 30 minutes using a furnace body in a nitrogen atmosphere, a Si—O—Si bond is formed in a ladder structure, and finally SiO is the main component as shown in FIG. In addition, an insulating film having a relative dielectric constant of about 2.3 in which micro-holes having distribution characteristics mainly including holes having a diameter of 0.05 nm to 4 nm are present, and the second insulating layer of the first wiring layer is formed. 608.

次に、第1配線層の第3絶縁層となるシリコン炭化窒化膜609を40nm厚でCVD法を用いて形成した。この膜は、第2配線層の第1絶縁層として配線パターンを形成する開口時にエッチングストッパー膜やCu拡散バリア膜としての役目も果たす。   Next, a silicon carbon nitride film 609 serving as a third insulating layer of the first wiring layer was formed with a thickness of 40 nm using a CVD method. This film also serves as an etching stopper film and a Cu diffusion barrier film at the time of opening the wiring pattern as the first insulating layer of the second wiring layer.

次に、シリコン炭化窒化膜609に開口を形成した。開口は、フォトレジストを用いて、周知の技術でレジストパターンを形成し、シリコン炭化窒化膜を除去できるエッチングガスを用いて、レジストをマスクにしてドライエッチング方式を用いて形成した。このとき、開口は第1配線層の配線寸法となっている。   Next, an opening was formed in the silicon carbonitride film 609. The openings were formed using a dry etching method using a photoresist, forming a resist pattern by a known technique, using an etching gas capable of removing the silicon carbonitride film, and using the resist as a mask. At this time, the opening is the wiring dimension of the first wiring layer.

次に、第1配線層の第2絶縁層608形成と同様の方法を用いて、第2配線層の第2絶縁層610を400nm厚で、第3絶縁層となるシリコン炭化窒化膜611を40nm厚で形成した。   Next, using a method similar to the formation of the second insulating layer 608 of the first wiring layer, the second insulating layer 610 of the second wiring layer is 400 nm thick, and the silicon carbon nitride film 611 to be the third insulating layer is 40 nm. Formed with thickness.

次に、シリコン炭化窒化膜に開口を形成した。そして、このシリコン炭化窒化膜をマスクにして微小空孔を有するSiO膜を除去できるガスを用いてドライエッチング方式で、絶縁層610に開口を形成し、その下部でシリコン炭化窒化膜609が露出する。   Next, an opening was formed in the silicon carbonitride film. Then, using this silicon carbonitride film as a mask, an opening is formed in the insulating layer 610 by a dry etching method using a gas capable of removing the SiO film having microvoids, and the silicon carbonitride film 609 is exposed below it. .

引き続き、シリコン炭化窒化膜609の開口をマスクに、絶縁層608に開口を形成し、その下部でシリコン炭化窒化膜607を露出させた。そして、シリコン炭化膜を除去できるエッチングガスに切替え、絶縁層608の開口をマスクに、シリコン炭化窒化膜607をドライエッチング除去し、導電プラグ606に貫通する開口を形成した。   Subsequently, an opening was formed in the insulating layer 608 using the opening of the silicon carbon nitride film 609 as a mask, and the silicon carbon nitride film 607 was exposed below the opening. Then, the etching gas was switched to remove the silicon carbide film, and the silicon carbon nitride film 607 was removed by dry etching using the opening of the insulating layer 608 as a mask to form an opening penetrating the conductive plug 606.

このとき、シリコン炭化窒化膜609もエッチングされて、最上層のシリコン炭化膜の開口と同じ寸法に広がる。これにより、導電プラグ606に貫通する配線溝を形成した。   At this time, the silicon carbon nitride film 609 is also etched and spreads to the same size as the opening of the uppermost silicon carbide film. Thereby, a wiring groove penetrating the conductive plug 606 was formed.

次に、配線溝内面にバリアメタル膜を形成した後、良く知られたメッキ法を用いてCuの充填を行った。バリアメタルは、本実施例ではTiNを用いた。そして、化学機械研磨法を用いて最上層であるシリコン炭化窒化膜上に存在する不要なCu膜を除去し、表面を洗浄することで、接続用プラグと配線を同時に形成した。この研磨工程で、最上層に当たるシリコン炭化膜611は研磨除去せずに残した。これにより、Cu配線を形成したデュアルダマシン構造を作製した。   Next, after forming a barrier metal film on the inner surface of the wiring groove, Cu was filled using a well-known plating method. In this embodiment, TiN was used as the barrier metal. Then, by using a chemical mechanical polishing method, an unnecessary Cu film existing on the silicon carbon nitride film as the uppermost layer was removed, and the surface was washed to simultaneously form a connection plug and a wiring. In this polishing step, the silicon carbide film 611 corresponding to the uppermost layer is left without being removed by polishing. As a result, a dual damascene structure in which a Cu wiring was formed was produced.

以上の工程を繰り返して4層配線構造体を形成した。   The above process was repeated to form a four-layer wiring structure.

続いて、同様の工程を繰り返してさらに2層の配線構造を積み上げた。このとき、絶縁層617、619,621はシリコン炭化窒化膜を用いて40nm厚で形成した。また、絶縁層618、620は、フッ素添加シリコン酸化膜を用いて600nm厚で形成した。次に、最上層にシリコン窒化膜622を形成し、6層のCu配線623を備える多層配線半導体素子を作製した。   Subsequently, the same process was repeated to further stack two layers of wiring structures. At this time, the insulating layers 617, 619 and 621 were formed with a thickness of 40 nm using a silicon carbonitride film. The insulating layers 618 and 620 were formed with a thickness of 600 nm using a fluorine-added silicon oxide film. Next, a silicon nitride film 622 was formed as the uppermost layer, and a multilayer wiring semiconductor element provided with six layers of Cu wiring 623 was produced.

これにより、エッチングストッパー膜や拡散バリア膜として、シリコン窒化膜より比誘電率の低いシリコン炭化膜を用い、多層積層構造の下層部において、第2の絶縁層として比誘電率が2.5未満で膜強度に優れた絶縁膜を用い、また、その上層部において、第2の絶縁層にシリコン酸化膜より比誘電率の小さいフッ素添加シリコン酸化膜を用いることで、層間絶縁膜全体の誘電率を下げた高性能の半導体装置が得られた。   As a result, a silicon carbide film having a relative dielectric constant lower than that of the silicon nitride film is used as the etching stopper film and the diffusion barrier film, and the relative dielectric constant is less than 2.5 as the second insulating layer in the lower layer portion of the multilayer stacked structure. By using an insulating film with excellent film strength and using a fluorine-added silicon oxide film having a relative dielectric constant smaller than that of the silicon oxide film as the second insulating layer in the upper layer portion, the dielectric constant of the entire interlayer insulating film can be reduced. A lowered high-performance semiconductor device was obtained.

(第20の実施例)
図7に、第20の実施例である樹脂封止された半導体ロジック装置の断面図を示す。第19の実施例で得られ、ボンディングパッド部を除いてポリイミド表面保護膜702を形成した状態の半導体ロジック装置701に、別途設けられているダイボンディング工程におけるリードフレームに固定する。その後、半導体ロジック装置701に設けられたボンディングパッド部とリードフレームの外部端子705の間を、ワイヤーボンダーを用いて金線704を配線した。
(20th embodiment)
FIG. 7 is a cross-sectional view of a resin-sealed semiconductor logic device according to the twentieth embodiment. The semiconductor logic device 701 obtained in the nineteenth embodiment and having the polyimide surface protective film 702 formed except for the bonding pad portion is fixed to a lead frame in a die bonding step provided separately. Thereafter, a gold wire 704 was wired between the bonding pad portion provided in the semiconductor logic device 701 and the external terminal 705 of the lead frame using a wire bonder.

次に、日立化成工業(株)製のシリカ含有ビフェニル系エポキシ樹脂を用いて、半導体ロジック装置701、外部端子705等を包み込むように樹脂封止部703を形成した。封止条件は、成型温度180℃、成型圧力70kg/cm2であるが、これに限定されるものではない。最後に、外部端子706を所定の形に折り曲げることにより、樹脂封止型半導体ロジック装置の完成品が得られる。 Next, using a silica-containing biphenyl epoxy resin manufactured by Hitachi Chemical Co., Ltd., a resin sealing portion 703 was formed so as to wrap the semiconductor logic device 701, the external terminal 705, and the like. The sealing conditions are a molding temperature of 180 ° C. and a molding pressure of 70 kg / cm 2 , but are not limited thereto. Finally, by bending the external terminal 706 into a predetermined shape, a finished product of the resin-encapsulated semiconductor logic device is obtained.

樹脂封止された半導体ロジック装置の層間絶縁膜の一部には、比誘電率の小さいが機械的強度の低下を十分に抑制した絶縁膜が使用されているので、ワイヤボンディングプロセスや樹脂封止プロセスにおいて、半導体ロジック素子にかかる応力に対して、素子内部にクラックが発生することなく、樹脂封止品が得られる。   Part of the interlayer insulation film of resin-sealed semiconductor logic devices uses an insulation film that has a small relative dielectric constant but sufficiently suppresses a decrease in mechanical strength. In the process, a resin-encapsulated product can be obtained without generating cracks in the element due to stress applied to the semiconductor logic element.

また、半導体ロジック素子の特性として第19の実施例で説明した同様の効果を奏することは言うまでもなく、さらに樹脂封止されているので外部環境に対して安定な特性を発揮することが可能である。   Further, it goes without saying that the same effects as those described in the nineteenth embodiment can be obtained as the characteristics of the semiconductor logic element, and since it is further sealed with resin, it is possible to exhibit stable characteristics against the external environment. .

(第21の実施例)
図8は、第21の実施例を説明するための断面図であって、第19の実施例で説明した半導体ロジック素子をウエハレベルチップサイズパッケージ構造の製品の製造に用いた場合である。
(Twenty-first embodiment)
FIG. 8 is a cross-sectional view for explaining the twenty-first embodiment, in which the semiconductor logic device described in the nineteenth embodiment is used for manufacturing a product having a wafer level chip size package structure.

半導体ロジック素子801の最上層シリコン窒化膜802上にボンディングパッド部803を露出させる形状でポリイミド絶縁膜804を形成する。次に、再配列配線805を形成する。本実施例では、再配列配線は、TiN、Cu、Niをスパッタ法で成膜した3層からなり、成膜後に周知のフォトリソ技術で配線パターンを形成したものである。   A polyimide insulating film 804 is formed on the uppermost silicon nitride film 802 of the semiconductor logic element 801 so as to expose the bonding pad portion 803. Next, the rearrangement wiring 805 is formed. In this embodiment, the rearranged wiring consists of three layers formed by sputtering TiN, Cu, and Ni, and a wiring pattern is formed by a well-known photolithography technique after the film formation.

さらにその上にポリイミド絶縁膜806を形成した。このポリイミド絶縁膜層806を貫いて再配列配線805の一部の領域で電気的な接続を行うためのアンダーバンプメタル層807を設けた。アンダーバンプメタル層はCr、Ni、Auの3層形成した。このアンダーバンプメタル層807の上にハンダバンプ808が形成されている。   Further thereon, a polyimide insulating film 806 was formed. An under bump metal layer 807 is provided through the polyimide insulating film layer 806 for electrical connection in a partial region of the rearrangement wiring 805. Three under bump metal layers were formed of Cr, Ni, and Au. Solder bumps 808 are formed on the under bump metal layer 807.

高速駆動の可能な半導体ロジック素子そのものは第19の実施例で述べた方法によってウエハ上に形成することができるため、本実施例によってウエハの状態でハンダバンプを有する半導体ロジックパッケージ装置が実現する。   Since the semiconductor logic device itself that can be driven at high speed can be formed on the wafer by the method described in the nineteenth embodiment, the semiconductor logic package device having solder bumps in the wafer state is realized by this embodiment.

誘電率の低い層間絶縁膜層を適用することで、従来製品に比べて高性能の半導体ロジック素子はすでに得られている。しかしながら、パッケージ半導体製品をプリント基板などに実装搭載する場合に、本実施例のようなパッケージ構造を適用することで、素子とプリント基板間の信号伝播を高速に行えることが可能となり、半導体ロジック素子の性能をさらに引き出せることが可能となる。   By applying an interlayer insulating film layer having a low dielectric constant, a high-performance semiconductor logic device has already been obtained as compared with conventional products. However, when a package semiconductor product is mounted on a printed circuit board or the like, it is possible to perform signal propagation between the element and the printed circuit board at a high speed by applying the package structure as in this embodiment. It is possible to further draw out the performance of.

(第22の実施例)
図9に、第22の実施例を説明するための素子端部の断面図(図9(a))及びウエハ平面概念図(図9(b))を示す。
(Twenty-second embodiment)
FIG. 9 shows a cross-sectional view (FIG. 9A) and a wafer plan conceptual view (FIG. 9B) of the element end portion for explaining the twenty-second embodiment.

シリコン基板901にはMOSトランジスタ等の半導体素子906やこれらの素子を含む半導体回路部が形成されており、この基板901の上に上記で説明した配線層が形成されている。そして、この半導体素子906やこれらの素子を含む半導体回路部を囲むように、配線層を構成する導体からなる材料を用いてガードリング層905が配置されている。このガードリング層905によって、半導体素子906やこれらの素子を含む半導体回路部を外部からの水分の浸入を防ぐことができる。このガードリング層905は、導体配線を形成する工程において形成される。   On the silicon substrate 901, a semiconductor element 906 such as a MOS transistor and a semiconductor circuit portion including these elements are formed, and the wiring layer described above is formed on the substrate 901. And the guard ring layer 905 is arrange | positioned using the material which consists of a conductor which comprises a wiring layer so that this semiconductor element 906 and the semiconductor circuit part containing these elements may be enclosed. The guard ring layer 905 can prevent moisture from entering the semiconductor element 906 and the semiconductor circuit portion including these elements from the outside. The guard ring layer 905 is formed in the process of forming the conductor wiring.

これにより、特に低誘電率特性を示す層間絶縁膜として空孔を有する絶縁膜を適用した場合、孔内部への水分の透過や吸着の問題点を解決し、半導体素子自体の耐湿信頼性を向上させた半導体装置を提供できる。   As a result, in particular, when an insulating film having pores is applied as an interlayer insulating film exhibiting low dielectric constant characteristics, the problem of moisture permeation and adsorption into the hole is solved, and the moisture resistance reliability of the semiconductor element itself is improved. A semiconductor device can be provided.

以上、実施例を用いて詳細に説明したが、本発明並びに実施例を達成するための諸条件等はこれらの実施例に何ら限定されるものではない。   As mentioned above, although it demonstrated in detail using the Example, various conditions for achieving this invention and an Example are not limited to these Examples at all.

第1の実施例で作製した積層構造の半導体装置の断面図である。It is sectional drawing of the semiconductor device of the laminated structure produced in the 1st Example. 第1の実施例で作製した半導体装置を説明するための工程図である。It is process drawing for demonstrating the semiconductor device produced in the 1st Example. 絶縁膜中に存在する空孔の径分布を説明するための図である。It is a figure for demonstrating the diameter distribution of the hole which exists in an insulating film. 絶縁膜中に存在する空孔の径分布を説明するための図である。It is a figure for demonstrating the diameter distribution of the hole which exists in an insulating film. 第17の実施例で作製した積層構造の半導体装置を説明するための工程図である。It is process drawing for demonstrating the semiconductor device of the laminated structure produced in the 17th Example. 第19の実施例で作製した半導体ロジック装置を説明するための断面図である。It is sectional drawing for demonstrating the semiconductor logic device produced in the 19th Example. 第20の実施例で作製した樹脂封止型半導体装置を説明するための断面図である。It is sectional drawing for demonstrating the resin sealing type | mold semiconductor device produced in the 20th Example. 第21の実施例で作製したウエハレベルチップサイズパッケージ構造半導体装置を説明するための断面図である。It is sectional drawing for demonstrating the wafer level chip size package structure semiconductor device produced in the 21st Example. 第22の実施例で作製したガードリング構造を有する半導体装置を説明するための断面図及び平面図である。It is sectional drawing and a top view for demonstrating the semiconductor device which has the guard ring structure produced in the 22nd Example.

符号の説明Explanation of symbols

101…半導体基板、102…1層配線層の第1絶縁膜、103…1層配線層の第2絶縁膜、104…1層配線層の第3絶縁膜/2層配線層の第1絶縁膜、105…2層配線層の第2絶縁膜、106…2層配線層の第3絶縁膜/3層配線層の第1絶縁膜、107…3層配線層の第2絶縁膜、108…3層配線層の第3絶縁膜/4層配線層の第1絶縁膜、109…4層配線層の第2絶縁膜、110…4層配線層の第3絶縁膜/5層配線層の第1絶縁膜、111…5層配線層の第2絶縁膜、112…5層配線層の第3絶縁膜/6層配線層の第1絶縁膜、113…6層配線層の第2絶縁膜、114…最表面パッシベーション膜(シリコン窒化膜)、115…導体配線層、117…開口、118…開口、119…配線溝、120…バリアメタル膜、121…導体層、501…半導体基板、502…1層配線層の第1絶縁膜、503…1層配線層の第2絶縁膜、504…1層配線層の第3絶縁膜/2層配線層の第1絶縁膜、505…2層配線層の第2絶縁膜、506…2層配線層の第3絶縁膜、517…開口、518…開口、519…配線溝、520…バリアメタル膜、521…導体層、601…半導体基板、602…素子分離膜領域、603…MOSトランジスタ、604…シリコン酸化膜、605…BPSG(ボロン・リン・シリケイトガラス)膜、606…導電プラグ、607…1層配線層の第1絶縁膜、608…1層配線層の第2絶縁膜、609…1層配線層の第3絶縁膜/2層配線層の第1絶縁膜、610…2層配線層の第2絶縁膜、611…2層配線層の第3絶縁膜、612…3層配線層の第1絶縁膜、613…3層配線層の第2絶縁膜、614…3層配線層の第3絶縁膜/4層配線層の第1絶縁膜、615…4層配線層の第2絶縁膜、616…4層配線層の第3絶縁膜、617…5層配線層の第1絶縁膜、618…5層配線層の第2絶縁膜、619…5層配線層の第3絶縁膜/6層配線層の第1絶縁膜、620…6層配線層の第2絶縁膜、621…6層配線層の第3絶縁膜、622…最表面パッシベーション膜(シリコン窒化膜)、623…導体配線層、701…半導体ロジック装置、702…チップコート膜、703…樹脂封止部(エポキシ樹脂)、704…金線、705…リードフレーム、706…外部端子、801…半導体基板、802…SiNパッシベーション膜、803…ボンディングパッド、804…絶縁膜層、805…再配列配線、806…絶縁膜層、807…アンダーバンプメタル層、808…はんだ、901…半導体基板、902…パッシベーション膜(シリコン窒化膜)、903…スクライブライン、904…ガードリング層、905…素子装置周辺ガードリング層、906…半導体素子。
DESCRIPTION OF SYMBOLS 101 ... Semiconductor substrate, 102 ... 1st insulating film of 1 layer wiring layer, 103 ... 2nd insulating film of 1 layer wiring layer, 104 ... 3rd insulating film of 1 layer wiring layer / 1st insulating film of 2 layer wiring layer , 105... Second insulating film of the two-layer wiring layer, 106... Third insulating film of the two-layer wiring layer / First insulating film of the three-layer wiring layer, 107. 3rd insulating film of layer wiring layer / 1st insulating film of 4th layer wiring layer, 109... 2nd insulating film of 4 layer wiring layer, 110... 3rd insulating film of 4 layer wiring layer / 5. Insulating film, 111... Second insulating film of five-layer wiring layer, 112... Third insulating film of five-layer wiring layer / 6 first insulating film of six-layer wiring layer, 113. ... outermost surface passivation film (silicon nitride film), 115 ... conductor wiring layer, 117 ... opening, 118 ... opening, 119 ... wiring groove, 120 ... barrier metal film, 1 DESCRIPTION OF SYMBOLS 1 ... Conductive layer, 501 ... Semiconductor substrate, 502 ... 1st insulating film of 1 layer wiring layer, 503 ... 2nd insulating film of 1 layer wiring layer, 504 ... 3rd insulating film of 1 layer wiring layer / 2 layer wiring layer First insulating film, 505... Second insulating film of two-layer wiring layer, 506... Third insulating film of two-layer wiring layer, 517... Open, 518. ... Conductor layer, 601 ... Semiconductor substrate, 602 ... Element isolation film region, 603 ... MOS transistor, 604 ... Silicon oxide film, 605 ... BPSG (boron, phosphorus, silicate glass) film, 606 ... Conductive plug, 607 ... Single layer wiring First insulating film of layer, 608... Second insulating film of one layer wiring layer, 609... Third insulating film of one layer wiring layer / first insulating film of two layer wiring layer, 610. Insulating film, 611... Third insulating film of two-layer wiring layer, 612... 3 1st insulating film of wiring layer, 613... 2nd insulating film of 3rd wiring layer, 614... 3rd insulating film of 3rd wiring layer / 1st insulating film of 4th wiring layer, 615. 2 insulating film, 616... Third insulating film of 4 layer wiring layer, 617... First insulating film of 5 layer wiring layer, 618... Second insulating film of 5 layer wiring layer, 619. 1st insulating film of film / 6 layer wiring layer, 620... 2nd insulating film of 6 layer wiring layer, 621... 3rd insulating film of 6 layer wiring layer, 622... Outermost surface passivation film (silicon nitride film), 623. Conductor wiring layer, 701 ... semiconductor logic device, 702 ... chip coat film, 703 ... resin sealing part (epoxy resin), 704 ... gold wire, 705 ... lead frame, 706 ... external terminal, 801 ... semiconductor substrate, 802 ... SiN Passivation film, 803 ... bonding pad, 804 ... insulation Film layer, 805 ... rearranged wiring, 806 ... insulating film layer, 807 ... under bump metal layer, 808 ... solder, 901 ... semiconductor substrate, 902 ... passivation film (silicon nitride film), 903 ... scribe line, 904 ... guard ring Layer, 905... Device device peripheral guard ring layer, 906... Semiconductor device.

Claims (12)

基板上に複数の配線層が積層された半導体装置であって、
前記配線層は、
第1の絶縁層、第2の絶縁層及び第3の絶縁層と、
前記第1〜第3の絶縁層を貫通して形成された導体配線とをそれぞれ備え、
前記第1の絶縁層及び前記第3の絶縁層は、
シリコン炭化窒化膜、シリコン炭化物及びシリコン酸化物のうちの少なくともいずれかを含み、
前記配線層のうち下層部に位置する配線層の第2の絶縁層はシリコン酸化物を含み、
前記配線層のうち上層部に位置する配線層の第2の絶縁層はフッ素添加シリコン酸化物及び炭素添加シリコン酸化物の少なくともいずれかを含むこと特徴とする半導体装置。
A semiconductor device in which a plurality of wiring layers are stacked on a substrate,
The wiring layer is
A first insulating layer, a second insulating layer, and a third insulating layer;
Each including a conductor wiring formed through the first to third insulating layers,
The first insulating layer and the third insulating layer are:
Including at least one of silicon carbonitride film, silicon carbide and silicon oxide,
The second insulating layer of the wiring layer located in the lower layer portion of the wiring layer includes silicon oxide,
The second insulating layer of the wiring layer located in the upper layer portion of the wiring layer includes at least one of fluorine-added silicon oxide and carbon-added silicon oxide.
基板上に複数の配線層が積層された半導体装置であって、
前記配線層は、
第1の絶縁層、第2の絶縁層及び第3の絶縁層と、
前記第1〜第3の絶縁層を貫通して形成された導体配線とをそれぞれ備え、
前記第1の絶縁層及び前記第3の絶縁層は、
シリコン炭化窒化膜、シリコン炭化物及びシリコン酸化物の少なくともいずれかを含み、
前記配線層のうち下層部に位置する配線層の第2の絶縁層の比誘電率が、前記配線層のうち上層部に位置する配線層の第2の絶縁層の比誘電率よりも小さいことを特徴とする半導体装置。
A semiconductor device in which a plurality of wiring layers are stacked on a substrate,
The wiring layer is
A first insulating layer, a second insulating layer, and a third insulating layer;
Each including a conductor wiring formed through the first to third insulating layers,
The first insulating layer and the third insulating layer are:
Including at least one of silicon carbonitride film, silicon carbide and silicon oxide,
The relative dielectric constant of the second insulating layer of the wiring layer located in the lower layer portion of the wiring layer is smaller than the relative dielectric constant of the second insulating layer of the wiring layer located in the upper layer portion of the wiring layer. A semiconductor device characterized by the above.
前記配線層のうち前記下層部に位置する配線層の第2の絶縁層の比誘電率が3.0未満であることを特徴とする請求項1又は2に記載の半導体装置。   3. The semiconductor device according to claim 1, wherein a relative dielectric constant of a second insulating layer of the wiring layer located in the lower layer portion of the wiring layer is less than 3.0. 前記配線層のうち前記下層部に位置する配線層の第2の絶縁層が微小空孔を有することを特徴とする請求項1〜3のいずれかに記載の半導体装置。   The semiconductor device according to claim 1, wherein a second insulating layer of the wiring layer located in the lower layer portion of the wiring layer has a minute hole. 前記微小空孔の半数以上の直径が0.05nm以上4nm以下であることを特徴とする請求項4に記載の半導体装置。   5. The semiconductor device according to claim 4, wherein a diameter of half or more of the minute holes is 0.05 nm or more and 4 nm or less. 前記配線層のうち前記下層部に位置する配線層の第2の絶縁層がSiOを含有することを特徴とする請求項1〜5のいずれかに記載の半導体装置。   The semiconductor device according to claim 1, wherein a second insulating layer of the wiring layer located in the lower layer portion of the wiring layer contains SiO. 前記配線層のうち前記下層部に位置する配線層の第2の絶縁層がシルセスキオキサン水素化合物又はシルセスキオキサンメチル化合物を含む膜を加熱して得られる絶縁膜であることを特徴とする請求項1〜6のいずれかに記載の半導体装置。   The second insulating layer of the wiring layer located in the lower layer portion of the wiring layer is an insulating film obtained by heating a film containing a silsesquioxane hydrogen compound or a silsesquioxane methyl compound. The semiconductor device according to claim 1. 前記配線層のうち前記下層部に位置する配線層の第2の絶縁層がアルキルシラン化合物、アルコキシシラン化合物を含む膜からなることを特徴とする請求項1〜6のいずれかに記載の半導体装置。   The semiconductor device according to claim 1, wherein a second insulating layer of the wiring layer located in the lower layer portion of the wiring layer is made of a film containing an alkylsilane compound and an alkoxysilane compound. . 前記配線層のうち下層部に位置する前記配線層の前記第2の絶縁層の構成成分と、前記配線層のうち上層部に位置する前記配線層の前記第2の絶縁層の構成成分とが異なることを特徴とする請求項1〜8のいずれかに記載の半導体装置。   A component of the second insulating layer of the wiring layer located in the lower layer portion of the wiring layer and a component of the second insulating layer of the wiring layer located in the upper layer portion of the wiring layer The semiconductor device according to claim 1, which is different. 隣接する前記配線層のうち、下層に配置された配線層の第3の絶縁層が上層に配置された配線層の第1の絶縁層を兼ねることを特徴とする請求項1〜9のいずれかに記載の半導体装置。   10. The first insulating layer of the wiring layer disposed in the upper layer also serves as the third insulating layer of the wiring layer disposed in the lower layer among the adjacent wiring layers. 11. A semiconductor device according to 1. 基板と、
上記基板上に設けられた半導体素子と、
第1の絶縁層、比誘電率が3.0未満の絶縁膜材からなる第2の絶縁層、第3の絶縁層及び導体配線を備えた配線層と、
前記配線層を構成する材料を用いて、前記半導体素子の周辺を囲むように配置されたガードリング層とを備えることを特徴とする半導体装置。
A substrate,
A semiconductor element provided on the substrate;
A wiring layer including a first insulating layer, a second insulating layer made of an insulating film material having a relative dielectric constant of less than 3.0, a third insulating layer, and a conductor wiring;
A semiconductor device comprising: a guard ring layer disposed so as to surround a periphery of the semiconductor element using a material constituting the wiring layer.
前記第2の絶縁層は、
0.05nm以上4nm以下の径を有する微小空孔を内部に有するシリコン酸化膜であることを特徴とする請求項11に記載の半導体装置。
The second insulating layer is
12. The semiconductor device according to claim 11, wherein the semiconductor device is a silicon oxide film having a micropore having a diameter of 0.05 nm to 4 nm.
JP2006013585A 2002-04-12 2006-01-23 Manufacturing method of semiconductor device Expired - Fee Related JP4443517B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2006013585A JP4443517B2 (en) 2002-04-12 2006-01-23 Manufacturing method of semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002109901 2002-04-12
JP2006013585A JP4443517B2 (en) 2002-04-12 2006-01-23 Manufacturing method of semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2003087857A Division JP2004031918A (en) 2002-04-12 2003-03-27 Semiconductor device

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2009266058A Division JP2010045406A (en) 2002-04-12 2009-11-24 Method for manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
JP2006128720A true JP2006128720A (en) 2006-05-18
JP4443517B2 JP4443517B2 (en) 2010-03-31

Family

ID=36722980

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006013585A Expired - Fee Related JP4443517B2 (en) 2002-04-12 2006-01-23 Manufacturing method of semiconductor device

Country Status (1)

Country Link
JP (1) JP4443517B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010206094A (en) * 2009-03-05 2010-09-16 Elpida Memory Inc Semiconductor device and method of manufacturing the same
WO2011061883A1 (en) * 2009-11-18 2011-05-26 パナソニック株式会社 Semiconductor device
JP2017085176A (en) * 2017-02-10 2017-05-18 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method of the same

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010206094A (en) * 2009-03-05 2010-09-16 Elpida Memory Inc Semiconductor device and method of manufacturing the same
WO2011061883A1 (en) * 2009-11-18 2011-05-26 パナソニック株式会社 Semiconductor device
JP2011108869A (en) * 2009-11-18 2011-06-02 Panasonic Corp Semiconductor device
US8742584B2 (en) 2009-11-18 2014-06-03 Panasonic Corporation Semiconductor device
JP2017085176A (en) * 2017-02-10 2017-05-18 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method of the same

Also Published As

Publication number Publication date
JP4443517B2 (en) 2010-03-31

Similar Documents

Publication Publication Date Title
KR100556641B1 (en) Semiconductor device
TW550743B (en) Semiconductor device and method of manufacturing the semiconductor device
KR100564188B1 (en) Semiconductor integrated circuit device and its manufacturing method
JP3974023B2 (en) Manufacturing method of semiconductor device
KR101802435B1 (en) Method for forming metal interconnections of a semiconductor device
US8455985B2 (en) Integrated circuit devices having selectively strengthened composite interlayer insulation layers and methods of fabricating the same
JP2011009581A (en) Process of producing semiconductor device and the semiconductor device
US7358594B1 (en) Method of forming a low k polymer E-beam printable mechanical support
US7410896B2 (en) Semiconductor device having low-k dielectric film in pad region and method for manufacture thereof
JP4443517B2 (en) Manufacturing method of semiconductor device
JP2004031918A (en) Semiconductor device
KR100799077B1 (en) Metal line in semiconductor device and the fabricating method thereof
JP3657576B2 (en) Manufacturing method of semiconductor device
JP2006128722A (en) Semiconductor device
KR100607363B1 (en) Inter-Metal-Dielectric Layer Using Low-k Dielectric Material And Method for Same
KR20070048820A (en) Wiring structure in a semiconductor device and method of manufacturing the same
KR100546940B1 (en) Method of forming copper wiring in semiconductor device
KR100634004B1 (en) Method for forming multi-line interconnection using low-k material and multi-line interconnection using the same
CN113838907A (en) Low-dielectric-constant metal interlayer dielectric layer structure and manufacturing method thereof
JP2006156474A (en) Semiconductor device and its manufacturing method
KR20080055320A (en) Fabricating method semiconductor device

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090924

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090929

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091124

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20091124

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20091222

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100112

R150 Certificate of patent or registration of utility model

Ref document number: 4443517

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130122

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130122

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130122

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130122

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140122

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees