JP2006041166A - Method for forming ion injection mask and silicon carbide device - Google Patents

Method for forming ion injection mask and silicon carbide device Download PDF

Info

Publication number
JP2006041166A
JP2006041166A JP2004218640A JP2004218640A JP2006041166A JP 2006041166 A JP2006041166 A JP 2006041166A JP 2004218640 A JP2004218640 A JP 2004218640A JP 2004218640 A JP2004218640 A JP 2004218640A JP 2006041166 A JP2006041166 A JP 2006041166A
Authority
JP
Japan
Prior art keywords
film
mask
ion implantation
implantation mask
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004218640A
Other languages
Japanese (ja)
Inventor
Masaya Yamashita
賢哉 山下
Makoto Kitahata
真 北畠
Osamu Kusumoto
修 楠本
Kunimasa Takahashi
邦方 高橋
Masao Uchida
正雄 内田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP2004218640A priority Critical patent/JP2006041166A/en
Publication of JP2006041166A publication Critical patent/JP2006041166A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Junction Field-Effect Transistors (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a method for forming an ion implantation mask, having steep side faces whose patterns, are less likely to be tapered. <P>SOLUTION: A silicon dioxide film 21x as a first film and an Al film 22x as a second film are successively deposited on a substrate, and a resist mask Re1 is formed on the Al film 22x. The resist mask Re1 is used as an etching mask, the Al film 22x is patterned, an Al mask 22 as an intermediate mask is formed, the resist mask Re1 and the Al mask 22 are used as an etching mask; and the silicon dioxide film 21x is patterned so that a silicon dioxide mask 21 can be formed as an ion implantation mask. Afterwards, the resist mask Re1 and the Al mask 22 are removed, and ion is injected into a high resistance SiC layer 2 at a high temperature of 150°C or higher, by using the silicon dioxide mask 21, so that a p-well region 3 being an impurity diffused layer can be formed. <P>COPYRIGHT: (C)2006,JPO&NCIPI

Description

本発明は、炭化珪素デバイスの製造工程中において使用されるイオン注入マスクの形成方法及びこれを利用した炭化珪素デバイスに係り、特に、微細パターンの形成対策に関する。   The present invention relates to a method of forming an ion implantation mask used during a manufacturing process of a silicon carbide device and a silicon carbide device using the same, and more particularly to a countermeasure for forming a fine pattern.

近年、化合物半導体は、バンドギャップが広い,キャリア移動度が高いなど、Siとは異なる物理特性を有することから、種々の分野への応用が期待されている。例えば、炭化珪素(SiC)は、バンドギャップが大きく高い絶縁破壊特性を有するので、低損失パワーデバイスへの適用が期待されている。   In recent years, compound semiconductors have physical properties different from Si, such as a wide band gap and high carrier mobility, and thus are expected to be applied to various fields. For example, since silicon carbide (SiC) has a large band gap and high dielectric breakdown characteristics, application to a low-loss power device is expected.

例えば炭化珪素基板上に形成できる能動型半導体デバイスの形態としては、バイポーラトランジスタ(BJT),接合型電界効果トランジスタ(JFET),静電誘導トランジスタ(SIT),絶縁ゲート型電界効果トランジスタ(MISFET),ショットキー接合ゲート型電界効果トランジスタ(MESFET),絶縁ゲート型バイポーラトランジスタ(IGBT),シングルゲート型静電誘導サイリスタ(SGSITH)などがある。これらのデバイスは、いずれの場合も3端子デバイスであり、電流が流れる主電流経路の入口と出口に相当する2つの主端子(エミッタとコレクタ、ソースとドレイン又はカソードとアノード)と、制御端子(ベース、又はゲート)とを備えている。   For example, active semiconductor devices that can be formed on a silicon carbide substrate include bipolar transistors (BJT), junction field effect transistors (JFET), electrostatic induction transistors (SIT), insulated gate field effect transistors (MISFET), There are a Schottky junction gate type field effect transistor (MESFET), an insulated gate bipolar transistor (IGBT), a single gate type static induction thyristor (SGSITH), and the like. Each of these devices is a three-terminal device, two main terminals (emitter and collector, source and drain or cathode and anode) corresponding to the inlet and outlet of the main current path through which a current flows, and a control terminal ( Base or gate).

バイポーラ型デバイスは、主端子間に必ずpn接合部を有し、pn接合部に形成された電位障壁を越えて2種類の導電型のキャリアが流れることにより、動作電流が得られる。電界効果トランジスタ(ユニポーラ型デバイス)の主端子間には、同一の導電型の半導体層だけしかなくてもよく、電界効果トランジスタの主電流経路にはpn接合は必要ではない。そして、電界効果トランジスタの主電流経路には、単一の導電型のキャリアが流れる。   Bipolar devices always have a pn junction between main terminals, and an operating current can be obtained by flowing carriers of two types of conductivity over a potential barrier formed at the pn junction. Between the main terminals of the field effect transistor (unipolar device), there may be only a semiconductor layer of the same conductivity type, and a pn junction is not necessary in the main current path of the field effect transistor. A single conductivity type carrier flows in the main current path of the field effect transistor.

ところで、例えば炭化珪素パワーデバイスの形成において、バイポーラ型デバイスのみならず、ユニポーラ型デバイスにおいても、半導体層への不純物(ドーパント)の導入は、エピタキシャル成長中におけるin-situ ドープを行なうか、イオン注入を行なうかのいずれかの方法によるのが一般的である。   For example, in the formation of silicon carbide power devices, impurities (dopants) are introduced into semiconductor layers not only in bipolar devices but also in unipolar devices by in-situ doping during epitaxial growth or ion implantation. It is common to use either method.

炭化珪素パワーデバイスにおいては、炭化珪素中で不純物が拡散しにくいという性質のために、これらの技術のうち、特に、炭化珪素中への導電性制御のためのイオン注入が重要な技術として位置付けられている。   In silicon carbide power devices, due to the property that impurities are difficult to diffuse in silicon carbide, ion implantation for controlling conductivity in silicon carbide is positioned as an important technique among these techniques. ing.

イオン注入法を用いれば、異なる導電型を有する不純物拡散層の微細パターンを形成することが可能となり、デバイス形成上の応用性は極めて高い。特に、上述のすべてのデバイスにおいて、オン抵抗を下げるためにはデバイス構造の微細化が極めて重要な課題となる。   By using the ion implantation method, it is possible to form a fine pattern of an impurity diffusion layer having different conductivity types, and the applicability in device formation is extremely high. In particular, in all the devices described above, miniaturization of the device structure is an extremely important issue in order to reduce the on-resistance.

ところで、炭化珪素へのイオン注入の場合、p型ドーパントであっても、n型ドーパントであっても、欠陥損傷を最低限に抑えるために500℃以上の高温注入を行わなければならない(例えば、特許文献1参照)。したがって、マスク材料として500℃以上の耐熱性を有する,二酸化珪素膜,窒化膜などからなるイオン注入マスク(ハードマスク)を利用するのが一般的である。しかも、これらのイオン注入マスクには数μmという厚さが必要である。   By the way, in the case of ion implantation into silicon carbide, whether it is a p-type dopant or an n-type dopant, high-temperature implantation at 500 ° C. or higher must be performed in order to minimize defect damage (for example, Patent Document 1). Therefore, it is common to use an ion implantation mask (hard mask) made of a silicon dioxide film, a nitride film or the like having a heat resistance of 500 ° C. or more as a mask material. Moreover, these ion implantation masks require a thickness of several μm.

一方、二酸化珪素膜,窒化膜などをパターニングしてイオン注入マスクを形成するためには、フォトリソグラフィーにより形成されたレジストパターンをマスクとして、ウェットエッチ又はドライエッチを行なう方法が用いられている。
特開2001−196629(段落[0032])
On the other hand, in order to form an ion implantation mask by patterning a silicon dioxide film, a nitride film or the like, a method of performing wet etching or dry etching using a resist pattern formed by photolithography as a mask is used.
JP 2001-196629 (paragraph [0032])

ここで、等方性エッチング特性を有するウェットエッチにより、酸化膜,窒化膜などのパターニングを行なってハードマスクを形成する場合、イオン注入マスクは数μmの厚さが要求されるために、イオン注入マスクの側面の基板面に垂直な方向からの傾きが大きくなる。その結果、イオン注入マスクの端部の薄くなった部分では、イオン注入の際にある程度イオンを通過させてしまうので、不純物拡散層の微細パターンを形成することは難しい。   Here, when a hard mask is formed by patterning an oxide film, a nitride film, etc. by wet etching having isotropic etching characteristics, the ion implantation mask is required to have a thickness of several μm. The inclination of the side surface of the mask from the direction perpendicular to the substrate surface increases. As a result, in the thinned portion of the ion implantation mask, ions are allowed to pass to some extent during ion implantation, so that it is difficult to form a fine pattern of the impurity diffusion layer.

従って、特定の導電型ドーパントを含む,微細パターンを有する不純物拡散層をイオン注入によって形成する場合、イオン注入マスクの形成方法として、異方性エッチング特性を有するドライエッチを用いて、急峻な側面を持つイオン注入マスクの形成を行うことが好ましいと考えられる。   Therefore, when an impurity diffusion layer having a fine pattern containing a specific conductivity type dopant is formed by ion implantation, a dry etching having anisotropic etching characteristics is used as a method for forming an ion implantation mask, and a steep side surface is formed. It is considered preferable to form an ion implantation mask.

ところが、イオン注入マスクの形成のためのパターニングをドライエッチングにより行なう際、エッチングマスクとしてのレジスト膜のエッチング速度が大きいので、実際上、イオン注入マスクの側面を急峻にするのが困難である。   However, when patterning for forming the ion implantation mask is performed by dry etching, the etching rate of the resist film as the etching mask is high, so that it is actually difficult to make the side surface of the ion implantation mask steep.

また、レジスト膜のエッチング速度が速いので、イオン注入マスクのパターンが細りやすく、イオン注入マスクのパターンの精度を高く維持するのも困難であった。   In addition, since the resist film is etched at a high rate, the pattern of the ion implantation mask tends to be thin, and it is difficult to maintain high accuracy of the pattern of the ion implantation mask.

その結果、従来のイオン注入マスクを用いて、高エネルギーのイオン注入を行なっても、不純物拡散層の微細なパターンを形成することが困難になる。   As a result, even if high energy ion implantation is performed using a conventional ion implantation mask, it is difficult to form a fine pattern of the impurity diffusion layer.

本発明の目的は、急峻な側面を有し、パターンが細り難い、イオン注入マスクの形成方法を提供することにある。   An object of the present invention is to provide a method for forming an ion implantation mask that has a steep side surface and is difficult to be thinned.

本発明のイオン注入マスクの形成方法は、炭化珪素デバイスの製造工程において使用されるイオン注入マスクの形成方法であって、イオン注入マスクとなる第1の膜の直上に、第1の膜よりも薄い第2の膜からなる中間マスクを形成しておいて、第1の膜をパターニングする際に、中間マスクをエッチングマスクとして用いる方法である。   A method for forming an ion implantation mask according to the present invention is a method for forming an ion implantation mask used in a manufacturing process of a silicon carbide device, and is formed immediately above a first film serving as an ion implantation mask than the first film. In this method, an intermediate mask made of a thin second film is formed, and the intermediate mask is used as an etching mask when patterning the first film.

この方法により、第2の膜をパターニングして中間マスクを形成する際には、第2の膜が薄いのでレジストマスクの形状がそれほど崩れることがない。そして、第2の膜をパターニングしてイオン注入マスクを形成する際には、レジストマスクの形状が崩れても、中間マスクが存在することにより、イオン注入マスクがほとんど細ることがない。よって、急峻な側面を有するイオン中間マスクが得られ、基板内に不純物拡散層の微細パターンを形成することが可能になる。   By this method, when the intermediate film is formed by patterning the second film, the shape of the resist mask is not significantly deformed because the second film is thin. Then, when the ion implantation mask is formed by patterning the second film, even if the shape of the resist mask is collapsed, the ion implantation mask is hardly thinned due to the presence of the intermediate mask. Therefore, an ion intermediate mask having a steep side surface can be obtained, and a fine pattern of the impurity diffusion layer can be formed in the substrate.

イオン注入マスクを形成する際には、異方性エッチ特性を容易に実現しうるドライエッチングにより第1の膜をパターニングすることが好ましい。   When forming the ion implantation mask, it is preferable to pattern the first film by dry etching that can easily realize anisotropic etching characteristics.

第2の膜は、イオン注入マスクを形成する際における第1の膜のエッチング速度よりも
小さい材料からなることにより、中間マスクの形状の崩れが抑制され、イオン注入マスクの細りがより効果的に抑制される。
Since the second film is made of a material that is smaller than the etching rate of the first film when forming the ion implantation mask, the deformation of the shape of the intermediate mask is suppressed, and the thinning of the ion implantation mask is more effective. It is suppressed.

イオン注入マスクとなる第1の膜は、酸化物又は窒化物からなる膜であることが好ましい。   The first film serving as the ion implantation mask is preferably a film made of oxide or nitride.

中間マスクとなる第2の膜は、金属膜、特にAl膜であることにより、第1の膜をパターニングする際の中間マスクのエッチング速度を遅くすることができる。   Since the second film serving as the intermediate mask is a metal film, particularly an Al film, the etching rate of the intermediate mask when patterning the first film can be reduced.

また、金属膜をウェットエッチングによりパターニングすることにより、レジストマスクを残存させたまま、中間マスクを形成することができ、イオン注入マスクの形成時に、レジストマスクもエッチングマスクとして用いることができる。   Further, by patterning the metal film by wet etching, an intermediate mask can be formed with the resist mask remaining, and the resist mask can also be used as an etching mask when forming the ion implantation mask.

第2の膜は、イオン注入マスクの形成時に、第1の膜のエッチングが終了するまで残存するのに十分な厚みを有することが好ましい。   The second film preferably has a thickness sufficient to remain until the etching of the first film is completed when the ion implantation mask is formed.

本発明の炭化珪素デバイスにおいては、イオン注入領域の底面における平坦部と側面との間の距離は、イオン注入領域の深さ以下である。   In the silicon carbide device of the present invention, the distance between the flat portion and the side surface at the bottom surface of the ion implantation region is not more than the depth of the ion implantation region.

これにより、設計通りのイオン注入領域の形成範囲がほぼ確保されるので、上述のようなイオン注入マスクを用いて、不純物拡散層の微細パターンを形成することが可能になる。   As a result, the formation range of the ion implantation region as designed is almost ensured, so that it is possible to form a fine pattern of the impurity diffusion layer using the ion implantation mask as described above.

本発明によると、急峻な側面を有するイオン注入マスクを形成することができるので、このイオン注入マスクを用いて、炭化珪素基板上に導電型の異なる不純物拡散層の微細パターンを形成することが可能となり、材料・炭化珪素の理論限界まで低損失なデバイスを形成することが可能となる。   According to the present invention, since an ion implantation mask having a steep side surface can be formed, it is possible to form a fine pattern of an impurity diffusion layer having a different conductivity type on a silicon carbide substrate using this ion implantation mask. Thus, it becomes possible to form a low-loss device up to the theoretical limit of the material and silicon carbide.

図1は、本発明の実施形態に係るSiC基板を用いた蓄積型MISFET,かつ,二重注入型MISFETの構造を示す断面図である。図1には、部分的な断面構造しか開示されていないが、MISFETの平面構造は、たとえば国際出願PCT/JP01/07810号の図2又は図10に開示されるような構造となっている。   FIG. 1 is a cross-sectional view showing the structure of a storage MISFET and a double injection MISFET using an SiC substrate according to an embodiment of the present invention. Although only a partial cross-sectional structure is disclosed in FIG. 1, the planar structure of the MISFET has a structure as disclosed in FIG. 2 or FIG. 10 of the international application PCT / JP01 / 07810, for example.

図1に示すように、この二重注入型MISFETは、濃度が1×1018cm-3以上のn型不純物(ドーパント)を含む低抵抗のSiC基板1と、SiC基板1の主面上に設けられ、濃度が1×1015cm-3〜1×1016cm-3程度のn型不純物がドープされている高抵抗SiC層2と、高抵抗SiC層2の表面部の一部に濃度が1×1016cm-3から1×1018cm-3のp型不純物をドープして形成されたpウェル領域3と、pウェル領域3の一部に濃度が約1×1019cm-3のn型不純物をドープして形成されたソース領域6と、ソース領域の直下方に位置するpウェル領域3の一部に高濃度のp型不純物をドープして形成されたp+コンタクト領域11と、ソース領域6,pウェル領域3及び高抵抗SiC
層2に跨って形成された積層ドープ層構造を含むチャネル層5と、チャネル層5の表面上に設けられた熱酸化膜からなるゲート絶縁膜7と、ゲート絶縁膜7の上に設けられたAl合金膜からなるゲート電極10と、ソース領域6を貫通してp+コンタクト領域11に到
達する溝の壁面上に設けられ、p+コンタクト領域11及びソース領域7に接触するよう
に設けられたソース電極8と、SiC基板1の裏面上にオーミック接触するように形成されたドレイン電極9とを備えている。
As shown in FIG. 1, this double-implant MISFET has a low-resistance SiC substrate 1 containing an n-type impurity (dopant) having a concentration of 1 × 10 18 cm −3 or more, and a main surface of the SiC substrate 1. A high resistance SiC layer 2 which is provided and is doped with an n-type impurity having a concentration of about 1 × 10 15 cm −3 to 1 × 10 16 cm −3, and a concentration on a part of the surface portion of the high resistance SiC layer 2. Is doped with a p-type impurity of 1 × 10 16 cm −3 to 1 × 10 18 cm −3 , and a concentration of about 1 × 10 19 cm is formed in a part of the p well region 3. A source region 6 formed by doping 3 n-type impurities and a p + contact region formed by doping a portion of the p-well region 3 located immediately below the source region with a high-concentration p-type impurity 11, source region 6, p-well region 3, and high resistance SiC
A channel layer 5 including a laminated doped layer structure formed over the layer 2, a gate insulating film 7 made of a thermal oxide film provided on the surface of the channel layer 5, and provided on the gate insulating film 7 Provided on the gate electrode 10 made of an Al alloy film and on the wall surface of the trench that reaches the p + contact region 11 through the source region 6 and is in contact with the p + contact region 11 and the source region 7 A source electrode 8 and a drain electrode 9 formed so as to be in ohmic contact with the back surface of the SiC substrate 1 are provided.

各々n型半導体層であるソース領域6と高抵抗SiC層2とは、n型半導体層であるチャネル層5を介して電気的に接続された状態となっている。また、チャネル層5のうち、ソース領域上方に位置する部分の一部は除去されている。ソース電極8とソース領域6およびp+コンタクト領域11とは、互いにオーミック接触するように熱処理されている。
SiC基板1とドレイン電極9とは互いにオーミック接触している。
Each of the source region 6 that is an n-type semiconductor layer and the high-resistance SiC layer 2 are in an electrically connected state via a channel layer 5 that is an n-type semiconductor layer. In addition, a part of the channel layer 5 located above the source region is removed. The source electrode 8, the source region 6 and the p + contact region 11 are heat-treated so as to be in ohmic contact with each other.
SiC substrate 1 and drain electrode 9 are in ohmic contact with each other.

ここで、本実施形態の炭化珪素デバイスにおいては、図1に示すように、イオン注入領域(例えばpウェル領域3)の不純物濃度プロファイルは、下面における平坦部と側面との間の距離をAとし、イオン注入領域の深さをDとしたときに、A≦Dの関係が成り立っている。これは、pウェル領域3だけでなく、ソース領域6,p+コンタクト領域11に
ついてもいえることである。ただし、p+コンタクト領域11については、イオン注入時における基板面からの深さをDとする。
Here, in the silicon carbide device of the present embodiment, as shown in FIG. 1, the impurity concentration profile of the ion implantation region (for example, p-well region 3) is A as the distance between the flat portion on the lower surface and the side surface. When the depth of the ion implantation region is D, the relationship of A ≦ D is established. This is true not only for the p well region 3 but also for the source region 6 and the p + contact region 11. However, for the p + contact region 11, the depth from the substrate surface at the time of ion implantation is D.

つまり、本発明の注入マスクの形成方法により、設計通りのイオン注入領域の形成範囲がほぼ確保されていることを意味する。これは、後述するように、急峻な側面を有する注入マスクを用いてイオン注入を行なうからである。   That is, the formation range of the ion implantation region as designed is almost ensured by the implantation mask forming method of the present invention. This is because ion implantation is performed using an implantation mask having a steep side surface, as will be described later.

図2(a)〜(e)及び図3(a)〜(e)は、本実施形態の二重注入型MISFETの製造工程を示す断面図である。   FIGS. 2A to 2E and FIGS. 3A to 3E are cross-sectional views showing manufacturing steps of the double injection MISFET of this embodiment.

まず、図2(a)に示す工程で、低抵抗のSiC基板1上に、SiC基板1より高抵抗の(ドーパント濃度が低い)高抵抗SiC層2をエピタキシャル成長させる。   First, in the step shown in FIG. 2A, a high resistance SiC layer 2 having a higher resistance (lower dopant concentration) than that of the SiC substrate 1 is epitaxially grown on the low resistance SiC substrate 1.

次に、図2(b)に示す工程で、例えばTEOS膜を堆積し、高抵抗SiC層2の上に、厚さ3μmの二酸化珪素膜21x(第1の膜)を堆積した後、蒸着を行なって、二酸化珪素膜21xの上に、厚さ0.2μm程度のAl膜22x(第2の膜)を堆積する。その後、フォトリソグラフィーを行なって、Al膜22xの上に、Pウェル形成領域が開口されたレジストマスクRe1を形成する。   Next, in the step shown in FIG. 2B, for example, a TEOS film is deposited, a silicon dioxide film 21x (first film) having a thickness of 3 μm is deposited on the high-resistance SiC layer 2, and then vapor deposition is performed. Then, an Al film 22x (second film) having a thickness of about 0.2 μm is deposited on the silicon dioxide film 21x. Thereafter, photolithography is performed to form a resist mask Re1 in which a P well formation region is opened on the Al film 22x.

次に、図2(c)に示す工程で、レジストマスクRe1をエッチングマスクとして用いたリン酸系のウェットエッチングにより、Al膜22xをパターニングして、Alマスク21(中間マスク)を形成する。つづいて、レジストマスクRe1及びAlマスク22をエッチングマスクとして用いたドライエッチングにより、二酸化珪素膜21xをパターニングして、二酸化珪素マスク21(イオン注入マスク)を形成する。このとき、二酸化珪素膜21xは、厚さ3μmもある厚い膜であるが、例えばCHF3などのガスを用いたI
CPエッチングを行なうことにより、側面が急峻な二酸化珪素マスク21を形成することができる。そして、Alマスク22及びレジストマスクRe1を除去し、二酸化珪素マスク21を用いて、基板を500℃以上の高温に保持した状態で、高抵抗SiC層2の表面部の一部にp型不純物のイオン注入を行なって、pウェル領域3を形成する。pウェル領域3におけるp型不純物の濃度は、通常1×1017cm-3前後から1×1018cm-3であり、pウェル領域3の深さはピンチオフしないように1μm前後とする。
Next, in the step shown in FIG. 2C, the Al film 22x is patterned by phosphoric acid wet etching using the resist mask Re1 as an etching mask to form an Al mask 21 (intermediate mask). Subsequently, the silicon dioxide film 21x is patterned by dry etching using the resist mask Re1 and the Al mask 22 as an etching mask to form a silicon dioxide mask 21 (ion implantation mask). At this time, although the silicon dioxide film 21x is a thick film having a thickness of 3 μm, for example, I using a gas such as CHF 3 is used.
By performing the CP etching, the silicon dioxide mask 21 having a steep side surface can be formed. Then, the Al mask 22 and the resist mask Re1 are removed, and the silicon dioxide mask 21 is used to hold a substrate at a high temperature of 500 ° C. or higher, and p-type impurities are partially formed on the surface portion of the high resistance SiC layer 2. Ion implantation is performed to form the p-well region 3. The concentration of the p-type impurity in the p-well region 3 is usually about 1 × 10 17 cm −3 to 1 × 10 18 cm −3 , and the depth of the p-well region 3 is about 1 μm so as not to pinch off.

次に、図2(d)に示す工程で、二酸化珪素マスク21,Alマスク22及びレジストマスクRe1を除去し、注入された不純物を活性化するためのアニールを行なった後、pウェル領域3及び高抵抗SiC層2の表面上に、n型不純物を含むチャネル層5をエピタキシャル成長させる。   Next, in the step shown in FIG. 2D, the silicon dioxide mask 21, the Al mask 22 and the resist mask Re1 are removed, and annealing is performed to activate the implanted impurities, and then the p-well region 3 and A channel layer 5 containing an n-type impurity is epitaxially grown on the surface of the high resistance SiC layer 2.

次に、図2(e)に示す工程で、例えばTEOS膜を堆積し、チャネル層5の上に、厚さ3μmの二酸化珪素膜24x(第1の膜)を堆積した後、蒸着を行なって、二酸化珪素膜24xの上に、厚さ0.2μm程度のAl膜25x(第2の膜)を堆積する。その後、
フォトリソグラフィーを行なって、Al膜25xの上に、ソース形成領域が開口されたレジストマスクRe2を形成する。
Next, in the step shown in FIG. 2E, for example, a TEOS film is deposited, a silicon dioxide film 24x (first film) having a thickness of 3 μm is deposited on the channel layer 5, and then vapor deposition is performed. Then, an Al film 25x (second film) having a thickness of about 0.2 μm is deposited on the silicon dioxide film 24x. afterwards,
Photolithography is performed to form a resist mask Re2 having an opening in the source formation region on the Al film 25x.

次に、図3(a)に示す工程で、レジストマスクRe2をエッチングマスクとして用いたリン酸系のウェットエッチングにより、Al膜25xをパターニングして、Alマスク25(中間マスク)を形成する。つづいて、レジストマスクRe2及びAlマスク25をエッチングマスクとして用いたドライエッチングにより、二酸化珪素膜24xをパターニングして、二酸化珪素マスク24(イオン注入マスク)を形成する。このとき、例えばCHF3などのガスを用いたICPエッチングを行なうことにより、側面が急峻な二酸化珪
素マスク24を形成することができる。そして、Alマスク25及びレジストマスクRe2を除去し、二酸化珪素マスク24を用いて、基板を500℃以上の高温に保持した状態で、チャネル層5及びpウェル領域3の一部に高濃度のn型不純物のイオン注入を行なうことにより、チャネル層5を貫通して、pウェル領域3の内部まで達するソース領域6を形成する。このとき、各々n型半導体層であるソース領域6と高抵抗SiC層2とは、n型半導体層であるチャネル層5を介して電気的に接続された状態となっている。
Next, in the step shown in FIG. 3A, the Al film 25x is patterned by phosphoric acid-based wet etching using the resist mask Re2 as an etching mask to form an Al mask 25 (intermediate mask). Subsequently, the silicon dioxide film 24x is patterned by dry etching using the resist mask Re2 and the Al mask 25 as an etching mask to form a silicon dioxide mask 24 (ion implantation mask). At this time, for example, by performing ICP etching using a gas such as CHF 3 , the silicon dioxide mask 24 having a sharp side surface can be formed. Then, the Al mask 25 and the resist mask Re2 are removed, and the silicon dioxide mask 24 is used to hold the substrate at a high temperature of 500.degree. By performing ion implantation of the type impurity, a source region 6 that penetrates the channel layer 5 and reaches the inside of the p-well region 3 is formed. At this time, the source region 6 that is an n-type semiconductor layer and the high-resistance SiC layer 2 are in an electrically connected state via a channel layer 5 that is an n-type semiconductor layer.

次に、図3(b)に示す工程で、高濃度のp型不純物のイオン注入を行なって、ソース領域6の直下方に位置するpウェル領域3の一部にp+コンタクト領域11を形成する。
そして、二酸化珪素マスク24,Alマスク25及びレジストマスクRe2を除去し、p+コンタクト領域11やソース領域6に注入された不純物を活性化するためのアニールを
行なう。さらに、ソース領域6を貫通してp+コンタクト領域11の上部に到達する溝4を形成した後、チャネル層5,ソース領域6及びp+コンタクト領域11の露出している
表面部を熱酸化して、熱酸化膜からなるゲート絶縁膜7を形成する。
Next, in the step shown in FIG. 3B, ion implantation of high-concentration p-type impurities is performed to form a p + contact region 11 in a part of the p-well region 3 located immediately below the source region 6. To do.
Then, the silicon dioxide mask 24, the Al mask 25 and the resist mask Re2 are removed, and annealing for activating the impurities implanted into the p + contact region 11 and the source region 6 is performed. Further, after forming the trench 4 penetrating the source region 6 and reaching the upper portion of the p + contact region 11, the exposed surface portions of the channel layer 5, the source region 6 and the p + contact region 11 are thermally oxidized. Then, a gate insulating film 7 made of a thermal oxide film is formed.

次に、図3(c)に示す工程で、ゲート絶縁膜7のうち溝4の壁面上の部分と、溝4の周囲の部分とを除去する。   Next, in the step shown in FIG. 3C, the portion of the gate insulating film 7 on the wall surface of the groove 4 and the portion around the groove 4 are removed.

次に、図3(d)に示す工程で、ソース領域6のうちゲート絶縁膜7が除去されて露出している部分の上にソース電極8を形成する。また、SiC基板1の裏面上にドレイン電極9を形成する。   Next, in the step shown in FIG. 3D, the source electrode 8 is formed on the portion of the source region 6 where the gate insulating film 7 is removed and exposed. Further, drain electrode 9 is formed on the back surface of SiC substrate 1.

次に、図3(e)に示す工程で、ゲート絶縁膜7の上にゲート電極10を形成する。なお、ソース電極8とソース領域7及びp+コンタクト領域11とがオーミック接触し、S
iC基板1とドレイン電極9とがオーミック接触するように熱処理が行われる。
Next, the gate electrode 10 is formed on the gate insulating film 7 in the step shown in FIG. The source electrode 8, the source region 7 and the p + contact region 11 are in ohmic contact, and S
Heat treatment is performed so that the iC substrate 1 and the drain electrode 9 are in ohmic contact.

ここで、上記製造工程における高温イオン注入工程である,図2(c)又は図3(a)に示す工程について説明する。   Here, the process shown in FIG. 2C or FIG. 3A, which is a high-temperature ion implantation process in the manufacturing process, will be described.

図4は、図2(c)又は図3(a)に示す工程におけるイオン注入前の各マスクの形状を示す断面図である。同図に示すように、基板上には、二酸化珪素マスク21(又は24)と、Alマスク22(又は25)と、レジストマスクRe1(又はRe2)とが下方から順に形成されている。二酸化珪素膜21x(又は24x)をエッチングして、二酸化珪素マスク21(又は24)を形成する際には、二酸化珪素膜21(又は24)の厚さが3μmと非常に厚いので、比較的長時間のエッチングが必要となり、レジストマスクRe1(又はRe2)は、図中破線に示す輪郭から実線に示す輪郭のように細ることになる。ところが、Alマスク22(又は25)は、二酸化珪素膜21x(又は24x)をエッチングする際にも、ほとんど細ることはない。これは、高温イオン注入マスク(ハードマスク)である二酸化珪素マスク21(又は24)をパターニングするためのマスク(Alマスク)は、二酸化珪素膜21(又は24)とはエッチング選択比の大きい材料から構成されているからである。   FIG. 4 is a cross-sectional view showing the shape of each mask before ion implantation in the step shown in FIG. 2C or FIG. As shown in the figure, a silicon dioxide mask 21 (or 24), an Al mask 22 (or 25), and a resist mask Re1 (or Re2) are sequentially formed on the substrate from below. When the silicon dioxide mask 21 (or 24) is formed by etching the silicon dioxide film 21x (or 24x), the silicon dioxide film 21 (or 24) has a very large thickness of 3 μm. Time etching is required, and the resist mask Re1 (or Re2) becomes thinner from the outline shown by the broken line to the outline shown by the solid line. However, the Al mask 22 (or 25) is hardly thinned when the silicon dioxide film 21x (or 24x) is etched. This is because the mask (Al mask) for patterning the silicon dioxide mask 21 (or 24), which is a high-temperature ion implantation mask (hard mask), is made of a material having a higher etching selectivity than the silicon dioxide film 21 (or 24). This is because it is configured.

その結果、二酸化珪素マスク21(又は24)の側面は、基板の表面に垂直な方向からの傾きが小さい、急峻な形状を示すようになるので、基板内に高エネルギーのイオン注入を行なって、導電型が相異なる不純物拡散層(本実施形態においては、pウェル領域3とソース領域6)を相隣接して形成したときに、イオン注入マスクの端部の比較的厚さが薄くなった部分はわずかになるので、イオンが阻止されずに基板内に注入されてしまう範囲が狭くなり、基板内に形成される不純物拡散層同士の境界領域が縮小される。よって、微細な不純物拡散領域のパターンを形成することができ、炭化珪素の理論限界まで低損失なデバイスを形成することが可能となる。   As a result, the side surface of the silicon dioxide mask 21 (or 24) shows a steep shape with a small inclination from the direction perpendicular to the surface of the substrate, so that high energy ion implantation is performed in the substrate, When the impurity diffusion layers having different conductivity types (in this embodiment, the p-well region 3 and the source region 6) are formed adjacent to each other, a relatively thin portion at the end of the ion implantation mask Therefore, the range in which ions are implanted into the substrate without being blocked is narrowed, and the boundary region between impurity diffusion layers formed in the substrate is reduced. Therefore, it is possible to form a fine impurity diffusion region pattern, and to form a low-loss device up to the theoretical limit of silicon carbide.

イオン注入マスク21(又は24)の側面の基板面に垂直な方向からの傾きは、60°以下であることが好ましく、30°以下であることがより好ましい。   The inclination of the side surface of the ion implantation mask 21 (or 24) from the direction perpendicular to the substrate surface is preferably 60 ° or less, and more preferably 30 ° or less.

本発明における高温イオン注入マスクは、300℃以上の高温においてもイオン注入マスクの機能を保持していることが好ましく、500℃以上の高温においてもイオン注入マスクの機能を保持していることがより好ましい。   The high temperature ion implantation mask in the present invention preferably retains the function of the ion implantation mask even at a high temperature of 300 ° C. or higher, and more preferably retains the function of the ion implantation mask even at a high temperature of 500 ° C. or higher. preferable.

本実施形態においては、高温イオン注入マスクは、1000℃以上の高温でもイオン注入マスクの機能を保持しうる二酸化珪素膜によって構成されている。二酸化珪素膜はプラズマCVD,LTO,HTOなどの方法を利用して堆積した膜を利用することができる。プラズマTEOS膜を用いた場合、1時間のCVDにより3μmの厚みの二酸化珪素膜を成長させることができる。また、二酸化珪素膜に代えて、二酸化珪素膜以外の各種酸化膜や、窒化珪素膜等の窒化膜,酸窒化膜,ポリシリコン膜などを用いてもよい。   In the present embodiment, the high temperature ion implantation mask is composed of a silicon dioxide film that can maintain the function of the ion implantation mask even at a high temperature of 1000 ° C. or higher. As the silicon dioxide film, a film deposited using a method such as plasma CVD, LTO, or HTO can be used. When a plasma TEOS film is used, a silicon dioxide film having a thickness of 3 μm can be grown by CVD for 1 hour. In place of the silicon dioxide film, various oxide films other than the silicon dioxide film, nitride films such as a silicon nitride film, oxynitride films, and polysilicon films may be used.

また、珪素膜や珪素膜をパターニングして、高温イオン注入マスクを形成するための金属膜は、Al以外の材料,例えばNiなどを用いることができる。また、金属膜に代えてポリシリコン膜,窒化珪素膜などを用いることも可能である。ただし、高温イオン注入マスクをエッチングする際にエッチングされ難い材料、つまり、高温イオン注入マスクに対するエッチング選択比の高い材料によって構成されていることが好ましい。   Further, a material other than Al, such as Ni, can be used for the metal film for patterning the silicon film or the silicon film to form the high temperature ion implantation mask. In addition, a polysilicon film, a silicon nitride film, or the like can be used instead of the metal film. However, it is preferable that the high temperature ion implantation mask is made of a material that is difficult to be etched, that is, a material having a high etching selectivity with respect to the high temperature ion implantation mask.

本発明のイオン注入マスクの形成方法は、上記実施形態における絶縁ゲート型電界効果トランジスタ(MISFET)だけでなく、バイポーラトランジスタ(BJT),接合型電界効果トランジスタ(JFET),静電誘導トランジスタ(SIT),ショットキー接合ゲート型電界効果トランジスタ(MESFET),絶縁ゲート型バイポーラトランジスタ(IGBT),シングルゲート型静電誘導サイリスタ(SGSITH)などにも適用することができる。   The ion implantation mask forming method of the present invention is not limited to the insulated gate field effect transistor (MISFET) in the above embodiment, but also a bipolar transistor (BJT), a junction field effect transistor (JFET), and a static induction transistor (SIT). , Schottky junction gate field effect transistor (MESFET), insulated gate bipolar transistor (IGBT), single gate type static induction thyristor (SGSITH), and the like.

酸化膜,窒化膜などからなるイオン注入マスクの厚みは、一般的には1μm以上であるが、0.5μm以上のときに本発明を適用する意義がある。金属膜からなる中間マスクの厚みは、イオン注入マスクの0.1〜0.3倍程度であることが好ましい。   The thickness of an ion implantation mask made of an oxide film, a nitride film or the like is generally 1 μm or more, but it is meaningful to apply the present invention when the thickness is 0.5 μm or more. The thickness of the intermediate mask made of a metal film is preferably about 0.1 to 0.3 times that of the ion implantation mask.

本発明のイオン注入マスクの形成方法及び炭化珪素デバイスは、炭化珪素基板に導電型が相異なる不純物拡散層を有するデバイス、例えばバイポーラトランジスタ(BJT),接合型電界効果トランジスタ(JFET),静電誘導トランジスタ(SIT),絶縁ゲート型電界効果トランジスタ(MISFET),ショットキー接合ゲート型電界効果トランジスタ(MESFET),絶縁ゲート型バイポーラトランジスタ(IGBT),シングルゲート型静電誘導サイリスタ(SGSITH)などのデバイスやこれらを製造する際に利用することができる。   A method for forming an ion implantation mask and a silicon carbide device according to the present invention include a device having impurity diffusion layers of different conductivity types on a silicon carbide substrate, such as a bipolar transistor (BJT), a junction field effect transistor (JFET), or an electrostatic induction. Devices such as transistors (SIT), insulated gate field effect transistors (MISFET), Schottky junction gate field effect transistors (MESFET), insulated gate bipolar transistors (IGBT), single gate static induction thyristors (SGSITH) It can be used when manufacturing these.

本発明の実施形態に係るSiC基板を用いた蓄積型MISFET,かつ,二重注入型MISFETの構造を示す断面図である。It is sectional drawing which shows the structure of accumulation | storage type | mold MISFET using the SiC substrate which concerns on embodiment of this invention, and double injection type MISFET. (a)〜(e)は、実施形態の二重注入型MISFETの製造工程のうちの前半部分を示す断面図である。(A)-(e) is sectional drawing which shows the first half part in the manufacturing process of the double injection type MISFET of embodiment. (a)〜(e)は、実施形態の二重注入型MISFETの製造工程のうちの後半部分を示す断面図である。(A)-(e) is sectional drawing which shows the second half part in the manufacturing process of the double injection type MISFET of embodiment. 図2(c)又は図3(a)に示す工程におけるイオン注入前の各マスクの形状を示す断面図である。It is sectional drawing which shows the shape of each mask before the ion implantation in the process shown in FIG.2 (c) or FIG.3 (a).

符号の説明Explanation of symbols

1 SiC基板
2 高抵抗SiC層
3 pウェル領域
4 p+ コンタクト領域
5 チャネル層
6 ソース領域
7 ゲート絶縁膜
8 ソース電極
9 ドレイン電極
10 ゲート電極
11 p+コンタクト領域
21 二酸化珪素マスク(イオン注入マスク)
21x 二酸化珪素膜(第1の膜)
22 Alマスク(中間マスク)
22x Al膜
Re1 レジストマスク
24 イオン注入マスク
24x 二酸化珪素膜(第1の膜)
25 中間マスク
25x Al膜
Re1 レジストマスク
1 SiC substrate 2 High resistance SiC layer 3 p well region 4 p + contact region 5 channel layer 6 source region 7 gate insulating film 8 source electrode 9 drain electrode 10 gate electrode 11 p + contact region 21 silicon dioxide mask (ion implantation mask)
21x silicon dioxide film (first film)
22 Al mask (intermediate mask)
22x Al film Re1 Resist mask 24 Ion implantation mask 24x Silicon dioxide film (first film)
25 Intermediate mask 25x Al film Re1 resist mask

Claims (9)

炭化珪素デバイスの製造工程において使用されるイオン注入マスクの形成方法であって、
300℃以上の高温においてイオン注入マスクの機能を保持する材料からなる第1の膜を堆積する工程(a)と、
上記第1の膜の上に、上記第1の膜よりも薄い第2の膜を形成する工程(b)と、
上記第2の膜の上に、不純物拡散層形成領域の上方に位置する部分を開口したレジストマスクを形成する工程(c)と、
上記レジストマスクをエッチングマスクとして用い、上記第2の膜をパターニングして、上記第1の膜をパターニングするための中間マスクを形成する工程(d)と、
少なくとも上記中間マスクをエッチングマスクとして用いて、上記第1の膜をパターニングして、上記イオン注入マスクを形成する工程(e)と
を含むイオン注入マスクの形成方法。
A method for forming an ion implantation mask used in a manufacturing process of a silicon carbide device, comprising:
Depositing a first film made of a material that retains the function of an ion implantation mask at a high temperature of 300 ° C. or higher;
Forming a second film thinner than the first film on the first film (b);
Forming a resist mask having an opening in a portion located above the impurity diffusion layer formation region on the second film;
(D) forming an intermediate mask for patterning the first film by patterning the second film using the resist mask as an etching mask;
And (e) forming an ion implantation mask by patterning the first film using at least the intermediate mask as an etching mask.
請求項1に記載のイオン注入マスクの形成方法において、
上記工程(e)では、ドライエッチングにより第1の膜をパターニングする,イオン注入マスクの形成方法。
The method for forming an ion implantation mask according to claim 1,
In the step (e), the ion implantation mask is formed by patterning the first film by dry etching.
請求項1又は2に記載のイオン注入マスクの形成方法において、
上記工程(b)では、第2の膜として、上記工程(e)における第1の膜のエッチング速度よりも小さい材料からなる膜を形成する,イオン注入マスクの形成方法。
In the formation method of the ion implantation mask according to claim 1 or 2,
In the step (b), a method of forming an ion implantation mask, wherein a film made of a material smaller than the etching rate of the first film in the step (e) is formed as the second film.
請求項1〜3のうちいずれか1つに記載のイオン注入マスクの形成方法において、
上記工程(a)では、上記第1の膜として、酸化物又は窒化物からなる膜を形成する,イオン注入マスクの形成方法。
In the formation method of the ion implantation mask as described in any one of Claims 1-3,
In the step (a), a method of forming an ion implantation mask, wherein a film made of an oxide or a nitride is formed as the first film.
請求項1〜4のうちいずれか1つに記載のイオン注入マスクの形成方法において、
上記工程(b)では、上記第2の膜として金属膜を形成する,イオン注入マスクの形成方法。
In the formation method of the ion implantation mask as described in any one of Claims 1-4,
In the step (b), a method for forming an ion implantation mask, wherein a metal film is formed as the second film.
請求項5に記載のイオン注入マスクの形成方法において、
上記工程(b)では、上記金属膜としてAl膜を形成する,イオン注入マスクの形成方法。
In the formation method of the ion implantation mask according to claim 5,
In the step (b), an ion implantation mask is formed by forming an Al film as the metal film.
請求項1に記載のイオン注入マスクの形成方法において、
上記工程(d)では、ウェットエッチングにより、上記第2の膜をパターニングする,ことを特徴とする、イオン注入マスクの形成方法。
The method for forming an ion implantation mask according to claim 1,
In the step (d), the ion implantation mask is formed by patterning the second film by wet etching.
請求項1〜7のうちいずれか1つに記載のイオン注入マスクの形成方法において、
上記第2の膜は、上記工程(d)において上記第1の膜のエッチングが終了するまで残存するのに十分な厚みを有する,イオン注入マスクの形成方法。
In the formation method of the ion implantation mask according to any one of claims 1 to 7,
The method of forming an ion implantation mask, wherein the second film has a thickness sufficient to remain until etching of the first film is completed in the step (d).
少なくとも1つのイオン注入領域を有する炭化珪素デバイスにおいて、
上記イオン注入領域の底面における平坦部と側面との間の距離は、上記イオン注入領域の深さ以下である,炭化珪素デバイス。
In a silicon carbide device having at least one ion implantation region,
The silicon carbide device, wherein a distance between a flat portion and a side surface at a bottom surface of the ion implantation region is equal to or less than a depth of the ion implantation region.
JP2004218640A 2004-07-27 2004-07-27 Method for forming ion injection mask and silicon carbide device Pending JP2006041166A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2004218640A JP2006041166A (en) 2004-07-27 2004-07-27 Method for forming ion injection mask and silicon carbide device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004218640A JP2006041166A (en) 2004-07-27 2004-07-27 Method for forming ion injection mask and silicon carbide device

Publications (1)

Publication Number Publication Date
JP2006041166A true JP2006041166A (en) 2006-02-09

Family

ID=35905843

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004218640A Pending JP2006041166A (en) 2004-07-27 2004-07-27 Method for forming ion injection mask and silicon carbide device

Country Status (1)

Country Link
JP (1) JP2006041166A (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009535817A (en) * 2006-04-26 2009-10-01 インターナショナル レクティフィアー コーポレイション Mask structure for the manufacture of trench-type semiconductor devices
CN101901763A (en) * 2010-06-28 2010-12-01 启东吉莱电子有限公司 Production technology of controllable silicon
WO2013099785A1 (en) * 2011-12-26 2013-07-04 東レ株式会社 Photosensitive resin composition and process for producing semiconductor element
WO2015146749A1 (en) * 2014-03-26 2015-10-01 東レ株式会社 Method for manufacturing semiconductor device and semiconductor device
RU183901U1 (en) * 2018-07-16 2018-10-08 Закрытое акционерное общество "ГРУППА КРЕМНИЙ ЭЛ" MASK FOR IONIC ALLOYING SEMICONDUCTOR SILICON BASES ON SILICON CARBIDE
CN109841505A (en) * 2017-11-29 2019-06-04 株洲中车时代电气股份有限公司 A method of it promoting silicon carbide energetic ion and injects thick exposure mask steepness

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009535817A (en) * 2006-04-26 2009-10-01 インターナショナル レクティフィアー コーポレイション Mask structure for the manufacture of trench-type semiconductor devices
CN101901763A (en) * 2010-06-28 2010-12-01 启东吉莱电子有限公司 Production technology of controllable silicon
WO2013099785A1 (en) * 2011-12-26 2013-07-04 東レ株式会社 Photosensitive resin composition and process for producing semiconductor element
JPWO2013099785A1 (en) * 2011-12-26 2015-05-07 東レ株式会社 Photosensitive resin composition and method for producing semiconductor element
US9704724B2 (en) 2011-12-26 2017-07-11 Toray Industries, Inc. Photosensitive resin composition and method for producing semiconductor device
WO2015146749A1 (en) * 2014-03-26 2015-10-01 東レ株式会社 Method for manufacturing semiconductor device and semiconductor device
JP5846335B1 (en) * 2014-03-26 2016-01-20 東レ株式会社 Semiconductor device manufacturing method and semiconductor device
CN106133876A (en) * 2014-03-26 2016-11-16 东丽株式会社 The manufacture method of semiconductor device and semiconductor device
CN109841505A (en) * 2017-11-29 2019-06-04 株洲中车时代电气股份有限公司 A method of it promoting silicon carbide energetic ion and injects thick exposure mask steepness
RU183901U1 (en) * 2018-07-16 2018-10-08 Закрытое акционерное общество "ГРУППА КРЕМНИЙ ЭЛ" MASK FOR IONIC ALLOYING SEMICONDUCTOR SILICON BASES ON SILICON CARBIDE

Similar Documents

Publication Publication Date Title
JP6848317B2 (en) Semiconductor devices and methods for manufacturing semiconductor devices
US10199494B2 (en) Laterally diffused metal-oxide-semiconductor devices and fabrication methods thereof
US8754422B2 (en) Semiconductor device and process for production thereof
KR100886883B1 (en) Method for fabricating forward and reverse blocking devices
US5119153A (en) Small cell low contact resistance rugged power field effect devices and method of fabrication
JP5102411B2 (en) Semiconductor device and manufacturing method thereof
JP2006073740A (en) Semiconductor device and its manufacturing method
JP2009302091A (en) Silicon carbide semiconductor device, and method of manufacturing the same
JP7182850B2 (en) Silicon carbide semiconductor device and method for manufacturing silicon carbide semiconductor device
US9443926B2 (en) Field-stop reverse conducting insulated gate bipolar transistor and manufacturing method therefor
US9613809B2 (en) Method of manufacturing silicon carbide semiconductor device
JP2019003967A (en) Semiconductor device and method of manufacturing the same
JP2018110164A (en) Semiconductor device
JP2017152488A (en) Method of manufacturing compound semiconductor device, and compound semiconductor device
JP5677330B2 (en) Silicon carbide semiconductor device and manufacturing method thereof
JP2004303964A (en) Semiconductor device and method of manufacturing the same
US8222107B2 (en) Method for producing semiconductor element
JPH1126758A (en) Trench type mos semiconductor device and manufacture thereof
JP2005039257A (en) Semiconductor device and method for manufacturing the same
JP2005520319A (en) Symmetrical trench metal oxide semiconductor field effect transistor device and method for manufacturing the same
JPWO2012137412A1 (en) Semiconductor device
TWI702722B (en) Semiconductor device and method of manufacturing semiconductor device
JPH05251709A (en) Mos-fet for power having source-base short-circuitting part and producing method therefor
JP3968860B2 (en) Method for manufacturing silicon carbide semiconductor device
US5234851A (en) Small cell, low contact assistance rugged power field effect devices and method of fabrication