CN109841505A - A method of it promoting silicon carbide energetic ion and injects thick exposure mask steepness - Google Patents

A method of it promoting silicon carbide energetic ion and injects thick exposure mask steepness Download PDF

Info

Publication number
CN109841505A
CN109841505A CN201711222270.7A CN201711222270A CN109841505A CN 109841505 A CN109841505 A CN 109841505A CN 201711222270 A CN201711222270 A CN 201711222270A CN 109841505 A CN109841505 A CN 109841505A
Authority
CN
China
Prior art keywords
exposure mask
ion implanting
mask
thickness
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201711222270.7A
Other languages
Chinese (zh)
Inventor
王弋宇
吴佳
周正东
张文杰
刘�东
杨程
史晶晶
李诚瞻
吴煜东
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Zhuzhou CRRC Times Semiconductor Co Ltd
Original Assignee
Zhuzhou CRRC Times Electric Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Zhuzhou CRRC Times Electric Co Ltd filed Critical Zhuzhou CRRC Times Electric Co Ltd
Priority to CN201711222270.7A priority Critical patent/CN109841505A/en
Publication of CN109841505A publication Critical patent/CN109841505A/en
Pending legal-status Critical Current

Links

Landscapes

  • Drying Of Semiconductors (AREA)

Abstract

The present invention relates to a kind of methods that promotion silicon carbide energetic ion injects thick exposure mask steepness comprising following steps: S1, cleaning sic material surface;S2, on carbofrax material surface, deposition is enough to stop the first layer ion implanting thickness exposure mask of high energy high temperature tension;S3 is developed selective ion implanted regions window out using photoetching development technology in the even photoresist of first layer ion implanting thickness mask surface;S4 performs etching up to carbofrax material surface first layer ion implanting thickness exposure mask from selective ion implanted regions window;S5 removes photoresist;S6 deposits the thin exposure mask of second layer ion implanting;S7 carries out whole face etching to the thin exposure mask of second layer ion implanting, obtains the ion implanting thickness exposure mask that side wall is smooth, steep.The present invention can be obviously improved the steepness of ion implanting thickness exposure mask, and simplify ion implantation mask preparation process and removal technique.

Description

A method of it promoting silicon carbide energetic ion and injects thick exposure mask steepness
Technical field
The present invention relates to ion implantation mask technologies of preparing, and in particular to a kind of promotion silicon carbide energetic ion injection thickness is covered The method of film steepness.
Background technique
Carbofrax material has excellent physically and electrically characteristic, with forbidden bandwidth is big, breakdown electric field is high, saturated electrons The features such as drift speed is high, thermal conductivity is high, chemical property is stablized, becomes and makes high pressure resistant, high temperature resistant, high frequency, high power, anti-spoke The ideal semiconductor material of emitter part, has broad application prospects.
Since diffusivity is weak in carbofrax material for impurity, ion implanting is can uniquely to be formed in carbofrax material Choose the process of doping process.Need to realize the doping of micron level in the preparation process of silicon carbide power device, substantially On will carry out high energy, high temperature tension, the injection exposure mask needed is thicker, at the same need to inject exposure mask keep it is higher steep Property.
Mask technique is one of the important process in semiconductors manufacture, it is to carry out selective doping, protects the one of annealing Kind important method.Currently, there are two types of main ion implantation mask technologies.One is use metal mask, patent US2007/ 0032002A1 discloses a kind of Mo injection exposure mask, and Mo injection exposure mask can preferably shelter energetic ion injection, while metal is made For ion implantation mask, exposure mask is injected compared to general photoresist injection exposure mask or medium, exposure mask steepness is more preferable.But After the injection of high temperature energetic ion, the removal of metal mask is extremely difficult, needs to use special corrosive liquid, moreover, common metal Residual easily leads to device surface electric leakage, can seriously affect silicon carbide device performance.Another be using medium exposure mask, such as with Polysilicon, silica, Si3N4Make injection masking layer.When using single-layer medium ion implantation mask, usually made using photoresist Pattern transfer lower layer ion implantation mask is injected ions into for masking, but photoresist exposure mask itself is easy in dry etching mistake It is deformed in journey, the steepness after not can guarantee lower layer's ion implantation mask dry etching.Patent 201310570937.8 discloses A kind of technique of two-layered medium exposure mask does not use directly in the patent formula photoresist to carry out silica ions as masking Mask etching is injected, but redeposited one layer of amorphous silicon etching barrier layer on ion implantation mask, first pass through photoresist conduct Masking injects ions into pattern transfer to amorphous silicon etching barrier layer, then carries out dioxy using etching barrier layer as masking again The etching of SiClx ion implantation mask.Although the patented method can control the steepness of medium ionic injection exposure mask, but it is led It wants the disadvantage is that carry out the deposition, etching and removal of multilayer unlike material exposure mask, while requiring ion implantation mask material and quarter Erosion barrier material etching selection ratio is more demanding to thin film deposition processes, etching technics and etching process greater than 10 or more.
Therefore, presently, there are the problem of be the promotion silicon carbide energetic ion injection for being badly in need of researching and developing a kind of simple process The method of thick exposure mask steepness.
Summary of the invention
It is high that the technical problem to be solved by the present invention is to solve the above shortcomings of the prior art and to provide a kind of promotion silicon carbide The method of energy ion implantation mask steepness.This method uses bilayer mask technique, carries out for the first time first with photoresist exposure mask The etching of layer ion implanting thickness exposure mask, then removes photoresist, deposits the thin exposure mask of second layer ion implanting, and use dry etching The thin exposure mask of technique whole face etching of second layer ion implanting, the thin exposure mask of second layer ion implanting is only in first layer ion implanting after etching Retain side wall on thick mask sidewalls, this method can be obviously improved the steepness of first layer ion implanting thickness exposure mask, and simplify from Son injection exposure mask preparation process and removal technique.
For this purpose, the present invention provides a kind of methods that promotion silicon carbide energetic ion injects thick exposure mask steepness comprising Following steps:
S1, cleaning sic material surface;
S2, on carbofrax material surface, deposition is enough to stop the first layer ion implanting thickness of high energy high temperature tension to cover Film;
S3, in the even photoresist of first layer ion implanting thickness mask surface, using photoetching development technology develop out selectivity from Sub- injection zone window;
S4 carries out dry etching up to carbonization to first layer ion implanting thickness exposure mask from selective ion implanted regions window Silicon materials surface;
S5 removes photoresist;
S6, the surface on carbofrax material surface and first layer ion implanting thickness exposure mask exposed after step 4 etching and The thin exposure mask of side wall deposition second layer ion implanting;
S7 carries out whole face dry etching to the thin exposure mask of second layer ion implanting, so that the thin exposure mask of second layer ion implanting is only Retain side wall on first layer ion implanting thickness mask sidewalls, obtains the ion implanting thickness exposure mask that side wall is smooth, steep.
According to the present invention, in step sl, using standard RCA clean technique cleaning sic material surface.
According to the present invention, the deposition includes aumospheric pressure cvd (APCVD), low-pressure chemical vapor deposition (LPCVD) and one of plasma reinforced chemical vapour deposition (PECVD) or a variety of.
According to the present invention, the material of the first layer ion implanting thickness exposure mask is selected from polysilicon, SiO2And Si3N4In one Kind is a variety of.
According to the present invention, the first layer ion implanting thickness exposure mask with a thickness of 1-3 μm.
According to the present invention, the etching uses dry etching, and the etching gas that the preferably described dry etching uses is selected from CF4、SF6、C4F8、CHF3, HBr and Cl2One of or it is a variety of.
According to the present invention, the etching gas that the dry etching uses include auxiliary gas, the auxiliary gas selected from Ar, He and O2One of or it is a variety of.
According to the present invention, in step s 5, it is removed photoresist using dry method and removes photoresist.
According to the present invention, the material of the thin exposure mask of second layer ion implanting is selected from polysilicon, SiO2And Si3N4In one Kind is a variety of.
According to the present invention, the material of the thin exposure mask of second layer ion implanting and the first layer ion implanting thickness exposure mask Material is identical or not identical, preferably identical.
According to the present invention, the thin exposure mask of second layer ion implanting with a thickness of being less than or equal to 1 μm (cannot be 0).
According to the present invention, the first layer ion implanting thickness exposure mask mainly undertakes the masking action in ion implantation process; The thin exposure mask of second layer ion implanting is mainly used for promoting the sidewall of first layer ion implanting thickness exposure mask.
A kind of method for promoting silicon carbide energetic ion and injecting thick exposure mask steepness provided by the invention, is not limited to silicon carbide Material, it is equally applicable for the energetic ion injection exposure mask of Si material and other compound semiconductor materials.
A kind of method for promoting silicon carbide energetic ion and injecting thick exposure mask steepness provided by the invention, is not limited to be promoted single The steepness of layer ion implantation mask, it is equally applicable for multilayer ion implantation mask.
A kind of method for promoting silicon carbide energetic ion and injecting thick exposure mask steepness provided by the invention, is not limited to chemical gas The exposure mask of phase deposition method growth, it is same for the metal mask of PVD sputtering, photoresist exposure mask, thermal oxide exposure mask and graphite exposure mask Sample is applicable in.
Detailed description of the invention
It is next with reference to the accompanying drawing that invention is further described in detail.
Fig. 1 is the flow chart that the present invention promotes that silicon carbide energetic ion injects thick exposure mask steepness method.
Fig. 2 is the schematic diagram that the energetic ion in the method for the present invention injects thick exposure mask steepness lifting process.Wherein, (a) It is formed for first layer ion implanting thickness exposure mask, (b) is the thin mask deposition of second layer ion implanting, (c) be second layer ion implanting After thin exposure mask whole face etching removal, retain side wall;The meaning of appended drawing reference is as follows:
1- first layer ion implanting thickness exposure mask;The thin exposure mask of 2- second layer ion implanting;The thin ion note of the second layer after 3- etching Enter thin exposure mask residual side wall.
Fig. 3 is the visual angle conceptual illustration figure of first layer ion implanting thickness mask sidewalls.The meaning of appended drawing reference is as follows:
1- first layer ion implanting thickness exposure mask.
Fig. 4 is the SEM figure in the method for the present invention after the thin mask deposition of second layer ion implanting.
Fig. 5 is the SEM figure for the ion implanting thickness exposure mask that the method for the present invention is prepared.
Specific embodiment
To keep the present invention easier to understand, below in conjunction with embodiment and attached drawing, the present invention will be described in detail, these realities Apply example only serve it is illustrative, it is not limited to application range of the invention.
When in view of using single layer ion implantation mask, since photoresist exposure mask itself is easy to become during dry etching Shape, the steepness after not can guarantee lower layer's ion implantation mask dry etching;Existing bilayer mask technique, although can be promoted The steepness of ion implantation mask after dry etching, but asking there are the deposition of multilayer unlike material exposure mask, etching and removal Topic, more demanding to thin film deposition processes, etching technics and etching process, process exploitation cost, production cost, equipment cost are equal Higher, the present inventor has made intensive studies in ion implantation mask preparation field, proposes a kind of using novel double Layer ion implanting mask structure injects the method for thick exposure mask steepness to promote silicon carbide energetic ion, and simplifies ion implanting and cover Film preparation technique and removal technique, save the cost.The present invention is based on what above-mentioned discovery was made.
Therefore, a kind of method for promoting silicon carbide energetic ion and injecting thick exposure mask steepness according to the present invention, including Following steps:
S1, cleaning sic material surface;
S2, on carbofrax material surface, deposition is enough to stop the first layer ion implanting thickness of high energy high temperature tension to cover Film;
S3, in the even photoresist of first layer ion implanting thickness mask surface, using photoetching development technology develop out selectivity from Sub- injection zone window;
S4 carries out dry etching up to carbonization to first layer ion implanting thickness exposure mask from selective ion implanted regions window Silicon materials surface;
S5 removes photoresist;
S6, the surface on carbofrax material surface and first layer ion implanting thickness exposure mask exposed after step 4 etching and The thin exposure mask of side wall deposition second layer ion implanting;
S7 carries out whole face dry etching, the thin exposure mask of second layer ion implanting after etching to the thin exposure mask of second layer ion implanting Only retain side wall on second layer ion implanting thickness mask sidewalls, obtains the ion implanting thickness exposure mask that side wall is smooth, steep.
Above-mentioned promotion silicon carbide energetic ion injects in the method for thick exposure mask steepness, in step sl, using standard RCA Cleaning process cleaning sic material surface, and with being dried with nitrogen.
Above-mentioned promotion silicon carbide energetic ion injects in the method for thick exposure mask steepness, described in step sl and in S6 Deposition includes that aumospheric pressure cvd (APCVD), low-pressure chemical vapor deposition (LPCVD) and Plasma Enhanced Chemical Vapor are heavy One of product (PECVD) is a variety of.
Above-mentioned promotion silicon carbide energetic ion injects in the method for thick exposure mask steepness, and the first layer ion implanting thickness is covered The material of film is selected from polysilicon, SiO2、Si3N4One of or it is a variety of.
Above-mentioned promotion silicon carbide energetic ion injects in the method for thick exposure mask steepness, and the first layer ion implanting thickness is covered Film with a thickness of 1-3 μm;First layer ion implantation mask is thicker, mainly undertakes the masking action in ion implantation process.
Above-mentioned promotion silicon carbide energetic ion injects in the method for thick exposure mask steepness, in step S4 and S7, using dry Method etching, the preferably described dry etching are used using any one etching apparatus in RIE, ICP and ECR, the dry etching Etching gas be selected from CF4、SF6、C4F8、CHF3, HBr and Cl2One of or it is a variety of;The etching gas that the dry etching uses Body further includes auxiliary gas, and the auxiliary gas is selected from Ar, He and O2One of or it is a variety of.
Above-mentioned promotion silicon carbide energetic ion injects in the method for thick exposure mask steepness, in step s 5, is gone using dry method Glue removes photoresist, is cleaned and is dried up using standard RCA clean technique after removing photoresist.
Above-mentioned promotion silicon carbide energetic ion injects in the method for thick exposure mask steepness, and the second layer ion implanting is thin to be covered The material of film is selected from polysilicon, SiO2And Si3N4One of or it is a variety of;The material of the thin exposure mask of second layer ion implanting with The material of the first layer ion implanting thickness exposure mask is identical or not identical, preferably identical.
Above-mentioned promotion silicon carbide energetic ion injects in the method for thick exposure mask steepness, and the second layer ion implanting is thin to be covered Film with a thickness of 1 μm (cannot be 0) be less than or equal to, second layer ion implantation mask is relatively thin, is mainly used for promoting first layer ion Inject the sidewall of thick exposure mask.
The principle that the present invention promotes the thick exposure mask steepness of silicon carbide energetic ion injection is as follows: Fig. 3 is first layer ion note Enter the visual angle conceptual illustration figure of thick mask sidewalls.The point of first layer ion implanting thickness mask sidewalls is imitated due to geometrical morphology and shade The flow in limited view-angle coverage should be can only see, and the distribution of chemical vapor deposition processes gas flow is substantially each to same Property, i.e., gas flow is approximately equal in all directions, and therefore, the point at first layer ion implanting thickness mask sidewalls base angle comes from The flow of surface is less, compared with the flow in a certain angular range;Depth-to-width ratio is bigger simultaneously, thick mask sidewalls base angle The visual angle at place is also just smaller, and the thickness of deposition medium is also thinner.Fig. 2 shows that ion implanting thickness exposure mask steepness of the present invention is promoted The schematic diagram of process.In the thin mask deposition process of second layer ion implanting, at first layer ion implanting thickness mask sidewalls base angle The medium for locating deposition is relatively thin, and the medium in vertex deposition is thicker, and the thin exposure mask of the second layer is after whole face dry etching only the Retain side wall on one layer of ion implanting thickness mask sidewalls, so as to promote the steepness of first layer ion implanting thickness exposure mask.
Compared with prior art, the method tool provided by the invention for promoting silicon carbide energetic ion and injecting thick exposure mask steepness It has the advantages that:
1) in the present invention, the deposition and etching of the thin exposure mask of second layer ion implanting can effectively promote first layer ion note The steepness for entering thick exposure mask reduces the requirement to first layer ion implanting thickness exposure mask dry etching rear wall steepness, can be with The etching that photoresist carries out first layer ion implanting thickness exposure mask as masking is directlyed adopt, is greatly reduced to dry etch process Requirement;
2) two layers of ion implantation mask can use same media material in the present invention, reduce setting for thin film deposition processes Standby demand;
3) two layers of ion implantation mask can use same media material in the present invention, and use dry etching work of the same race Skill reduces the device requirement to dry etch process;
4) two layers ion implantation mask can use same media material in the present invention, and the removal of ion implantation mask can be with Using wet processing of the same race, the demand to ion implantation mask removal technique and equipment is reduced;
5) preparation process and removal technique for simplifying ion implantation mask in the present invention, reduce device requirement, save Cost.
Instrument of the present invention is as follows:
Sem analysis: being measured using Hitachi S5500, under 1KV acceleration voltage, resolution ratio 1.6nm.Embodiment
The method flow diagram such as Fig. 1 institute provided in this embodiment for promoting silicon carbide energetic ion and injecting thick exposure mask steepness Show, the method comprising the steps of S1-S7.
Step S1, using standard RCA clean carbofrax material surface organic matter, particle and metal impurities that may be present etc. Pollutant, and with being dried with nitrogen.
Step S2, with SiH4And N2O is raw material, uses PECVD in carbofrax material surface deposition thickness for 2 μm at 300 DEG C SiO2As first layer ion implanting thickness exposure mask.
Step S3, even 2 μm of photoresist in first layer ion implanting thickness mask surface, develop 1min, infuses in first layer ion Enter thick mask surface and forms selective ion implanted regions window.
Step S4 carries out dry method to first layer ion implanting thickness exposure mask from selective ion implanted regions window using RIE Etching is until carbofrax material surface;Etch technological condition are as follows: power: 550W, etching gas: 10sccm CF4And 90sccm Ar, pressure: 100mTorr, etch rate:
Step S5 removes photoresist using ICP equipment dry method, etch technological condition are as follows: power: 900W, etching gas: 5000sccm O2, pressure: 1.1Torr, etch rate: 5 μm/min;Then standard RCA clean is used, and with being dried with nitrogen.
Step S6, with Si (OC2H5)4(TEOS) it is raw material, uses LPCVD deposition thickness for 0.6 μm of SiO at 700 DEG C2 As the thin exposure mask of second layer ion implanting, in deposition region such as attached drawing 2 shown in (b).
Step S7 carries out whole face etching to the thin exposure mask of second layer ion implanting using RIE, etch technological condition are as follows: power: 550W, etching gas: 10sccm CF4And 90sccmAr, pressure: 100mTorr, etch rate:After etching Two layers of thin exposure mask of ion implanting only retain side wall on first layer ion implanting thickness mask sidewalls, and it is smooth, steep to obtain side wall Ion implanting thickness exposure mask, in attached drawing 2 shown in (c).
The SEM for the ion implantation mask being prepared schemes as shown in figure 5, from fig. 5, it can be seen that using the method for the present invention system Standby ion implantation mask side wall is smooth, steep.
It should be noted that embodiment described above for explaining only the invention, is not constituted to of the invention any Limitation.By referring to exemplary embodiments, invention has been described, it should be appreciated that word used in it is descriptive With explanatory vocabulary, rather than limited vocabulary.The present invention can be made within the scope of the claims by regulation Modification, and the present invention is revised in without departing substantially from scope and spirit of the present invention.Although the present invention described in it relates to And specific method, material and embodiment, it is not intended that the present invention is limited to particular case disclosed in it, on the contrary, this hair It is bright to can be extended to other all methods and applications with the same function.

Claims (10)

1. a kind of method for promoting silicon carbide energetic ion and injecting thick exposure mask steepness comprising following steps:
S1, cleaning sic material surface;
S2, on carbofrax material surface, deposition is enough to stop the first layer ion implanting thickness exposure mask of high energy high temperature tension;
S3 is developed selective ion note out using photoetching development technology in the even photoresist of first layer ion implanting thickness mask surface Enter regional window;
S4 performs etching up to carbofrax material table first layer ion implanting thickness exposure mask from selective ion implanted regions window Face;
S5 removes photoresist;
S6, on the surface and side on the carbofrax material surface and first layer ion implanting thickness exposure mask exposed after step S4 etching Wall deposits the thin exposure mask of second layer ion implanting;
S7 carries out whole face etching to the thin exposure mask of second layer ion implanting, so that the thin exposure mask of second layer ion implanting is only in first layer Retain side wall on ion implanting thickness mask sidewalls, obtains the ion implanting thickness exposure mask that side wall is smooth, steep.
2. the method according to claim 1, wherein in step sl, cleaning carbon using standard RCA clean technique Silicon nitride material surface.
3. method according to claim 1 or 2, which is characterized in that the deposition includes aumospheric pressure cvd, low pressure One of chemical vapor deposition and plasma reinforced chemical vapour deposition are a variety of.
4. method according to any one of claim 1-3, which is characterized in that the first layer ion implanting thickness exposure mask Material is selected from polysilicon, SiO2And Si3N4One of or it is a variety of.
5. method according to any of claims 1-4, which is characterized in that the first layer ion implanting thickness exposure mask With a thickness of 1-3 μm.
6. method according to any one of claims 1-5, which is characterized in that the etching uses dry etching, preferably The etching gas that the dry etching uses is selected from CF4、SF6、C4F8、CHF3, HBr and Cl2One of or it is a variety of.
7. method according to claim 1 to 6, which is characterized in that the etching gas that the dry etching uses Including assisting gas, the auxiliary gas is selected from Ar, He and O2One of or it is a variety of.
8. method according to any one of claims 1-7, which is characterized in that in step s 5, removed photoresist using dry method Except photoresist.
9. method according to claim 1 to 8, which is characterized in that the thin exposure mask of second layer ion implanting Material is selected from polysilicon, SiO2And Si3N4One of or it is a variety of.
10. method according to claim 1 to 9, which is characterized in that the thin exposure mask of second layer ion implanting With a thickness of be less than or equal to 1 μm.
CN201711222270.7A 2017-11-29 2017-11-29 A method of it promoting silicon carbide energetic ion and injects thick exposure mask steepness Pending CN109841505A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201711222270.7A CN109841505A (en) 2017-11-29 2017-11-29 A method of it promoting silicon carbide energetic ion and injects thick exposure mask steepness

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201711222270.7A CN109841505A (en) 2017-11-29 2017-11-29 A method of it promoting silicon carbide energetic ion and injects thick exposure mask steepness

Publications (1)

Publication Number Publication Date
CN109841505A true CN109841505A (en) 2019-06-04

Family

ID=66881722

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201711222270.7A Pending CN109841505A (en) 2017-11-29 2017-11-29 A method of it promoting silicon carbide energetic ion and injects thick exposure mask steepness

Country Status (1)

Country Link
CN (1) CN109841505A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113035705A (en) * 2019-12-25 2021-06-25 株洲中车时代半导体有限公司 Method for improving warping degree of silicon carbide wafer

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006041166A (en) * 2004-07-27 2006-02-09 Matsushita Electric Ind Co Ltd Method for forming ion injection mask and silicon carbide device
CN103560078A (en) * 2013-11-13 2014-02-05 中国科学院微电子研究所 Method for accurately controlling steepness when silicon carbide high-temperature ions are injected into mask
CN103578942A (en) * 2013-11-12 2014-02-12 中国科学院微电子研究所 Method for manufacturing silicon carbide high-temperature ion implantation mask with selectivity cut-off layer
CN103646876A (en) * 2013-12-30 2014-03-19 国家电网公司 SiC etching method of steep smooth side wall morphology
CN105023835A (en) * 2015-06-17 2015-11-04 泰科天润半导体科技(北京)有限公司 Dielectric mask making method, and method of using mask for etching or ion implantation

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006041166A (en) * 2004-07-27 2006-02-09 Matsushita Electric Ind Co Ltd Method for forming ion injection mask and silicon carbide device
CN103578942A (en) * 2013-11-12 2014-02-12 中国科学院微电子研究所 Method for manufacturing silicon carbide high-temperature ion implantation mask with selectivity cut-off layer
CN103560078A (en) * 2013-11-13 2014-02-05 中国科学院微电子研究所 Method for accurately controlling steepness when silicon carbide high-temperature ions are injected into mask
CN103646876A (en) * 2013-12-30 2014-03-19 国家电网公司 SiC etching method of steep smooth side wall morphology
CN105023835A (en) * 2015-06-17 2015-11-04 泰科天润半导体科技(北京)有限公司 Dielectric mask making method, and method of using mask for etching or ion implantation

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113035705A (en) * 2019-12-25 2021-06-25 株洲中车时代半导体有限公司 Method for improving warping degree of silicon carbide wafer
CN113035705B (en) * 2019-12-25 2024-05-28 株洲中车时代半导体有限公司 Method for improving warpage of silicon carbide wafer

Similar Documents

Publication Publication Date Title
US10468251B2 (en) Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
JP2020537351A (en) Multi-layer laminate to make 3D NAND expandable
US9543409B2 (en) Production of spacers at flanks of a transistor gate
US10453751B2 (en) Tone inversion method and structure for selective contact via patterning
US7462562B2 (en) Fabrication method of semiconductor device
US9583605B2 (en) Method of forming a trench in a semiconductor device
CN101459066A (en) Gate, shallow slot isolation region forming method and flattening method for silicon base etching surface
US10573529B2 (en) Method of etching a three-dimensional dielectric layer
CN107851577B (en) Substrate contact etching process
EP1933375A2 (en) Methods for Recess Etching
US11127840B2 (en) Method for manufacturing isolation structure for LDMOS
CN102446724B (en) Method for manufacturing gate electrode
TWI427705B (en) Method of removing nanoclusters in a semiconductor device
CN102969232A (en) Manufacture method of false gate of back gate process
US9443756B2 (en) Methods of forming a substrate opening
CN109841505A (en) A method of it promoting silicon carbide energetic ion and injects thick exposure mask steepness
US20140252589A1 (en) Charge Dissipation of Cavities
CN100350589C (en) Shallow trench isolation method forming round corners by cleaning
US9660058B2 (en) Method of FinFET formation
JP3094470B2 (en) Dry etching method
US6291353B1 (en) Lateral patterning
CN114121639A (en) Manufacturing method of smooth groove and smooth groove structure
US8030157B1 (en) Liner protection in deep trench etching
US11393689B2 (en) Method for forming spacers of a transistor
CN112563200B (en) Semiconductor device and method of forming the same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right

Effective date of registration: 20200924

Address after: 412001 Room 309, floor 3, semiconductor third line office building, Tianxin hi tech park, Shifeng District, Zhuzhou City, Hunan Province

Applicant after: Zhuzhou CRRC times Semiconductor Co.,Ltd.

Address before: The age of 412001 in Hunan Province, Zhuzhou Shifeng District Road No. 169

Applicant before: ZHUZHOU CRRC TIMES ELECTRIC Co.,Ltd.

TA01 Transfer of patent application right
RJ01 Rejection of invention patent application after publication

Application publication date: 20190604

RJ01 Rejection of invention patent application after publication