JP2005535965A - 複製されたロジックを使用するデバッグの方法とシステム - Google Patents

複製されたロジックを使用するデバッグの方法とシステム Download PDF

Info

Publication number
JP2005535965A
JP2005535965A JP2004527782A JP2004527782A JP2005535965A JP 2005535965 A JP2005535965 A JP 2005535965A JP 2004527782 A JP2004527782 A JP 2004527782A JP 2004527782 A JP2004527782 A JP 2004527782A JP 2005535965 A JP2005535965 A JP 2005535965A
Authority
JP
Japan
Prior art keywords
circuit
level netlist
register transfer
transfer level
logic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004527782A
Other languages
English (en)
Other versions
JP2005535965A5 (ja
JP4806529B2 (ja
Inventor
エヌジー,チュン・キット
マックエルバイン,ケネス・エス
Original Assignee
シンプリシティ・インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by シンプリシティ・インコーポレーテッド filed Critical シンプリシティ・インコーポレーテッド
Publication of JP2005535965A publication Critical patent/JP2005535965A/ja
Publication of JP2005535965A5 publication Critical patent/JP2005535965A5/ja
Application granted granted Critical
Publication of JP4806529B2 publication Critical patent/JP4806529B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/30Marginal testing, e.g. by varying supply voltage
    • G01R31/3016Delay or race condition test, e.g. race hazard test
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31705Debugging aspects, e.g. using test circuits for debugging, using dedicated debugging test circuits
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking

Abstract

複製されたロジックを使用するデバッグの方法および装置を提供する。回路のテキスト表現をコンパイルして、第1レジスタ・トランスファ・レベル(RTL)ネットリストを生成する。ネットリストを、フィールド・プログラマブル・ゲート・アレイ(FPGA)などのターゲット・アーキテクチャにマッピングすることができる。ネットリストを使用して、FPGAをプログラムして、デバッグ用のプロトタイプ基板を作成することができる。デバッグの後に、回路のうちで設計者が分析を望む部分を選択する。回路の選択された部分を、複製する。遅延回路を挿入して、回路の複製された部分への入力を遅延させる。回路のテキスト表現を再コンパイルして、第2RTLネットリストを生成する。第2RTLネットリストを、FPGAまたは特定用途向け集積回路(ASIC)などのターゲット・アーキテクチャにマッピングすることができる。

Description

本発明は、集積回路のデバッグの分野に関し、具体的には、複製された論理を使用する集積回路のデバッグに関する。
ディジタル回路の設計のために、設計者はコンピュータ支援技法を使用することが多い。複雑なディジタル回路の設計やシミュレーションを助けるために、ハードウエア記述言語(HDL)などの標準言語が、ディジタル回路を記述するために開発された。デバイス・テクノロジが進歩を続ける際に、さまざまな製品設計ツールが、より新しいデバイスや設計スタイルへの使用にHDLを適応させるために開発された。
HDLコードを記述し、コンパイルした後に、集積回路(IC)または複数のICを含むシステムの設計を、正しさについて検証しなければならない。処理テクノロジが継続的に進歩し、それに対応して設計のサイズや複雑さが急変することにより、特定用途向け集積回路(ASIC)などの複雑な回路設計では伝統的なシミュレーション・ツールと技法を使用して解決することが難しい検証についての課題が生じた。
その結果、一部の設計者は、ASIC設計を検証するために、フィールド・プログラマブル・ゲート・アレイ(FPGA)などの複数のICを使用してプロトタイプ基板を作るようになった。しかし、ハードウェア設計のデバッグに、まだ問題がある。デバッグ中にエラーが検出される時に、設計者は、回路から問題の信号をタップし、ロジック・アナライザを使用してエラーの原因を判定することを試みる。しかし、これは、難しい処理であり、しばしば、特に間欠的なエラーの場合に有効でない。既に発生したエラーは、しばしば、繰り返し、再構成することが難しい。
本発明は、複製されたロジックを使用するデバッグの方法および装置を提供する。本発明の一態様によれば、回路のテキスト表現をコンパイルして、第1レジスタ・トランスファ・レベル(RTL)ネットリスト(netlist)を生成する。第1RTLネットリストをフィールド・プログラマブル・ゲート・アレイ(FPGA)などのターゲット・アーキテクチャにマッピングして、回路をデバッグするためのプロトタイプ基板を作成することができる。デバッグの後に、設計者は、回路内の問題の領域を見つけることができる。設計者は、回路のこの部分を選択して、さらなる分析のために複製することができる。回路の選択された部分を複製する。遅延ロジックを挿入して、回路の複製された部分への入力を遅延させる。クロック制御回路を挿入して、回路の複製された部分へのクロックを一時停止できるようにする。回路のテキスト表現を再コンパイルして、第2RTLネットリストを生成する。第2RTLネットリストを、PFGAまたは特定用途向け集積回路(ASIC)などのターゲット・アーキテクチャにマッピングする。
本発明の一態様によれば、上記および他の方法を実行する装置が提供される。
本発明を、添付図面で制限ではなく例として示すが、図面では、類似する符号が類似する要素を指す。
複製されたロジックを使用するデバッグの方法と装置の実施形態を説明する。以下の説明では、本発明の実施形態の完全な理解のために多数の具体的な詳細を示す。しかし、当業者は、特定の詳細の1つまたは複数なしで、または他の方法、構成要素、材料などを用いて、本発明を実践できることを諒解するであろう。他の場合に、周知の構造、材料、または動作は、本発明の諸態様を不明瞭にしないように、詳細には図示せず、説明しない。
本明細書全体を通じて、「一実施形態」または「実施形態」への参照は、実施形態に関して説明される特徴、構造、または特性が、本発明の少なくとも1つの実施形態に含まれることを意味する。したがって、本明細書のさまざまな場所での句「一実施形態で」または「実施形態で」の出現は、必ずしもすべてが同一の実施形態を参照しない。さらに、特定の特徴、構造、または特性を、1つまたは複数の実施形態で適当な形で組み合わせることができる。
図1に、本発明の実施形態を実施するのに使用することができるコンピュータ・システム100のブロック図を示す。コンピュータ・システム100は、バス110を介してランダム・アクセス・メモリ(RAM)104、読取専用メモリ(ROM)106、大容量記憶デバイス108に結合されるプロセッサ102を含む。大容量記憶デバイス108は、フロッピ・ディスク・ドライブ、固定ディスク・ドライブ(たとえば磁気、光学、光磁気または類似物)、またはストリーミング・テープ・ドライブなどの永続的データ記憶デバイスを表す。プロセッサ102は、汎用プロセッサ、特殊目的プロセッサ、または特にプログラムされた論理デバイスで実施することができる。
表示デバイス112は、バス110を介してプロセッサ102に結合され、コンピュータ・システム100のグラフィカル出力を提供する。キーボード114やカーソル制御ユニット116が、情報とコマンド選択をプロセッサ102に通信するためにバス110に結合されている。やはりバス110を介してプロセッサ102に結合されているのが、入出力インターフェース118であり、この入出力インターフェース118は、コンピュータ・システム100に接続された電子デバイス(プリンタ、他のコンピュータなど)を制御し、それらにデータを転送するのに使用することができる。
図1のアーキテクチャが、例示のみのために提供されるものであり、本発明の実施形態と共に使用されるコンピュータが、この特定のアーキテクチャに制限されないことに留意されたい。
当業者が諒解するように、本発明の方法の実施形態を実現する内容、たとえばコンピュータ・プログラム命令を、カートリッジ、磁気カセット、フラッシュ・メモリ・カード、ディジタル・ビデオ・ディスク、ランダム・アクセス・メモリ(RAM)、読取専用メモリ(ROM)および類似物を含むがこれに制限されないメモリの一部としてまたはそれに加えて、システム100によってアクセス可能なデータを保管できる機械可読媒体によって提供することができる。これに関して、システム100は、当技術分野で周知の形でそのような機械可読媒体と通信する備えを有する。
さらに、本発明の方法の実施形態を実現する内容を、その内容を保管し、システム100にその内容を通信することができる外部デバイスからシステム100に供給できることを当業者は諒解するであろう。たとえば、一実施形態で、システム100を、ネットワークに接続することができ、内容を、ネットワーク内のいずれかのデバイスに保管することができる。
図2は、本発明の方法の実施形態を示す流れ図である。200で、回路のテキスト表現をコンパイルして、第1レジスタ・トランスファ(RTL)ネットリストを生成する。回路は、通常、回路の要素のハードウエア記述言語(HDL)のソース・コード記述を記述することによって、テキスト表現によって記述される。HDLソース・コードの記述は、文献に明瞭に記載されている。たとえば、Samir Palnithar著、「Verilog HDL:a Guide to Digital Design and Synthesis」、SunSoft Press、1996年と、J.Bhasher著、「A VHDL Synthesis Primer」、Star Galaxy Publishing、1996年を参照されたい。
テキスト表現がコンパイラに入力される。コンパイラの一例が、論理合成コンパイラであり、これは、通常は、汎用コンピュータ・システムで動作するコンピュータ・プログラムであるが、いくつかの実施形態で、コンピュータ・システムを専用の特殊目的コンピュータ・システムとすることができる。論理合成コンパイラの例が、米国カリフォルニア州サニーベールのSynplicity,Inc.社のプログラム「Synplify(商標)」である。コンパイルの後に、RTLネットリストが生成される。RTLネットリストには、通常は、相互接続されたレジスタと他のロジックが示されて、テキスト表現で記述された回路を介するデータの流れが示される。
本発明の一実施形態で、RTLネットリストがターゲット・アーキテクチャにマッピングされる。ターゲット・アーキテクチャは、通常は、集積回路(IC)の供給業者によって決定される。ターゲット・アーキテクチャの例が、Altera社、Lucent Technologies社、Advanced Micro Devices(AMD)社、およびLattice Semiconductor社などのベンダからの、フィールド・プログラマブル・ゲート・アレイ(FPGA)や複合プログラマブル・ロジック・デバイスである。マッピング動作によって、所望の回路のRTLレベル記述が、ターゲット・アーキテクチャの基本構成要素を使用して実施される同等の回路に変換される。テクノロジ固有ネットリストが生成される。その後、普通の配置・配線ソフトウェア・ツールを使用して、ターゲット・アーキテクチャでの回路の設計を作成することができる。
デバッグのために、IC設計者は、FPGAなどの複数のICを使用してプロトタイプ基板を作成して、設計を検証することができる。たとえば、コンパイル動作、マッピング動作、配置・配線動作の後に、回路をFPGAにプログラムして、設計のプロトタイプを作成することができる。その後、FPGAをテストして、設計の問題領域を判定することができる。
設計の問題領域が見つかったときに、設計者は、回路のうちの複製される部分を選択して、その問題をさらに分析することができる。202で、回路の部分を選択する。204で、回路の選択された部分を複製する。この複製には、回路の選択された部分の、ロジック要素、入力信号、出力信号の複製を含めることができる。
本発明の一実施形態ではクロック信号も複製される。クロック制御ロジックが、クロック信号を制御するために挿入される。クロック制御ロジックを用いると、ある条件が存在する時に、複製されたロジックの実行を停止させるために、複製された論理ブロックへのクロックを一時停止できるようになり、エラーを分析するための、複製されたロジックを介するシングル・ステッピングが可能になる。設計者は、ある条件が存在する時に回路の複製された部分へのクロックを一時停止するブレークポイントを選択することができる。たとえば、設計者は、クロックを一時停止させる出力または入力の値を選択することができる。これによって、設計者は、ある問題条件が存在する時の選択されたロジックをより注意深く分析できるようになる。
206で、回路の複製された部分への入力を遅延させるために、遅延ロジックを挿入する。遅延の長さは回路設計者が選択することができる。遅延ロジックを用いると、回路の複製された部分で後にエラーが再現されるので、エラーが見られた後に、分析される回路の選択された部分で観察されるエラーを発生させることが可能になる。
208で、回路のテキスト表現を再コンパイルして、第2RTLネットリストを生成する。その後、第2RTLネットリストを使用してマッピング動作および配置配線動作を実行して、FPGAなどのターゲット・アーキテクチャで回路を実装することができる。本発明の一実施形態では、合成動作を実行して、第2RTLネットリストから特定用途向け集積回路(ASIC)を生成する。回路設計者が設計の問題領域を分析できるようにする、複製されたロジックを有する回路が作られる。
図3に、本発明の実施形態を実装する回路部分300の例を示す。論理ブロック302は、オリジナルIC設計の回路の部分である。オリジナルIC設計のデバッグによって、論理ブロック302に関する問題が明らかになった。したがって、オリジナル論理ブロック302が選択され、複製されて、その問題のさらなる分析が可能になった。オリジナル論理ブロック302は、複製された論理ブロック304を作るために複製される。オリジナル論理ブロック302からの出力308は、複製された出力310を作るために複製される。入力306も複製することができる。
遅延ロジック312が、複製された論理ブロック304への入力306を遅延させるために挿入される。遅延ロジックは、入力306がオリジナル論理ブロック302に達する時より後に、複製された論理ブロック304に達するようにする、インバータなどの通常の回路ロジックおよび要素を含む。このようにすることで、複製された論理ブロックではエラーが後で現れるので、オリジナル論理ブロックでエラーが発生するのを見た後に、エラーを分析することができる。
クロック制御ロジック314が、複製された論理ブロック304へのクロック信号322を制御するために挿入される。クロック制御ロジック314には、ある条件が存在する時に、複製されたロジックの実行を停止するために、複製された論理ブロック304へのクロック322を一時停止できるようにする通常のロジックと回路要素が含まれる。クロック制御ロジック314は、エラーを分析するために、クロックバイクロックをベースにして複製されたロジックのシングル・ステップ・スルーも可能にすることができる。ブレークポイント318をセットして、ある条件が存在する時にクロックを一時停止させることができる。
図4に、本発明の実施形態によるクロック制御ロジック314の例を示す。通常動作中に、回路をクロックするシステム・クロック316が、ラッチ400を通って流れ、複製された論理ブロック304へのクロック322として働く。ブレークポイント318は、クロック322をシステム・クロック316のラッチされたバージョンに切り替える。このラッチされたバージョンは、クロック322を一時停止し、サイクルバイサイクルをベースにしてシングルステップできるようにするために、クロック制御信号320によって制御することができる。
したがって、複製されたロジックを使用するデバッグの方法と装置の実施形態を説明した。要約で説明されたものを含む本発明の例示的実施形態の上の説明は、網羅的であることまたは開示された正確な形態に本発明を制限することを意図されたものではない。本発明の特定の実施形態と本発明の例を、例示のために本明細書で説明したが、当業者が諒解するように、さまざまな同等の修正形態が、本発明の範囲内で可能である。これらの修正形態は、上の詳細な説明に鑑みて本発明に対して行うことができる。請求の範囲で使用される言葉は、本明細書と請求項で開示される特定の実施形態に本発明を制限するものと解釈されてはならない。そうではなく、本発明の範囲は、完全に請求項によって決定されねばならず、請求項は請求項解釈の確立された原則に従って解釈されなければならない。
本発明の実施形態を実施するのに使用することができるコンピュータ・システムを示すブロック図である。 本発明の方法の実施形態を示す流れ図である。 本発明の実施形態を実施する回路部分の例を示す図である。 本発明の実施形態によるクロック制御ロジックの例を示す図である。

Claims (27)

  1. 第1レジスタ・トランスファ・レベル・ネットリストを生成するために回路のテキスト表現をコンパイルし、
    前記回路の前記テキスト表現の一部を選択し、
    前記回路の前記選択された部分を複製し、
    前記回路の前記複製された部分への入力を遅延させるために遅延ロジックを挿入し、
    第2レジスタ・トランスファ・レベル・ネットリストを生成するために前記回路の前記テキスト表現を再コンパイルすることを含む方法。
  2. 前記テキスト表現がハードウェア記述言語で記述される請求項1に記載の方法。
  3. 前記回路の前記選択された部分を複製することが、前記回路の前記選択された部分へのクロック信号を複製することを含む請求項1に記載の方法。
  4. 前記回路の前記複製された部分の実行を一時停止することを可能にするために、前記回路の前記複製された部分への前記クロック信号を制御するロジックを挿入することをさらに含む請求項3に記載の方法。
  5. 前記第1レジスタ・トランスファ・レベル・ネットリストを選択されたテクノロジ・アーキテクチャにマッピングすることをさらに含む請求項1に記載の方法。
  6. 前記選択されたテクノロジ・アーキテクチャで前記回路を実装するために、配置・配線動作を実行することをさらに含む請求項5に記載の方法。
  7. 前記第1レジスタ・トランスファ・レベル・ネットリストをプログラマブル・ハードウェア・デバイスにプログラムすることをさらに含む請求項6に記載の方法。
  8. 前記プログラマブル・ハードウェア・デバイスを用いて前記回路のプロトタイプをテストすることをさらに含む請求項7に記載の方法。
  9. 前記プログラマブル・ハードウェア・デバイスが、フィールド・プログラマブル・ゲート・アレイである請求項7に記載の方法。
  10. 前記第2レジスタ・レベル・ネットリストをプログラマブル・ハードウェア・デバイスにプログラムすることをさらに含む請求項1に記載の方法。
  11. 前記第2レジスタ・トランスファ・レベル・ネットリストから特定用途向け集積回路を生成することをさらに含む請求項1に記載の方法。
  12. 複数の論理要素と、
    前記論理要素の1つまたは複数の複製と、
    前記回路の前記複製された部分への入力を遅延させるために前記回路の前記複製された部分に結合された遅延ロジックと、
    前記回路の前記複製された部分の実行を一時停止することを可能にするために前記回路の前記複製された部分に結合されたクロック制御ロジックと
    を含む集積回路。
  13. 前記クロック制御ロジックが、前記回路の前記複製された部分を一時停止させるブレークポイントを含む請求項12に記載の集積回路。
  14. 前記クロック制御ロジックが、クロックごとの基礎で前記回路の前記複製された部分を実行することを可能にするロジックをさらに含む請求項12に記載の集積回路。
  15. 前記クロック制御ロジックがラッチを含む請求項12に記載の集積回路。
  16. 複数の実行可能命令を含む機械可読媒体であって、前記複数の実行可能命令は、ディジタル処理システムで実行される時に、前記ディジタル処理システムに、
    第1レジスタ・トランスファ・レベル・ネットリストを生成するために回路のテキスト表現をコンパイルさせ、
    前記回路の前記テキスト表現の一部を選択させ、
    前記回路の前記選択された部分を複製させ、
    前記回路の前記複製された部分への入力を遅延させるために遅延ロジックを挿入させ、
    第2レジスタ・トランスファ・レベル・ネットリストを生成するために前記回路の前記テキスト表現を再コンパイルすることとを含む方法を実行させる機械可読媒体。
  17. 前記方法が、さらに、前記第1レジスタ・トランスファ・レベル・ネットリストを選択されたテクノロジ・アーキテクチャにマッピングすることを含む請求項16に記載の機械可読媒体。
  18. 前記方法が、さらに、前記選択されたテクノロジ・アーキテクチャで前記回路を実装するために配置・配線動作を実行することを含む請求項17に記載の機械可読媒体。
  19. 前記方法が、さらに、前記第1レジスタ・トランスファ・レベル・ネットリストをプログラマブル・ハードウェア・デバイスにプログラムすることを含む請求項16に記載の機械可読媒体。
  20. 前記方法が、さらに、前記第2レジスタ・トランスファ・レベル・ネットリストをプログラマブル・ハードウェア・デバイスにプログラムすることを含む請求項16に記載の機械可読媒体。
  21. 前記方法が、さらに、前記第2レジスタ・トランスファ・レベル・ネットリストから特定用途向け集積回路を生成することを含む請求項16に記載の機械可読媒体。
  22. バスと、
    前記バスに結合されたデータ記憶デバイスと、
    前記データ記憶デバイスに結合されたプロセッサであって、前記プロセッサによって実行される時に、前記プロセッサが、
    第1レジスタ・トランスファ・レベル・ネットリストを生成するために回路のテキスト表現をコンパイルし、
    前記回路の前記テキスト表現の一部を選択し、
    前記回路の前記選択された部分を複製し、
    前記回路の前記複製された部分への入力を遅延させるために遅延ロジックを挿入し、
    第2レジスタ・トランスファ・レベル・ネットリストを生成するために前記回路の前記テキスト表現を再コンパイルすることを含む方法を実行させる命令を受け取るように動作するプロセッサと
    を含むコンピュータ・システム。
  23. 前記方法が、さらに、前記第1レジスタ・トランスファ・レベル・ネットリストおよび前記第2レジスタ・トランスファ・レベル・ネットリストを選択されたテクノロジ・アーキテクチャにマッピングすることを含む請求項22に記載のコンピュータ・システム。
  24. 前記方法が、さらに、前記選択されたテクノロジ・アーキテクチャで前記回路を実装するために配置・配線動作を実行することを含む請求項23に記載のコンピュータ・システム。
  25. 前記方法が、さらに、前記第1レジスタ・トランスファ・レベル・ネットリストをプログラマブル・ハードウェア・デバイスにプログラムすることを含む請求項22に記載のコンピュータ・システム。
  26. 前記方法が、さらに、前記第2レジスタ・トランスファ・レベル・ネットリストをプログラマブル・ハードウェア・デバイスにプログラムすることを含む請求項22に記載のコンピュータ・システム。
  27. 前記方法が、さらに、前記第2レジスタ・トランスファ・レベル・ネットリストから特定用途向け集積回路を生成することを含む請求項22に記載のコンピュータ・システム。
JP2004527782A 2002-08-09 2003-08-05 複製されたロジックを使用するデバッグの方法とシステム Expired - Lifetime JP4806529B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/215,869 2002-08-09
US10/215,869 US6904576B2 (en) 2002-08-09 2002-08-09 Method and system for debugging using replicated logic
PCT/US2003/024601 WO2004015596A2 (en) 2002-08-09 2003-08-05 Method and system for debugging using replicated logic

Publications (3)

Publication Number Publication Date
JP2005535965A true JP2005535965A (ja) 2005-11-24
JP2005535965A5 JP2005535965A5 (ja) 2006-09-28
JP4806529B2 JP4806529B2 (ja) 2011-11-02

Family

ID=31494954

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004527782A Expired - Lifetime JP4806529B2 (ja) 2002-08-09 2003-08-05 複製されたロジックを使用するデバッグの方法とシステム

Country Status (7)

Country Link
US (2) US6904576B2 (ja)
EP (1) EP1546947B1 (ja)
JP (1) JP4806529B2 (ja)
AT (1) ATE365349T1 (ja)
AU (1) AU2003261401A1 (ja)
DE (1) DE60314530T2 (ja)
WO (1) WO2004015596A2 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010527476A (ja) * 2007-05-09 2010-08-12 シノプシス インコーポレイテッド 自動回路設計及びシミュレーションに使用するための技術
JP2010531000A (ja) * 2007-05-09 2010-09-16 シノプシス インコーポレイテッド 回路エミュレーションの入力及び遅延入力のマルチプレクシング
JP2010531001A (ja) * 2007-05-09 2010-09-16 シノプシス インコーポレイテッド 自動回路設計及びシミュレーションに使用するための技術
JP2010531002A (ja) * 2007-05-09 2010-09-16 シノプシス インコーポレイテッド 自動回路設計及びシミュレーションに使用するための技術

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7222315B2 (en) * 2000-11-28 2007-05-22 Synplicity, Inc. Hardware-based HDL code coverage and design analysis
US7398445B2 (en) * 2002-08-09 2008-07-08 Synplicity, Inc. Method and system for debug and test using replicated logic
US6904576B2 (en) * 2002-08-09 2005-06-07 Synplicity, Inc. Method and system for debugging using replicated logic
US7213216B2 (en) * 2002-08-09 2007-05-01 Synplicity, Inc. Method and system for debugging using replicated logic and trigger logic
DE10345150B3 (de) * 2003-09-29 2005-04-14 Advanced Micro Devices, Inc., Sunnyvale Verfahren, Vorrichtung und System zum Analysieren digitaler Schaltungen
US7134104B2 (en) * 2003-12-05 2006-11-07 International Business Machines Corporation Method of selectively building redundant logic structures to improve fault tolerance
US7373631B1 (en) * 2004-08-11 2008-05-13 Altera Corporation Methods of producing application-specific integrated circuit equivalents of programmable logic
US7487473B2 (en) * 2006-09-12 2009-02-03 International Business Machines Corporation Enabling netlist for modeling of technology dependent BEOL process variation
US7657851B2 (en) * 2007-06-29 2010-02-02 International Business Machines Corporation Device, system, and method for correction of integrated circuit design
US8181135B2 (en) * 2009-08-27 2012-05-15 International Business Machines Corporation Hold transition fault model and test generation method
US8638792B2 (en) 2010-01-22 2014-01-28 Synopsys, Inc. Packet switch based logic replication
US8397195B2 (en) * 2010-01-22 2013-03-12 Synopsys, Inc. Method and system for packet switch based logic replication
US8924788B2 (en) * 2010-06-28 2014-12-30 Intel Corporation Replaying architectural execution with a probeless trace capture
US8886507B2 (en) 2011-07-13 2014-11-11 General Electric Company Methods and systems for simulating circuit operation
WO2014052936A1 (en) * 2012-09-28 2014-04-03 Arteris SAS Automatic safety logic insertion
CN106886210B (zh) * 2017-01-04 2019-03-08 北京航天自动控制研究所 基于序列触发拍照的火工品时序测试装置
US10409994B1 (en) 2017-03-01 2019-09-10 National Technology & Engineering Solutions Of Sandia, Llc FPGA/ASIC framework and method for requirements-based trust assessment
CN110988662B (zh) * 2019-12-09 2022-08-02 上海国微思尔芯技术股份有限公司 一种基于fpga原型验证开发板的信号调试系统及方法
CN113608491B (zh) * 2021-07-16 2022-09-02 广东财经大学 一种编译延时逻辑原理图到字节码方法
CN116108783B (zh) * 2023-04-04 2023-07-18 上海思尔芯技术股份有限公司 分块逻辑时序分析建模及处理方法、系统、设备及介质

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0358365B1 (en) * 1988-09-07 1998-10-21 Texas Instruments Incorporated Testing buffer/register
US5056094A (en) * 1989-06-09 1991-10-08 Texas Instruments Incorporated Delay fault testing method and apparatus
US5272390A (en) * 1991-09-23 1993-12-21 Digital Equipment Corporation Method and apparatus for clock skew reduction through absolute delay regulation
US5452239A (en) * 1993-01-29 1995-09-19 Quickturn Design Systems, Inc. Method of removing gated clocks from the clock nets of a netlist for timing sensitive implementation of the netlist in a hardware emulation system
US5706473A (en) 1995-03-31 1998-01-06 Synopsys, Inc. Computer model of a finite state machine having inputs, outputs, delayed inputs and delayed outputs
JPH1010196A (ja) 1996-06-21 1998-01-16 Hitachi Ltd 論理エミュレーション装置
JPH10232890A (ja) * 1996-10-28 1998-09-02 Altera Corp プログラマブル論理回路のための組み込み式論理アナライザー
US6014510A (en) * 1996-11-27 2000-01-11 International Business Machines Corporation Method for performing timing analysis of a clock circuit
JPH10177590A (ja) 1996-12-18 1998-06-30 Toshiba Corp 論理回路モデルのデバッグ装置およびデバッグ方法
US5923676A (en) * 1996-12-20 1999-07-13 Logic Vision, Inc. Bist architecture for measurement of integrated circuit delays
US6286128B1 (en) * 1998-02-11 2001-09-04 Monterey Design Systems, Inc. Method for design optimization using logical and physical information
CN1331079C (zh) 1998-09-30 2007-08-08 凯登丝设计系统公司 基于块的设计方法
US6519754B1 (en) * 1999-05-17 2003-02-11 Synplicity, Inc. Methods and apparatuses for designing integrated circuits
US6438735B1 (en) * 1999-05-17 2002-08-20 Synplicity, Inc. Methods and apparatuses for designing integrated circuits
JP2003503791A (ja) * 1999-06-26 2003-01-28 セイ−ヤン ヤン、 入出力探針装置及びこれを用いた入出力探針方法と、これを基盤とする混合エミュレーション/シミュレーション方法
KR20010006983A (ko) 1999-06-26 2001-01-26 양세양 신속 프로토타이핑 장치와 그것의 입출력 탐침방법 및그것을 이용한 혼합 검증 방법
KR100710972B1 (ko) 1999-06-26 2007-04-24 양세양 혼합된 에뮬레이션과 시뮬레이션이 가능한 혼합 검증 장치및 이를 이용한 혼합 검증 방법
EP1236222B1 (en) 1999-11-29 2003-07-09 Cellot Inc. Universal hardware device and method and tools for use therewith
US7065481B2 (en) * 1999-11-30 2006-06-20 Synplicity, Inc. Method and system for debugging an electronic system using instrumentation circuitry and a logic analyzer
DE10030349A1 (de) * 2000-06-20 2002-01-10 Kuratorium Offis E V Verfahren zum Analysieren der Verlustleistung bzw. der Energieaufnahme einer elektrischen Schaltung bzw. eines elektrischen Bauelementes
US6725406B2 (en) * 2001-01-09 2004-04-20 Intel Corporation Method and apparatus for failure detection utilizing functional test vectors and scan mode
US6634011B1 (en) * 2001-02-15 2003-10-14 Silicon Graphics, Inc. Method and apparatus for recording program execution in a microprocessor based integrated circuit
US7191373B2 (en) * 2001-03-01 2007-03-13 Syntest Technologies, Inc. Method and apparatus for diagnosing failures in an integrated circuit using design-for-debug (DFD) techniques
US6516449B2 (en) * 2001-04-02 2003-02-04 Sun Microsystems, Inc. Methodology to create integrated circuit designs by replication maintaining isomorphic input output and fault behavior
US6580299B2 (en) * 2001-04-05 2003-06-17 Parthus Ireland Limited Digital circuit for, and a method of, synthesizing an input signal
US6530073B2 (en) * 2001-04-30 2003-03-04 Lsi Logic Corporation RTL annotation tool for layout induced netlist changes
KR100794916B1 (ko) 2001-09-14 2008-01-14 양세양 에뮬레이션과 시뮬레이션을 혼용한 점진적 설계 검증을위한 설계검증 장치 및 이를 이용한 설계 검증 방법
JP2003099495A (ja) * 2001-09-25 2003-04-04 Fujitsu Ltd 集積回路の設計システム、集積回路の設計方法およびプログラム
US6651227B2 (en) * 2001-10-22 2003-11-18 Motorola, Inc. Method for generating transition delay fault test patterns
US6687882B1 (en) * 2002-01-31 2004-02-03 Synplicity, Inc. Methods and apparatuses for non-equivalence checking of circuits with subspace
JP2003337845A (ja) 2002-05-21 2003-11-28 Matsushita Electric Ind Co Ltd エミュレーション装置、及び、エミュレーション方法
US7213216B2 (en) * 2002-08-09 2007-05-01 Synplicity, Inc. Method and system for debugging using replicated logic and trigger logic
US6904576B2 (en) * 2002-08-09 2005-06-07 Synplicity, Inc. Method and system for debugging using replicated logic
US7398445B2 (en) * 2002-08-09 2008-07-08 Synplicity, Inc. Method and system for debug and test using replicated logic
JP2004280426A (ja) 2003-03-14 2004-10-07 Mitsubishi Electric Corp 論理集積回路の内部信号トレース装置
US7266489B2 (en) * 2003-04-28 2007-09-04 International Business Machines Corporation Method, system and program product for determining a configuration of a digital design by reference to an invertible configuration database
US7055117B2 (en) * 2003-12-29 2006-05-30 Agere Systems, Inc. System and method for debugging system-on-chips using single or n-cycle stepping

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010527476A (ja) * 2007-05-09 2010-08-12 シノプシス インコーポレイテッド 自動回路設計及びシミュレーションに使用するための技術
JP2010531000A (ja) * 2007-05-09 2010-09-16 シノプシス インコーポレイテッド 回路エミュレーションの入力及び遅延入力のマルチプレクシング
JP2010531001A (ja) * 2007-05-09 2010-09-16 シノプシス インコーポレイテッド 自動回路設計及びシミュレーションに使用するための技術
JP2010531002A (ja) * 2007-05-09 2010-09-16 シノプシス インコーポレイテッド 自動回路設計及びシミュレーションに使用するための技術

Also Published As

Publication number Publication date
WO2004015596A3 (en) 2004-06-03
WO2004015596A2 (en) 2004-02-19
DE60314530T2 (de) 2008-02-14
US20040030999A1 (en) 2004-02-12
US7962869B2 (en) 2011-06-14
AU2003261401A1 (en) 2004-02-25
DE60314530D1 (de) 2007-08-02
US20080270958A1 (en) 2008-10-30
US6904576B2 (en) 2005-06-07
ATE365349T1 (de) 2007-07-15
EP1546947B1 (en) 2007-06-20
EP1546947A2 (en) 2005-06-29
JP4806529B2 (ja) 2011-11-02

Similar Documents

Publication Publication Date Title
JP4806529B2 (ja) 複製されたロジックを使用するデバッグの方法とシステム
JP4989629B2 (ja) 複製ロジック及びトリガロジックを用いたデバッグのための方法及びシステム
JP5039698B2 (ja) 複製されたロジックを使用するデバッグ及びテスト方法並びにシステム
Cerny et al. SVA: the power of assertions in systemVerilog
US20040122644A1 (en) Optimized execution of software objects generated from a hardware description
US8650513B2 (en) Reducing x-pessimism in gate-level simulation and verification
TWI464679B (zh) 執行硬體描述語言程式碼之方法
US7210109B2 (en) Equivalence checking of scan path flush operations
KR20080055913A (ko) 집적회로 디자인 시뮬레이션을 위한 어써션의 개발 방법 및시스템과 장치
US7454726B2 (en) Technique for generating input stimulus to cover properties not covered in random simulation
Gong et al. Modeling dynamically reconfigurable systems for simulation-based functional verification
US7502728B1 (en) Code coverage testing in hardware emulation
Huggi et al. Design and verification of memory elements using python
Ecker et al. Impact of description language, abstraction layer, and value representation on simulation performance
Jayadevappa et al. EXPERIENCES OF MODELING SOFT IP’S AT HIGH LEVEL OF ABSTRACTION USING SYSTEMC: A CASE STUDY
LAHTINEN et al. Verification of an IP interface prototype design through simulation and emulation
Bening et al. The Bad Stuff
Bergeron Simulation Management
Verifiable Principles of Verifiable RTL Design

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060807

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060807

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090512

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090807

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090908

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100108

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20100120

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20100219

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20100910

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20100910

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110606

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110609

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110704

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110815

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4806529

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140819

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term