JP2005518670A - Method and composition for polishing a substrate - Google Patents

Method and composition for polishing a substrate Download PDF

Info

Publication number
JP2005518670A
JP2005518670A JP2003571365A JP2003571365A JP2005518670A JP 2005518670 A JP2005518670 A JP 2005518670A JP 2003571365 A JP2003571365 A JP 2003571365A JP 2003571365 A JP2003571365 A JP 2003571365A JP 2005518670 A JP2005518670 A JP 2005518670A
Authority
JP
Japan
Prior art keywords
acid
volume
composition
group
weight
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003571365A
Other languages
Japanese (ja)
Other versions
JP2005518670A5 (en
Inventor
フェン, キュー. リュ,
スタン, ディー. ツァイ,
ヨンキー フー,
シュー, エス. ネオ,
ヤン ワン,
アレン ダブスト,
リャン−ユー チェン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2005518670A publication Critical patent/JP2005518670A/en
Publication of JP2005518670A5 publication Critical patent/JP2005518670A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23HWORKING OF METAL BY THE ACTION OF A HIGH CONCENTRATION OF ELECTRIC CURRENT ON A WORKPIECE USING AN ELECTRODE WHICH TAKES THE PLACE OF A TOOL; SUCH WORKING COMBINED WITH OTHER FORMS OF WORKING OF METAL
    • B23H5/00Combined machining
    • B23H5/06Electrochemical machining combined with mechanical working, e.g. grinding or honing
    • B23H5/08Electrolytic grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • H01L21/32125Planarisation by chemical mechanical polishing [CMP] by simultaneously passing an electrical current, i.e. electrochemical mechanical polishing, e.g. ECMP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only

Abstract

基板表面から導電材料を除去するための研磨組成物及び方法が提供される。一態様においては、組成物は、酸ベースの電解液系と、1種以上のキレート化剤と、1種以上の腐食防止剤と、1種以上の無機酸塩又は有機酸塩と、1種以上のpH約3〜約10を与えるpH調整剤と、研磨粒子、1種以上の酸化剤、及びその組合せより選ばれた研磨促進材料と溶媒とを含んでいる。該組成物は、電極を含むプロセス装置において導電材料層がその上に形成された基板を配置するステップと、該電極と基板との間に該組成物を供給するステップと、該電極と該基板との間に電位差を与えるステップと、該導電材料層から導電材料を供給するステップとを含む導電材料除去プロセスに用いることができる。Polishing compositions and methods for removing conductive material from a substrate surface are provided. In one aspect, the composition comprises an acid-based electrolyte system, one or more chelating agents, one or more corrosion inhibitors, one or more inorganic acid salts or organic acid salts, and one type. It includes a pH adjusting agent that gives a pH of about 3 to about 10, a polishing particle, one or more oxidizing agents, and a polishing promoting material selected from a combination thereof and a solvent. The composition includes a step of disposing a substrate having a conductive material layer formed thereon in a process apparatus including an electrode, supplying the composition between the electrode and the substrate, the electrode and the substrate And a step of supplying a conductive material from the conductive material layer, and a conductive material removing process.

Description

関連出願の説明Explanation of related applications

[0001]本出願は、“有機ポリマー粒子に関する銅CMPスラリー”と称する2002年2月26日出願の米国仮特許出願第60/359,746号[代理人事件整理番号第6505L号]の優先権を主張し、その出願の開示内容は本明細書に援用されている。本出願は、また、“電解化学機械的研磨に対する研磨組成物と処理”と称する2001年12月21日出願の同時係属米国特許出願第10/032,275号[代理人事件整理番号第5988号]と“電気化学機械的研磨を用いた基板の平坦化”と称する2002年1月3日に出願の同時係属米国特許出願第10/038,066号[代理人事件整理番号第5699号]の一部継続出願であり、両出願の開示内容は本明細書に援用されている。   [0001] This application claims priority to US Provisional Patent Application No. 60 / 359,746, filed Feb. 26, 2002 [Attorney Docket No. 6505L], referred to as “copper CMP slurry for organic polymer particles”. And the disclosure of that application is incorporated herein by reference. This application is also a co-pending US patent application Ser. No. 10 / 032,275, filed Dec. 21, 2001, entitled “Polishing Composition and Treatment for Electrochemical Chemical Polishing” [Attorney Docket No. 5988. ] And "Platform planarization using electrochemical mechanical polishing", filed Jan. 3, 2002, copending US patent application Ser. No. 10 / 038,066 [Attorney Docket No. 5699]. This is a continuation-in-part application, and the disclosure content of both applications is incorporated herein.

発明の背景Background of the Invention

発明の分野
[0002]本発明の実施形態は、基板から導電材料を除去するための組成物及び方法に関する。
Field of Invention
[0002] Embodiments of the invention relate to compositions and methods for removing conductive material from a substrate.

関連技術の背景
[0003]2分の1ミクロン未満且つ更に小さな特徴部を信頼度よく製造することは半導体デバイスの次世代の大規模集積回路(VLSI)と超大規模集積回路(ULSI)に対する重要な技術の一つである。しかしながら、回路技術の制約に押され、VLSIとULSIの技術における相互接続部の縮小する寸法は処理能力に関する追加的要求を課して来た。相互接続部の信頼できる形成は、VLSIとULSIの成功に重要であり、個々の基板とダイの回路密度と品質を高める継続的努力に重要である。
Background of related technology
[0003] Reliably manufacturing features smaller than half a micron and smaller is one of the key technologies for the next generation of large scale integrated circuits (VLSI) and ultra large scale integrated circuits (ULSI) of semiconductor devices. It is. However, driven by circuit technology constraints, the shrinking dimensions of interconnects in VLSI and ULSI technologies have placed additional demands on processing power. Reliable formation of interconnects is critical to the success of VLSI and ULSI and is critical to continued efforts to increase the circuit density and quality of individual substrates and dies.

[0004]多重レベルの相互接続部はその中に特徴部を形成する基板表面上において順次材料を堆積し、材料を除去する技術を用いて形成されている。材料の層が順次堆積され、除去されるとき、基板の最上面はその表面を通して平坦でなくなり、研磨の前に平坦化が必要となる。平坦化、即ち、“研磨”は、材料がほぼ平らで平坦な表面を形成するように基板表面から除去されるプロセスである。平坦化は次に行われるリトグラフィとその処理に対して、過剰に堆積された材料を取り除き、平らな表面を提供できるように粗い表面、塊になった材料、結晶格子の損傷、引っかき傷、及び汚染された層のような不要な表面形状と表面欠陥を除去するのに有効である。   [0004] Multi-level interconnects are formed using techniques that sequentially deposit and remove material on the substrate surface that forms the features therein. As layers of material are sequentially deposited and removed, the top surface of the substrate becomes non-planar through its surface, requiring planarization before polishing. Planarization, or “polishing”, is a process in which material is removed from a substrate surface to form a substantially flat and flat surface. Planarization is the next lithography and processing that removes excessively deposited material and provides a rough surface, lumped material, crystal lattice damage, scratches, to provide a flat surface. And is useful for removing unwanted surface features and surface defects such as contaminated layers.

[0005]電気化学機械的研磨(ECMP)は基板の表面を平坦化する一方法である。ECMPは、従来の化学機械的平坦化(CMP)処理に比べて機械的摩耗部が低減された基板を研磨しつつ電気化学的“アノード”溶解により基板表面から銅のような導電材料を除去するものである。典型的ECMPシステムは、研磨組成物を含むベースンに配置された基板支持体と二つの電極を含んでいる。基板は一方の電極と電気的に接触しており、処理中実効的に基板が材料除去の電極になる。作動中、基板表面の金属原子は二つの電極に接続された電源のような電位差源からの電流によりイオン化される。金属イオンは周辺の研磨組成物に溶解する。   [0005] Electrochemical mechanical polishing (ECMP) is one method of planarizing the surface of a substrate. ECMP removes conductive materials such as copper from the substrate surface by electrochemical “anode” dissolution while polishing a substrate with reduced mechanical wear compared to conventional chemical mechanical planarization (CMP) processes. Is. A typical ECMP system includes a substrate support and two electrodes disposed in a basin containing a polishing composition. The substrate is in electrical contact with one electrode and effectively becomes a material removal electrode during processing. In operation, metal atoms on the substrate surface are ionized by current from a potential source such as a power source connected to the two electrodes. Metal ions dissolve in the surrounding polishing composition.

[0006]しかしながら、ECMP処理は、典型的には従来の化学機械的研磨プロセスに比べてより除去速度が低減されたと見られ、除去速度を改善するように基板と研磨パッドとの間の圧力を増加させ、処理時間を増加させるように処理条件を改良したが、除去速度を上げるのに満足な結果を与えなかったばかりか、ある場合には基板表面に削りすぎや損傷を増大させる結果となった。たとえば、低誘電率(低k誘電率)を持つ材料を含む基板上で研磨圧力を増加させると研磨圧力増加から引き起こされるせん断力により剥離や傷のような堆積材料上に欠陥を引き起こすのが観測された。   [0006] However, ECMP processes typically appear to have a reduced removal rate compared to conventional chemical mechanical polishing processes, and the pressure between the substrate and polishing pad is improved to improve the removal rate. Although the processing conditions were improved to increase the processing time, it did not give satisfactory results in increasing the removal rate, and in some cases resulted in excessive shaving and damage to the substrate surface. For example, increasing the polishing pressure on a substrate containing a material with a low dielectric constant (low-k dielectric constant) has been observed to cause defects on the deposited material such as delamination and scratches due to the shear force caused by the increased polishing pressure. It was done.

[0007]従って、平坦化処理中に基板の損傷を最小化する基板から導電材料を除去するための組成物及び方法が求められている。   [0007] Accordingly, there is a need for compositions and methods for removing conductive material from a substrate that minimizes substrate damage during the planarization process.

発明の概要Summary of the Invention

[0008]本発明の態様は、電気化学的研磨技術により導電材料を除去するための組成物及び方法を提供する。一態様においては、組成物は酸ベースの電解液系と、1種以上のキレ?ト化剤と、1種以上の腐食防止剤と、1種以上の無機酸塩又は有機酸塩と、1種以上のpH約3〜約10を与えるpH調整剤と、研磨剤粒子、1種以上の酸化剤、及びその組合せの群より選択された研磨促進材料、及び溶媒を含む基板表面から少なくとも導電材料を除去するために供給される。   [0008] Aspects of the invention provide compositions and methods for removing conductive material by electrochemical polishing techniques. In one aspect, the composition comprises an acid-based electrolyte system, one or more chelating agents, one or more corrosion inhibitors, one or more inorganic acid salts or organic acid salts, At least a conductive material from a substrate surface comprising a pH modifier that provides a pH of from about 3 to about 10 and a polishing accelerator material selected from the group of abrasive particles, one or more oxidizing agents, and combinations thereof; and a solvent Supplied to remove

[0009]他の態様においては、組成物は、第一電極と第二電極を備えているプロセス装置において導電材料層がその上に形成される基板を堆積するステップであって、基板が第二電極と電気的に接触した状態にある、前記ステップと、第一電極と基板の間に組成物を供給するステップと、第一電極と第二電極の間に電位差を与えるステップと、導電材料層から導電材料を除去するステップとを含む基板を処理するために提供される方法に用いられる。   [0009] In another aspect, the composition comprises depositing a substrate having a conductive material layer formed thereon in a process apparatus comprising a first electrode and a second electrode, wherein the substrate is a second electrode. The step in electrical contact with the electrode; supplying a composition between the first electrode and the substrate; providing a potential difference between the first electrode and the second electrode; and a conductive material layer And a method provided for processing a substrate comprising removing a conductive material from the substrate.

[0010]本発明の上記態様が得られ、詳細に理解され得るように、上で簡単に纏めた本発明の実施形態のより具体的な説明は、添付された図面に示されるその実施形態を参照することによってなされるものである。   [0010] In order that the above aspects of the invention may be obtained and understood in more detail, a more particular description of the embodiments of the invention briefly summarized above is provided by referring to the embodiments illustrated in the accompanying drawings. It is made by reference.

[0011]しかしながら、添付された図面は、本発明の典型的な実施形態のみを図示するもので、従ってその範囲を制限するものと考えられるべきものではなく、本発明が他の等しく有効な実施形態にも許容できることに留意されるべきである。   [0011] However, the attached drawings are only illustrative of exemplary embodiments of the invention and are therefore not to be considered as limiting its scope, and the invention is not limited to other equally effective implementations. It should be noted that the form is also acceptable.

好適実施形態の詳細な説明Detailed Description of the Preferred Embodiment

[0013]一般に、本発明の態様は基板表面から少なくとも導電材料を除去するための組成物及び方法を提供する。本発明は電気化学機械的研磨(ECMP)による基板表面から導電材料を除去するための平坦化プロセスを参照して以下のように記載される。   [0013] In general, aspects of the present invention provide compositions and methods for removing at least conductive material from a substrate surface. The present invention is described as follows with reference to a planarization process for removing conductive material from a substrate surface by electrochemical mechanical polishing (ECMP).

[0014]本明細書で用いられる語句は特に定義されない限り、当業者により当該技術において通常及び慣習的に用いられる意味を示すものである。化学的研磨は広く解釈されており、化学的反応を用いる基板表面を平坦化することを含んでいるがこれに限定されない。電気的研磨は広く解釈されており、電気化学活性の応用によって基板を平坦化することを含んでいるがこれに限定されない。電気化学機械的研磨(ECMP)は広く解釈されており、基板表面から材料を除去するため、電気化学的活性、機械的活性、又は電気化学的活性と機械的活性の、両方の組合せの応用によって基板を平坦化することを含んでいる。   [0014] Terms used in this specification are intended to be those meanings commonly and routinely used by those skilled in the art unless otherwise defined. Chemical polishing is widely interpreted and includes, but is not limited to, planarizing a substrate surface using a chemical reaction. Electropolishing is widely interpreted and includes, but is not limited to, planarizing a substrate by application of electrochemical activity. Electrochemical mechanical polishing (ECMP) is widely interpreted and depends on the application of electrochemical activity, mechanical activity, or a combination of both electrochemical and mechanical activity to remove material from the substrate surface. Including planarizing the substrate.

[0015]アノード溶解は広く解釈されており、基板表面から導電材料を除去し、周縁の研磨組成物に取り込まれる直接的或いは間接的に基板にアノード電位差を与えることを含んでいるがこれに限定されない。研磨組成物は広く解釈されており、イオン導電性を与え、従って液体媒体中で導電性を与える組成物を含んでいるがこれに限定されない。研磨組成物中の電解液成分の容量又は重量%は、液体組成物成分に対する容量に基づく%と固体組成物成分に対する重量に基づく%を意味する。   [0015] Anodic dissolution is widely interpreted and includes, but is not limited to, removing conductive material from the substrate surface and directly or indirectly applying an anodic potential difference to the substrate that is incorporated into the peripheral polishing composition. Not. Polishing compositions are widely interpreted and include, but are not limited to, compositions that provide ionic conductivity, and thus conductivity in a liquid medium. The volume or weight% of the electrolytic solution component in the polishing composition means% based on the volume with respect to the liquid composition component and% based on the weight with respect to the solid composition component.

装置の一実施形態
[0016]図1は、“うつ伏せにした”プロセスセル200の一実施形態を示す断面図である。プロセスセル200は、一般的にはベースン204と研磨ヘッド202を含んでいる。基板208は研磨ヘッド202に保持され、うつ伏せの(例えば裏面を上にした)方向に処理中、ベースン204の中に下げられる。本明細書に記載されるような電解液がベースン204の中へ流され、基板表面とパッドアセンブリ222に接触した状態であり、一方研磨ヘッド202はパッドアセンブリ222と接触した状態で基板208に位置する。ベースン204は、パッドアセンブリ222と、底部244と、パッドアセンブリ222を収容する容器を画成する側壁246を含んでいる。この側壁246は、そこを通ってベースン204から研磨組成物を除去することを可能にするように形成されるポート218を含む。ポート218は、ベースン204に研磨組成物を選択的に排出又は保持するバルブ220に結合される。
One embodiment of the apparatus
[0016] FIG. 1 is a cross-sectional view illustrating one embodiment of a process cell 200 "turned down". The process cell 200 generally includes a basin 204 and a polishing head 202. The substrate 208 is held by the polishing head 202 and lowered into the basin 204 during processing in a prone (eg, backside up) direction. An electrolyte as described herein is flowed into the basin 204 and is in contact with the substrate surface and the pad assembly 222, while the polishing head 202 is positioned on the substrate 208 in contact with the pad assembly 222. To do. The basin 204 includes a pad assembly 222, a bottom 244, and a sidewall 246 that defines a container that houses the pad assembly 222. The sidewall 246 includes a port 218 formed to allow removal of the polishing composition from the basin 204 therethrough. Port 218 is coupled to a valve 220 that selectively drains or holds the polishing composition to basin 204.

[0017]ベースン204内に配置された基板208とパッドアセンブリ222は、研磨動作(或いはメッキの均一性を向上させる動作)を与えるように互いに相対的に移動される。研磨動作は、一般的には、その他さまざまな動作の中の軌道を描く、回転する、直線或いは曲線を描く動作、又はその組合せで定義される少なくとも一つの動作を備えている。研磨動作は研磨ヘッド202及び/又はベースン204のいずれか又は両方を移動させることによって達成することができる。研磨ヘッド202は、研磨ヘッド202によって保持されるベースン204と基板208との間の相対的動作の少なくとも一部を与えるように静止しても駆動されてもよい。図1に示される実施形態においては、研磨ヘッド202は駆動システム210に結合されている。駆動システム210は、少なくとも回転する、軌道を描く、掃き集める動作、又はその組合せで研磨ヘッド202を移動させる。   [0017] The substrate 208 and pad assembly 222 disposed within the basin 204 are moved relative to each other to provide a polishing operation (or an operation that improves plating uniformity). The polishing operation generally comprises at least one operation defined by drawing a trajectory, rotating, drawing a straight line or a curve, or a combination thereof among various other operations. The polishing operation can be accomplished by moving either or both of the polishing head 202 and / or the basin 204. The polishing head 202 may be stationary or driven to provide at least part of the relative movement between the basin 204 and the substrate 208 held by the polishing head 202. In the embodiment shown in FIG. 1, the polishing head 202 is coupled to a drive system 210. The drive system 210 moves the polishing head 202 at least by rotating, trajectory drawing, sweeping motion, or a combination thereof.

[0018]研磨ヘッド202は、一般的には処理中基板208を保持する。一実施形態においては、研磨ヘッド202はブラッダ216を取り囲むハウジング214を含んでいる。ブラッダ216は、基板に接触している時のその間で真空を作り出すように空気が抜かれてもよく、それによって基板を研磨ヘッド202に固定する。ブラッダ216は、ベースン204に保持されるパッドアセンブリ222と接触して基板を押し付けるように付加的に膨らんでもよい。保持リング238は、ハウジング214に結合され、処理中研磨ヘッド202から基板がずり落ちないように基板208の周囲に外接している。本発明から利益を得るよう適合することができる一研磨ヘッドは、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から入手可能なTITANHEAD(登録商標)キャリアヘッドである。本発明から利益を得るように適合することができる研磨ヘッドの他の例は、その全体においてここに引用することによって取り込まれている2001年12月12日発行の米国特許第6,159,079号に記載され、その開示内容は本明細書に全体で援用されている。   [0018] The polishing head 202 typically holds the substrate 208 during processing. In one embodiment, the polishing head 202 includes a housing 214 that surrounds a bladder 216. The bladder 216 may be evacuated to create a vacuum therebetween while in contact with the substrate, thereby securing the substrate to the polishing head 202. The bladder 216 may additionally bulge to contact the pad assembly 222 held by the basin 204 and press the substrate. A retaining ring 238 is coupled to the housing 214 and circumscribes the periphery of the substrate 208 to prevent the substrate from slipping off the polishing head 202 during processing. One polishing head that can be adapted to benefit from the present invention is the TITANHEAD® carrier head available from Applied Materials, Inc., located in Santa Clara, California. Another example of a polishing head that can be adapted to benefit from the present invention is US Pat. No. 6,159,079 issued Dec. 12, 2001, which is incorporated by reference herein in its entirety. The disclosure of which is incorporated herein in its entirety.

[0019]ベースン204は、一般的には、フルオロポリマー、TEFLON(登録商標)ポリマー、ペルフルオロアルコキシ樹脂(PFA)、ポリエチレン系プラスチック(PE)、スルホン化ポリフェニルエーテルスルホン(PES)、又は電気メッキ或いは電気研磨に用いることができる研磨組成物と適合する或いは反応しない他の材料のようなプラスチックから製造される。ベースン204は、軸受234によってベース206の上に回転するように支持される。駆動システム236は、ベースン204に結合され、処理中、ベースン204を回転させる。キャッチベースン228は、ベース206上に配置され、処理中及び/又は処理後にベースン204を通って配置されたポート218から流れ出す研磨組成物のような処理液を集めるよう外接している。   [0019] Basin 204 is typically a fluoropolymer, TEFLON® polymer, perfluoroalkoxy resin (PFA), polyethylene-based plastic (PE), sulfonated polyphenylethersulfone (PES), or electroplating or Manufactured from plastic such as other materials that are compatible or non-reactive with polishing compositions that can be used for electropolishing. Basin 204 is supported for rotation on base 206 by bearing 234. The drive system 236 is coupled to the basin 204 and rotates the basin 204 during processing. The catch basin 228 is disposed on the base 206 and circumscribes to collect a processing liquid such as a polishing composition that flows out of a port 218 disposed through the basin 204 during and / or after processing.

[0020]研磨組成物分配システム232は、一般的には、ベースン204に隣接して配置されている。研磨組成物分配システム232は、研磨組成物供給源242に結合されたノズル或いは出口230を含んでいる。出口230は、研磨組成物供給源242からベースン204の中へ研磨組成物又は他の処理液を流出させる。処理中、研磨組成物は、一般的には、基板208に電位差を与え、基板208上に材料を除去及び/又は堆積させる電気化学プロセスを駆動させるための電気的経路を与える。或いは、研磨組成物分配システムは処理容器の底部244を通って研磨組成物を供給するとともに研磨パッドと基板を接触させるようにパッドアセンブリを通って研磨組成物を流出させる。或いは、再循環システムは、研磨組成物を回収し再使用させるように用いられる。更に、循環システムは、同一の或いは付加的処理ステップに対して研磨組成物の有効な製造寿命を延長させるために用いることができる。   [0020] The polishing composition dispensing system 232 is generally located adjacent to the basin 204. Polishing composition dispensing system 232 includes a nozzle or outlet 230 coupled to polishing composition source 242. Outlet 230 allows polishing composition or other processing liquid to flow from polishing composition source 242 into basin 204. During processing, the polishing composition generally provides an electrical path to drive an electrochemical process that applies a potential difference to the substrate 208 and removes and / or deposits material on the substrate 208. Alternatively, the polishing composition dispensing system supplies the polishing composition through the bottom 244 of the processing vessel and causes the polishing composition to flow through the pad assembly so that the polishing pad and substrate are in contact. Alternatively, the recirculation system is used to recover and reuse the polishing composition. Further, the circulation system can be used to extend the effective manufacturing life of the polishing composition for the same or additional processing steps.

[0021]任意により、また、図1に示されるコンディショニングデバイス250をパッドアセンブリ222に周期的に条件を与え、或いは再生するようにベースン204に近接して設けることができる。典型的には、コンディショニングデバイス250は、パッドアセンブリ222を横切って位置を調節し、コンディショニング素子258を走査する支柱254に結合されたアーム252を含む。コンディショニング素子258はアーム252と容器204の側壁の間にクリアランスを許容するようにシャフト256によってアーム252に結合されるが、コンディショニング素子258はパッドアセンブリ222に接触するように押し下げる。コンディショニング素子258は、典型的には、ダイアモンドかシリコンカーバイドのディスクでそれは処理の均一性を向上させるあらかじめ決められた表面の条件/状態へパッドアセンブリ222の表面の作業を向上させるようにパターン化されてもよい。本発明から利益を得るように適合することができる一コンディショニング素子258はLiらによる2000年9月28日出願の米国特許出願第09/676,280号に記載されており、その開示内容は本明細書で主張する態様及び説明と一致する程度まで本明細書に援用されている。   [0021] Optionally, the conditioning device 250 shown in FIG. 1 may be provided proximate to the basin 204 to condition or regenerate the pad assembly 222 periodically. Conditioning device 250 typically includes an arm 252 that is coupled to a post 254 that adjusts position across pad assembly 222 and scans conditioning element 258. Conditioning element 258 is coupled to arm 252 by shaft 256 to allow clearance between arm 252 and the side wall of container 204, but conditioning element 258 is pushed down to contact pad assembly 222. Conditioning element 258 is typically a diamond or silicon carbide disk that is patterned to improve the surface operation of pad assembly 222 to a predetermined surface condition / state that improves processing uniformity. May be. One conditioning element 258 that can be adapted to benefit from the present invention is described in US patent application Ser. No. 09 / 676,280 filed Sep. 28, 2000 by Li et al. Incorporated herein to a degree consistent with the claimed aspects and description.

[0022]電源224は、電線223A、223Bによってパッドアセンブリ222と結合されている。電源224は以下に記載されるように電気化学プロセスを駆動するようにパッドアセンブリ222に電位差を与える。223A、223Bは、ベースン204の下に配置されるスリップリング226を通じて配線される。スリップリング226は、電源224とパッドアセンブリ222の間をベースン204が回転するように連続的な電気接続を可能にする。電線223A、223Bは処理液と適合する、又は処理液から電線223A、223Bを保護する被覆或いはコーティングをしたワイア、テープ、その他の導電体である。電線223A、223Bに用いることができる材料の例としては、その他の材料の内絶縁された銅、グラファイト、チタン、白金、金およびHASTEROY(登録商標)が挙げられる。電線の周りに配置されるコーティングにはフルオロカーボン、PVC、ポリアミド等のポリマーが含まれてもよい。   [0022] The power source 224 is coupled to the pad assembly 222 by wires 223A, 223B. The power source 224 provides a potential difference to the pad assembly 222 to drive the electrochemical process as described below. 223A and 223B are wired through a slip ring 226 disposed below the basin 204. Slip ring 226 allows a continuous electrical connection to rotate basin 204 between power source 224 and pad assembly 222. The wires 223A and 223B are wires, tapes, or other conductors that are compatible with the treatment liquid or are coated or coated to protect the wires 223A and 223B from the treatment liquid. Examples of materials that can be used for the electric wires 223A and 223B include copper, graphite, titanium, platinum, gold, and HASTEROY®, which are insulated among other materials. The coating disposed around the wire may include a polymer such as fluorocarbon, PVC, polyamide.

[0023]パッドアセンブリ222は、電気化学セルのアノードとカソードの両方を備えている素子を含むので、両方のアノードとカソードはベースン204から使用済みパッドアセンブリ222を単に取り除くとともにベースン204へ新しい電気的支持構成品を有する新しいパッドアセンブリ222を挿入することによって同時に交換される。   [0023] Since the pad assembly 222 includes elements comprising both the anode and cathode of an electrochemical cell, both anode and cathode simply remove the used pad assembly 222 from the basin 204 and new electrical to the basin 204. It is replaced at the same time by inserting a new pad assembly 222 having a support component.

[0024]図示されたパッドアセンブリ222は、バッキング207に結合される導電パッド203を含んでいる。バッキング207は電極209に結合することができる。誘電性の挿入部(図示されていない)は導電パッドの全部或いは一部を通る電解液の流れを調節するように導電パッド203とバッキング207又は電極209との間に配置することができる。導電パッド203は、エッジ接触部のような従来の電位差供給装置を使用することなく基板表面に一様な電位差を与えるように用いられる。電極209は、一般には、電線、例えば、電線223Aを経て電源224への接続を通じてカソードとして電位差が当てられ、導電パッド203と、基板はECMPプロセスにおけるアノード溶解に対する陽極として電位差がつけられる。   The illustrated pad assembly 222 includes a conductive pad 203 that is coupled to a backing 207. The backing 207 can be coupled to the electrode 209. A dielectric insert (not shown) can be placed between the conductive pad 203 and the backing 207 or electrode 209 to regulate the flow of electrolyte through all or part of the conductive pad. The conductive pad 203 is used so as to give a uniform potential difference to the substrate surface without using a conventional potential difference supply device such as an edge contact portion. The electrode 209 is generally applied with a potential difference as a cathode through a connection to a power source 224 via an electric wire, for example, an electric wire 223A, and the conductive pad 203 and the substrate are applied with a potential difference as an anode for anodic dissolution in the ECMP process.

[0025]典型的には、導電パッド203、バッキング207、場合によっては、誘電挿入部、及び電極209は、容器204からパッドアセンブリ222の除去、交換を可能にする単一の本体を形成するように一緒に固定される。典型的には、導電パッド203、バッキング207、場合によっては、誘電挿入部、及び電極209は、お互いに接着或いは結合される。或いは、導電パッド203、バッキング207、場合によっては、誘電挿入部、及び電極209はさまざまな方法の内、縫製、固縛、熱着、リベット止め、ねじ止め、クランプ締結を含む他の方法又はその組合せによって結合することができる。導電パッド203の例は、2001年12月27日出願の米国特許出願第10/033,732号に更に完全に開示されており、その開示内容は本明細書に主張される態様及び開示に一致する程度まで本明細書に援用されている。   [0025] Typically, the conductive pad 203, backing 207, and possibly dielectric insert, and electrode 209 form a single body that allows removal and replacement of the pad assembly 222 from the container 204. Fixed together. Typically, the conductive pad 203, the backing 207, and in some cases, the dielectric insert, and the electrode 209 are bonded or bonded together. Alternatively, the conductive pad 203, the backing 207, and in some cases, the dielectric insert, and the electrode 209 may be other methods including, but not limited to, sewing, lashing, heat fitting, riveting, screwing, clamping, etc. Can be combined by combination. An example of a conductive pad 203 is more fully disclosed in US patent application Ser. No. 10 / 033,732, filed Dec. 27, 2001, the disclosure of which is consistent with the aspects and disclosure claimed herein. To this extent, it is incorporated herein by reference.

[0026]面を下にした研磨装置は“基板研磨方法及び装置”と称する、アプライドマテリアルズ社に共同譲渡された2002年5月16日出願の米国特許出願第10/151,538号[代理人事件整理番号第6906号]に更に完全に開示されており、その段落25−81は請求の範囲の態様と説明に本明細書に主張される態様と説明と一致する程度まで本明細書に援用されている。同様に、面を上にした研磨に対する相対運動は基板と電極及び/又はパッドとの間に与えられる。   [0026] The face down polishing apparatus is referred to as "substrate polishing method and apparatus", US patent application Ser. No. 10 / 151,538 filed May 16, 2002, co-assigned to Applied Materials. No. 6906], paragraphs 25-81 of which are hereby described to the extent that they are consistent with the claims and aspects claimed herein. Has been incorporated. Similarly, relative motion for surface-up polishing is provided between the substrate and the electrodes and / or pads.

[0027]プロセスセル200は、Reflexion(登録商標)CMPシステム、Mirra(登録商標)CMPシステム、Mirra(登録商標)MesaCMPシステムのような研磨プラットフォーム上に配置され、それらはカリフォルニア州サンタクララのApplied Material社から市販されている。更に、本明細書に記載される方法又は組成物を用いる電気化学機械的研磨を可能にするいかなるシステムも利便を与えるように用いることができる。   [0027] The process cell 200 is placed on a polishing platform such as a Reflexion® CMP system, a Mirra® CMP system, a Mirra® Mesa CMP system, which are Applied Materials in Santa Clara, California. It is commercially available from the company. Moreover, any system that allows electrochemical mechanical polishing using the methods or compositions described herein can be used to provide convenience.

研磨組成物とプロセス
[0028]一態様においては、銅のような金属を平坦化することができる研磨組成物が提供される。一般に、研磨組成物は、酸ベースの電解液系と、1種以上のキレ?ト化剤と、1種以上の腐食防止剤と、1種以上の無機酸塩又は有機酸塩と、1種以上のpH調整剤、pH約2〜約10と、研磨剤粒子、1種以上の酸化剤、及びその組合せの群より選択された研磨促進材料と、溶剤とを含んでいる。本明細書に記載される研磨組成物は基板の効果的な平坦化と研磨後の平滑な表面をもたらすECMP中に銅のような導電材料の改善された研磨と効果的な除去速度に貢献すると考えられる。
Polishing composition and process
[0028] In one aspect, a polishing composition capable of planarizing a metal such as copper is provided. In general, the polishing composition comprises an acid-based electrolyte system, one or more chelating agents, one or more corrosion inhibitors, one or more inorganic acid salts or organic acid salts, and one type. And a polishing accelerator material selected from the group consisting of the above pH adjusting agent, pH of about 2 to about 10, abrasive particles, one or more oxidizing agents, and combinations thereof, and a solvent. The polishing compositions described herein contribute to improved polishing and effective removal rates of conductive materials such as copper during ECMP resulting in effective planarization of the substrate and a smooth surface after polishing. Conceivable.

[0029]研磨組成物は特に銅を除去するのに有効であるが、研磨組成物はアルミニウム、白金、タングステン、チタン、窒化チタン、タンタル、窒化タンタル、コバルト、金、銀、及びその組合せのような他の導電材料の除去にも用いられると考えられる。基板表面に導電材料の特徴部を形成するのに用いられる他の材料はバリア層を形成する材料を含み、例えば、タンタル、窒化タンタル、チタン、窒化チタンは本明細書に記載される処理によって除去することができる。研磨パッド及び/又は研磨剤との接触からのような機械的研磨は、導電材料の平面と除去を向上させるのに用いられ、また炭素がドープされた酸化ケイ素及びドープされた又はドープされていない炭化ケイ素を含む導電材料を除去するのにも用いることができる。   [0029] Although the polishing composition is particularly effective for removing copper, the polishing composition may be aluminum, platinum, tungsten, titanium, titanium nitride, tantalum, tantalum nitride, cobalt, gold, silver, and combinations thereof. It is considered that it is also used for removing other conductive materials. Other materials used to form conductive material features on the substrate surface include materials that form the barrier layer, for example, tantalum, tantalum nitride, titanium, titanium nitride are removed by the processes described herein. can do. Mechanical polishing, such as from contact with a polishing pad and / or abrasive, is used to improve the planarity and removal of the conductive material, and is carbon doped silicon oxide and doped or undoped It can also be used to remove conductive materials including silicon carbide.

[0030]研磨組成物は、電気的導電性を与えるため酸ベースの電解液系を含んでいる。適切な酸ベースの電解液系は、例えば、硫酸ベースの電解液、リン酸ベースの電解液、過塩素酸ベースの電解液、酢酸ベースの電解液、その組合せを含んでいる。適切な酸電解液系は、リン酸及び硫酸のような酸電解液と、アンモニウム塩及びそのカリウム塩を含む酸電解液誘導体も含んでいる。酸ベースの電解液系は、また、基板を処理するために望ましいpHレベルを維持するように組成物を緩衝化することができる。   [0030] The polishing composition includes an acid-based electrolyte system to provide electrical conductivity. Suitable acid-based electrolyte systems include, for example, sulfuric acid-based electrolytes, phosphoric acid-based electrolytes, perchloric acid-based electrolytes, acetic acid-based electrolytes, and combinations thereof. Suitable acid electrolyte systems also include acid electrolytes such as phosphoric acid and sulfuric acid, and acid electrolyte derivatives including ammonium salts and potassium salts thereof. Acid-based electrolyte systems can also buffer the composition to maintain the desired pH level for processing the substrate.

[0031]適当な酸ベースの電解液はリン酸、リン酸カリウム(K3PO4)、リン酸銅、リン酸二水素アンモニウム(NH42PO4)、リン酸水素二アンモニウム((NH4)HPO4)のようなリン酸基(PO4 3-)を有する化合物と硫酸、硫酸水素アンモニウム((NH4)2HSO4)、硫酸銅又はその組合せのような硫酸基(SO4 3-)を有する化合物を含んでいる。本発明は、また、従来の既知及び未知の電解液が本明細書に記載されるプロセスを用いて本明細書に記載される組成物を形成するのにも用いることができることを企図している。 [0031] Suitable acid-based electrolytes include phosphoric acid, potassium phosphate (K 3 PO 4 ), copper phosphate, ammonium dihydrogen phosphate (NH 4 H 2 PO 4 ), diammonium hydrogen phosphate ((NH 4 ) A compound having a phosphate group (PO 4 3− ) such as HPO 4 ) and a sulfate group (SO 4 3 ) such as sulfuric acid, ammonium hydrogen sulfate ((NH 4 ) 2 HSO 4 ), copper sulfate or a combination thereof. -) it contains a compound having a. The present invention also contemplates that conventional known and unknown electrolytes can be used to form the compositions described herein using the processes described herein. .

[0032]或いは、酸ベースの電解液系は本明細書に記載されるプロセスを実施するのに適した導電性を与えるように組成物の約1〜30重量%(wt.%)又は容量%(vol%)量で供給することができる。例えば、リン酸二水素及び/又はリン酸水素二アンモニウムは溶液の約15〜25重量又は容量%の量で組成物中に存在することができる。リン酸は、30wt.%まで、例えば約2wt.%〜約6wt.%の濃度で存在することができる。   [0032] Alternatively, the acid-based electrolyte system provides about 1-30 wt% (wt.%) Or volume% of the composition to provide conductivity suitable for performing the processes described herein. (vol%) amount can be supplied. For example, dihydrogen phosphate and / or diammonium hydrogen phosphate can be present in the composition in an amount of about 15-25 weight or volume percent of the solution. Phosphoric acid can be present at a concentration of up to 30 wt.%, Such as from about 2 wt.% To about 6 wt.%.

[0033]本明細書に記載される実施形態のいずれにおいても、キレート化剤は銅イオンのような導電材料に結合することができ、金属材料の除去速度を上げ、且つ基板を処理するために望ましいpHレベルを維持するように研磨組成物を緩衝化又は調節するために用いることができる。   [0033] In any of the embodiments described herein, the chelating agent can bind to a conductive material, such as copper ions, to increase the removal rate of the metal material and to process the substrate. It can be used to buffer or adjust the polishing composition to maintain a desired pH level.

[0034]1種以上のキレート化剤は、アミン基、アミド基、カルボキシレート基、ジカルボキシレート基、トリカルボキシレート基、ヒドロキシル基、ヒドロキシル基とカルボキシレート基の混合物、及びその組合せより選択された1つ以上の官能基を有する化合物を含むことができる。1種以上のキレート化剤は、また、本明細書に記載されるキレート化剤の塩を含むことができる。銅のような除去のための金属材料は、官能基と結合前、結合中、結合後に0、1又は2のようにいかなる酸化状態にあってもよい。官能基は、処理中に基板表面上に生成される金属材料を結合するとともに基板表面から金属材料を除去することができる。   [0034] The one or more chelating agents are selected from amine groups, amide groups, carboxylate groups, dicarboxylate groups, tricarboxylate groups, hydroxyl groups, mixtures of hydroxyl groups and carboxylate groups, and combinations thereof. In addition, compounds having one or more functional groups can be included. The one or more chelating agents can also include salts of the chelating agents described herein. The removal metal material, such as copper, may be in any oxidation state such as 0, 1 or 2 before, during and after bonding with the functional group. The functional group can bind the metal material generated on the substrate surface during processing and remove the metal material from the substrate surface.

[0035]研磨組成物は、1種以上のキレート化剤を約0.1%〜15容量又は重量%、例えば約0.1%〜約4容量又は重量%の濃度で含むことができる。例えば、約2容量又は重量%のエチレンジアミンをキレート化剤として用いることができる。   [0035] The polishing composition can include one or more chelating agents at a concentration of about 0.1% to 15% by volume or weight, such as about 0.1% to about 4% by volume or weight. For example, about 2 volumes or weight percent ethylenediamine can be used as a chelating agent.

[0036]適切なキレート化剤の例としては、エチレンジアミン、ジエチレントリアミン、ジエチレントリアミン誘導体、ヘキサジアミン、アミノ酸、エチレンジアミン四酢酸、メチルホムアミド、又はその組合せのような1種以上のアミン官能基やアミド官能基を有する化合物が挙げられる。   [0036] Examples of suitable chelating agents include one or more amine or amide functional groups such as ethylenediamine, diethylenetriamine, diethylenetriamine derivatives, hexadiamine, amino acids, ethylenediaminetetraacetic acid, methylformamide, or combinations thereof. The compound which has is mentioned.

[0037]1種以上のカルボキシル基をもつ適切なキレート化剤の例としてはクエン酸、酒石酸、コハク酸、蓚酸およびそれらの組み合わせたものが挙げられる。1種以上のカルボキシレート基を有する他の適切な酸としては、酢酸、アジピン酸、酪酸、カプリン酸、カプロン酸、カプリル酸、グルタル酸、グリコール酸、ギ酸、フマル酸、乳酸、ラウリン酸、リンゴ酸、マレイン酸、マロン酸、ミリスチン酸、パルミチン酸、フタル酸、プロピオン酸、ピルビン酸、ステアリン酸、吉草酸及びその組合せが含まれる。   [0037] Examples of suitable chelating agents having one or more carboxyl groups include citric acid, tartaric acid, succinic acid, succinic acid, and combinations thereof. Other suitable acids having one or more carboxylate groups include acetic acid, adipic acid, butyric acid, capric acid, caproic acid, caprylic acid, glutaric acid, glycolic acid, formic acid, fumaric acid, lactic acid, lauric acid, apple Acids, maleic acid, malonic acid, myristic acid, palmitic acid, phthalic acid, propionic acid, pyruvic acid, stearic acid, valeric acid and combinations thereof are included.

[0038]本明細書に記載される実施形態のいずれにおいても、無機酸塩又は有機酸塩はキレート化剤として行うことができる。研磨組成物は、1種異常の無機酸塩又は有機酸塩を組成物の約0.1%〜約15容量又は重量%、例えば、0.1%〜6容量又は重量%の濃度で含むことができる。例えば、クエン酸アンモニウムの約2容量又は重量%が研磨組成物において用いることができる。   [0038] In any of the embodiments described herein, the inorganic acid salt or organic acid salt can be performed as a chelating agent. The polishing composition comprises one abnormal inorganic acid salt or organic acid salt at a concentration of about 0.1% to about 15% by volume or weight, for example, 0.1% to 6% or weight% of the composition. Can do. For example, about 2 volumes or weight percent of ammonium citrate can be used in the polishing composition.

[0039]適切な無機酸塩又は有機酸塩の例としては、シュウ酸アンモニウム、クエン酸アンモニウム、コハク酸アンモニウム、一塩基性クエン酸カリウム、二塩基性クエン酸カリウム、三塩基性クエン酸カリウム、酒石酸カリウム、酒石酸アンモニウム、コハク酸カリウム、シュウ酸カリウム、及びその組合せのような有機酸のアンモニウム塩やカリウム塩を含んでいる。更に、本明細書に記載されるカルボン酸のアンモニウム塩やカリウム塩も本明細書に記載される組成物の有機酸塩としても用いることができる。   [0039] Examples of suitable inorganic or organic acid salts include ammonium oxalate, ammonium citrate, ammonium succinate, monobasic potassium citrate, dibasic potassium citrate, tribasic potassium citrate, It includes ammonium and potassium salts of organic acids such as potassium tartrate, ammonium tartrate, potassium succinate, potassium oxalate, and combinations thereof. Furthermore, ammonium salts and potassium salts of carboxylic acids described herein can also be used as organic acid salts of the compositions described herein.

[0040]本明細書に記載される実施形態のいずれにおいても、腐食防止剤は基板表面上に堆積される材料と周辺の電解液との間で化学的相互作用を低減又は最少にするように材料層を形成することによって金属表面の酸化又は腐食を防止することができる。腐食防止剤によって形成される材料層は周辺の電解液から表面を絶縁し、従って電気化学的堆積及び/又は溶解を制限するように基板表面から電気化学的流れを抑制又は最少にする。研磨組成物は、アゾール基を有する約0.001%と約5.0重量%、例えば、約0.2%〜約0.4重量%の有機化合物を含むことができる。   [0040] In any of the embodiments described herein, the corrosion inhibitor reduces or minimizes the chemical interaction between the material deposited on the substrate surface and the surrounding electrolyte. By forming the material layer, oxidation or corrosion of the metal surface can be prevented. The material layer formed by the corrosion inhibitor insulates the surface from the surrounding electrolyte and thus suppresses or minimizes electrochemical flow from the substrate surface to limit electrochemical deposition and / or dissolution. The polishing composition can comprise about 0.001% and about 5.0% by weight of organic compounds having azole groups, for example, about 0.2% to about 0.4% by weight.

[0041]1種以上の腐食防止剤は、1種以上のアゾール基を有する有機化合物を含むことができる。アゾール基を有する有機化合物の例としては、ベンゾトリアゾール、メルカプトベンゾトリアゾール、5-メチル-1-ベンゾトリアゾール、及びその組合せが挙げられる。他の適切な腐食防止剤としては環状化合物、例えば、イミダゾール、ベンゾイミダゾール、トリアゾール、及びその組合せである膜形成剤が含まれる。ヒドロオキシ基、アミノ基、イミノ基、カルボキシ基、メルカプト基、ニトロ基及びアルキル基で置換された、ベンゾトリアゾール、イミダゾール、ベンズイミダゾール、トリアゾール誘導体が腐食防止剤として用いることができる。他の腐食防止剤には、他のさまざまなものの中の尿素やチオ尿素が含まれる。   [0041] The one or more corrosion inhibitors can include an organic compound having one or more azole groups. Examples of organic compounds having an azole group include benzotriazole, mercaptobenzotriazole, 5-methyl-1-benzotriazole, and combinations thereof. Other suitable corrosion inhibitors include film formers that are cyclic compounds such as imidazole, benzimidazole, triazole, and combinations thereof. Benzotriazole, imidazole, benzimidazole, and triazole derivatives substituted with a hydroxy group, amino group, imino group, carboxy group, mercapto group, nitro group, and alkyl group can be used as a corrosion inhibitor. Other corrosion inhibitors include urea and thiourea among various others.

[0042]或いは、重合禁止剤、非制限例としてポリアルキルアリールエーテルホスフェート又はアンモニウムノニルフェノールエトキシレートスルフェートは、アゾール含有腐食防止剤と置き換えて或いはそれとともに約0.002%〜1.0%の量で用いることができる。   [0042] Alternatively, the polymerization inhibitor, as a non-limiting example, polyalkylaryl ether phosphate or ammonium nonylphenol ethoxylate sulfate may be in an amount of about 0.002% to 1.0% in place of or with an azole-containing corrosion inhibitor. Can be used.

[0043]1種以上のpH調整剤は、研磨組成物のpH調整に寄与する。研磨組成物の好ましいpHは、約2〜約10、例えば、pH約4〜約6であってもよい。研磨組成物は、1種以上のpH調整剤の約70wt.%までの1種以上のpH調整剤、例えば、約0.2%〜約25容量又は重量%の1種以上のpH調整剤を含むことができる。異なる化合物は一定の濃度に対して異なるpHレベルを与えることができる。例えば、組成物は好ましいpHレベルを与えるのに約0.1%〜約10容量%の水酸化カリウム、水酸化アンモニウム、又はその組合せのような塩基を含むことができる。   [0043] The one or more pH modifiers contribute to the pH adjustment of the polishing composition. A preferred pH of the polishing composition may be about 2 to about 10, for example, a pH of about 4 to about 6. The polishing composition comprises up to about 70 wt.% Of one or more pH modifiers, such as from about 0.2% to about 25 volume or weight percent of one or more pH modifiers. Can be included. Different compounds can give different pH levels for a given concentration. For example, the composition can include a base such as about 0.1% to about 10% by volume potassium hydroxide, ammonium hydroxide, or combinations thereof to provide a preferred pH level.

[0044]1種以上のpH調整剤は、有機酸、例えば、酢酸、クエン酸、シュウ酸、リン酸、リン酸アンモニウム、リン酸カリウム、又はその組合せを含むリン酸含有成分のようなカルボン酸、又はその組合せであり得る硫酸、硝酸、及びその組合せを含む強酸のような無機酸も、研磨組成物において用いることができる。   [0044] The one or more pH modifiers are carboxylic acids such as organic acids such as phosphoric acid-containing components including acetic acid, citric acid, oxalic acid, phosphoric acid, ammonium phosphate, potassium phosphate, or combinations thereof. Inorganic acids such as sulfuric acid, nitric acid, and strong acids including combinations thereof, which can be a combination thereof, can also be used in the polishing composition.

[0045]1種以上のpH調整剤は、また、塩基、例えば、水酸化カリウム、水酸化アンモニウム、又はその組合せを含むことができる。研磨組成物に用いられる塩基の量は、典型的には組成物のpHを約2〜約10の望ましいレベルに調整するのに必要な量である。   [0045] The one or more pH modifiers can also include a base, such as potassium hydroxide, ammonium hydroxide, or a combination thereof. The amount of base used in the polishing composition is typically that amount necessary to adjust the pH of the composition to a desired level of about 2 to about 10.

[0046]或いは、研磨組成物は、ベースと酢酸、クエン酸、シュウ酸、リン酸、リン酸アンモニウム、リン酸カリウム、又はその組合せからなる群より選ばれた化合物を含むことができる。ベースとここで確認された群より選ばれた化合物の両方を含む組成物において、該組成物は約0.1%〜10%のベースと、約0.2%〜約25容量又は重量%の酢酸、クエン酸、シュウ酸、リン酸、リン酸アンモニウム、リン酸カリウム、又はその組合せからなる群より選ばれた化合物を備えていてもよい。   [0046] Alternatively, the polishing composition can comprise a base and a compound selected from the group consisting of acetic acid, citric acid, oxalic acid, phosphoric acid, ammonium phosphate, potassium phosphate, or combinations thereof. In a composition comprising both a base and a compound selected from the group identified herein, the composition comprises about 0.1% to 10% base and about 0.2% to about 25% by volume or weight%. A compound selected from the group consisting of acetic acid, citric acid, oxalic acid, phosphoric acid, ammonium phosphate, potassium phosphate, or a combination thereof may be provided.

[0047]研磨組成物は研磨剤粒子、1種以上の酸化剤、その組合せを含む1種以上の研磨促進材料を含む。   [0047] The polishing composition includes one or more polishing promoting materials including abrasive particles, one or more oxidizing agents, and combinations thereof.

[0048]研磨剤粒子は、研磨中基板表面から導電材料の除去速度或いは除去を改善するのに用いることができる。研磨剤粒子は、処理中約35wt.%までの研磨組成物を備えてもよい。約0.001wt.%〜5wt.%の研磨剤粒子の濃度が研磨組成物において用いることができる。   [0048] The abrasive particles can be used to improve the removal rate or removal of the conductive material from the substrate surface during polishing. The abrasive particles may comprise up to about 35 wt.% Polishing composition during processing. A concentration of abrasive particles of about 0.001 wt.% To 5 wt.% Can be used in the polishing composition.

[0049]適切な研磨剤粒子は、無機の研磨剤、ポリマー研磨剤、又はその組合せを含んでいる。電解液において用いることができる無機研磨剤粒子は、シリカ、アルミナ、酸化ジルコニウム、酸化チタン、酸化セリウム、ゲルマニア、又は既知或いは未知の酸化金属のいかなる他の研磨剤をも含み、平均の大きさが約20nm〜約1000nmである。一般に、適切な無機研磨剤のモース硬さは6以上であり、本発明は研磨組成物においてより低いモース硬さが低い研磨剤の使用を企図している。   [0049] Suitable abrasive particles include an inorganic abrasive, a polymeric abrasive, or a combination thereof. Inorganic abrasive particles that can be used in the electrolyte include silica, alumina, zirconium oxide, titanium oxide, cerium oxide, germania, or any other abrasive of a known or unknown metal oxide, with an average size. About 20 nm to about 1000 nm. In general, suitable inorganic abrasives have a Mohs hardness of 6 or greater, and the present invention contemplates the use of lower Mohs abrasives in the polishing composition.

[0050]本明細書に記載されるポリマー研磨剤は、また、“有機ポリマー粒子研磨剤”、“有機研磨剤”又は“有機粒子”と呼ぶことができる。ポリマー研磨剤は、ポリマー研磨材料を備えてもよい。ポリマー研磨剤の例としては、ポリメチルメタアクリレート、ポリメチルアクリレート、ポリスチレン、ポリメタアクリロニトリル、又はその組合せが挙げられる。   [0050] The polymer abrasives described herein can also be referred to as "organic polymer particle abrasives", "organic abrasives" or "organic particles". The polymer abrasive may comprise a polymer abrasive material. Examples of polymer abrasives include polymethyl methacrylate, polymethyl acrylate, polystyrene, polymethacrylonitrile, or combinations thereof.

[0051]ポリマー研磨剤のショア硬度は約60〜約80であり、より大きい或いはより小さい硬さに変化させることができる。ポリマー研磨剤は、また、本明細書に記載される無機粒子より軟らかくてもよく、そのことは研磨対象と基板との間の摩擦が低下してしまい、無機粒子に比べて掻き傷やその他の表面欠陥の数と厳しさにおいて低下することになる。ポリマー研磨剤は、また、研磨パッド材料のみに比べて研磨性能が改善されたいかなる研磨パッド材料において用いられる材料よりも硬いものである。   [0051] The Shore hardness of the polymeric abrasive is about 60 to about 80, and can be varied to a greater or lesser hardness. The polymer abrasive may also be softer than the inorganic particles described herein, which reduces the friction between the object to be polished and the substrate, resulting in scratches and other scratches compared to the inorganic particles. There will be a reduction in the number and severity of surface defects. The polymer abrasive is also harder than the material used in any polishing pad material that has improved polishing performance compared to the polishing pad material alone.

[0052]ポリマー研磨剤の硬さは、研磨剤におけるポリマー架橋の程度を制御することによって変えることができる。例えば、より高度な架橋はポリマーの硬度が大きくなり、従って研磨剤を与える。ポリマー研磨剤の平均直径は、典型的には約1ミクロン〜約20ミクロン以下の球形ビーズとして形成される。   [0052] The hardness of the polymeric abrasive can be varied by controlling the degree of polymer crosslinking in the abrasive. For example, a higher degree of crosslinking increases the hardness of the polymer and thus provides an abrasive. The average diameter of the polymeric abrasive is typically formed as spherical beads of about 1 micron to about 20 microns or less.

[0053]ポリマー研磨剤は、基板又は組成物から除去される導電材料又は導電材料のイオンに対して親和性を有する、即ち、結合することができる官能基、例えば、1種以上の官能基をもつように変性することができ、それによって処理中に基板の表面から導電材料を除去させることに役立つ。例えば、銅が研磨プロセス中に除去される場合には、有機ポリマー粒子は除去される銅を結合するように、例えば、キレート化剤のように組成物中の化学活性剤に加えて、又は代用品として用いられるアミン基、カルボキシレート基、ピリジン基、ヒドロキシド基、銅に高い親和性を有するリガンド、又はその組合せをもつように変性させることができる。銅のような除去される金属材料は、官能基と結合前、結合中、結合後に0、1、2のようないかなる酸化状態にもなり得る。官能基は、処理中に基板表面上に生成される金属材料を結合するとともに基板表面から金属材料を除去することができる。   [0053] The polymeric abrasive has an affinity for, ie, can bind, a functional group, eg, one or more functional groups, to the conductive material or conductive material ions that are removed from the substrate or composition. Can be modified to help remove conductive material from the surface of the substrate during processing. For example, if copper is removed during the polishing process, the organic polymer particles bind to the removed copper, for example, in addition to or in place of a chemically active agent in the composition, such as a chelating agent. It can be modified to have amine groups, carboxylate groups, pyridine groups, hydroxide groups, ligands with high affinity for copper, or combinations thereof used as articles. The metal material to be removed, such as copper, can be in any oxidation state such as 0, 1, 2 before, during and after bonding with the functional group. The functional group can bind the metal material generated on the substrate surface during processing and remove the metal material from the substrate surface.

[0054]更に、ポリマー研磨剤は、望ましい化学的性質を有する。例えば、ポリマー研磨剤は広いpH範囲に対して安定であり、お互いに集合しようとしない。このことはポリマー研磨剤が組成物中の界面活性剤又は分散剤が減少した又は全くなく用いられることを可能にする。   [0054] In addition, polymeric abrasives have desirable chemical properties. For example, polymer abrasives are stable over a wide pH range and do not attempt to assemble together. This allows the polymer abrasive to be used with reduced or no surfactant or dispersant in the composition.

[0055]或いは、本明細書に記載されたポリマー材料で被覆された無機粒子もまた研磨組成物とともに用いることができる。組成物中に用いられる研磨剤は、ポリマー研磨剤、無機研磨剤、ポリマー被覆無機研磨剤の組合せであってもよく、望ましい研磨性能と結果に左右される。   [0055] Alternatively, inorganic particles coated with the polymeric materials described herein can also be used with the polishing composition. The abrasive used in the composition may be a combination of a polymeric abrasive, an inorganic abrasive, and a polymer-coated inorganic abrasive, depending on the desired polishing performance and results.

[0056]1種以上の酸化剤は、基板表面から導電材料の除去或いは除去速度を向上させるため本明細書で用いることができる。本明細書に用いられる酸化剤は、一般的には、研磨される基板の1又は複数の層から電子を受け取り、材料のより効果的除去のためその上の材料を酸化させる物質である。例えば、酸化剤が金属層を対応する酸化物又は水酸化物に例えば、銅を酸化銅に酸化させるのに用いることができる。Cu1+を含む酸化された既存の銅はCu2+イオンのようにより高い酸化状態へ更に酸化されことができる。これは次にキレート化剤と反応することができる。 [0056] One or more oxidizing agents may be used herein to improve the removal or removal rate of the conductive material from the substrate surface. As used herein, an oxidant is generally a substance that receives electrons from one or more layers of a substrate being polished and oxidizes the material thereon for more effective removal of the material. For example, an oxidizing agent can be used to oxidize the metal layer to the corresponding oxide or hydroxide, for example, copper to copper oxide. Oxidized existing copper containing Cu 1+ can be further oxidized to a higher oxidation state like Cu 2+ ions. This can then be reacted with a chelating agent.

[0057]酸化剤は約0.01%〜約90容量又は重量%、例えば、約0.1%〜約20容量又は重量%の範囲にある量で研磨組成物中に存在し得る。研磨組成物の実施形態においては、約0.1%〜約15%の過酸化水素が研磨組成物中に存在する。   [0057] The oxidizing agent may be present in the polishing composition in an amount ranging from about 0.01% to about 90% by volume or weight percent, such as from about 0.1% to about 20% volume or weight percent. In embodiments of the polishing composition, about 0.1% to about 15% hydrogen peroxide is present in the polishing composition.

[0058]適切な酸化剤の例としては、ペルオキシ化合物、例えば、過酸化水素と尿素過酸化水素、ペルカーボネート、及び、例えば、アルキルペルオキシド、環状又はアリールペルオキシド、ベンゾイルペルオキシド、過酢酸、又はジ-t-ブチルペルオキシドを含む有機ペルオキシドを含むその付加物のようなヒドロキシ基によって解離することができる化合物が挙げられる。一過硫酸塩や二過硫酸塩のような硫酸塩や硫酸塩誘導体も、例えば、ペルオキシ二硫酸アンモニウム、ペルオキシ二硫酸カリウム、過硫酸アンモニウム、又は過硫酸カリウムを含めて用いることができる。過炭酸ナトリウムと過酸化ナトリウムのような過酸化化合物の塩もまた用いられる。   [0058] Examples of suitable oxidizing agents include peroxy compounds, such as hydrogen peroxide and urea hydrogen peroxide, carbonates, and, for example, alkyl peroxides, cyclic or aryl peroxides, benzoyl peroxide, peracetic acid, or di- Examples include compounds that can be dissociated by hydroxy groups such as adducts including organic peroxides including t-butyl peroxide. Sulfates and sulfate derivatives such as monopersulfate and dipersulfate can also be used including, for example, ammonium peroxydisulfate, potassium peroxydisulfate, ammonium persulfate, or potassium persulfate. Salts of peroxide compounds such as sodium percarbonate and sodium peroxide are also used.

[0059]酸化剤もまた無機化合物又は最高の酸化状態にある元素を含む化合物で在りうる。無機化合物或いは最高の酸化状態にある元素を含む化合物の例としては、過ヨウ素酸、過ヨウ素酸塩、過臭素酸、過臭素酸塩、過塩素酸、過塩素酸塩、過ホウ素酸、硝酸塩(硝酸セリウム、硝酸鉄、硝酸アンモニウムのような)、過ホウ素塩又はと過マンガン酸塩が挙げられるがこれらに限定されない。他の酸化剤としては臭素酸塩、塩素酸塩、クロム酸塩、ヨウ素酸塩、ヨウ素酸、又は硝酸セリウムアンモニウムのようなセリウム(IV)化合物が挙げられる。   [0059] Oxidizing agents can also be inorganic compounds or compounds containing elements in the highest oxidation state. Examples of inorganic compounds or compounds containing elements in the highest oxidation state include periodic acid, periodate, perbromate, perbromate, perchloric acid, perchlorate, perboric acid, nitrate (But not limited to) perborates or permanganates (such as cerium nitrate, iron nitrate, ammonium nitrate). Other oxidizing agents include bromate, chlorate, chromate, iodate, iodate, or cerium (IV) compounds such as cerium ammonium nitrate.

[0060]上記研磨組成物の残部、即ち、残りのものは水、好ましくは脱イオン水、及び有機溶媒、例えば、アルコール又はグリコールを含む極性溶媒のような溶媒である。   [0060] The balance of the polishing composition, ie, the remainder, is water, preferably deionized water, and a solvent such as a polar solvent including an organic solvent such as an alcohol or glycol.

[0061]更に、腐食防止剤と酸化剤のような研磨組成物の成分の量と種類を制御することにより、プロセスの望ましい除去速度を調整することになり得る。例えば、少量の腐食防止剤は腐食防止速度が高い組成物と比較して除去速度を増加させることになり、少量の酸化剤は酸化剤組成物の多い組成物に比較して除去速度が遅くなる。   [0061] Furthermore, by controlling the amount and type of components of the polishing composition, such as corrosion inhibitors and oxidants, it may be possible to adjust the desired removal rate of the process. For example, a small amount of corrosion inhibitor will increase the removal rate compared to a composition with a high corrosion prevention rate, and a small amount of oxidant will have a slower removal rate than a composition with a high oxidant composition. .

[0062]本明細書に記載される研磨組成物の例は、約2容量%のエチレンジアミンと、約2重量%のクエン酸アンモニウム、約0.3重量%のベンゾトリアゾール、約0.1%〜約3容量又は重量%、例えば、約0.45%の過酸化水素、及び/又は約0.01%〜1重量%、例えば、0.15重量%の研磨剤粒子、及び約6容量%のリン酸を含んでいる。組成物のpHは約5であり、例えば、好ましい範囲にpHを調整するように水酸化カリウムを更に含む組成物によって達成することができる。研磨組成物の残りは脱イオン水である。   [0062] Examples of polishing compositions described herein include about 2% by volume ethylene diamine, about 2% by weight ammonium citrate, about 0.3% by weight benzotriazole, about 0.1% to About 3% by volume or weight%, for example about 0.45% hydrogen peroxide, and / or about 0.01% to 1% by weight, eg 0.15% by weight abrasive particles, and about 6% by volume. Contains phosphoric acid. The composition has a pH of about 5, and can be achieved, for example, by a composition further comprising potassium hydroxide to adjust the pH to a preferred range. The balance of the polishing composition is deionized water.

[0063]或いは、研磨組成物は基板表面の研磨において研磨組成物の有効性を改善する抑制剤、促進剤、平滑化剤、光沢剤、安定剤、およびストリッピング剤を含む電解液添加剤を更に含むことができる。例えば、ある添加剤は金属原子のイオン化速度を低減させることができ、それによって溶解プロセスを阻止する。これに対して他の添加剤は仕上げられた光沢基板表面を与えることができる。添加剤は約15重量又は容量%までの濃度で研磨組成物中に存在することができ、研磨後の望ましい結果に基づいて変化させることができる。   [0063] Alternatively, the polishing composition comprises an electrolyte additive comprising an inhibitor, accelerator, smoothing agent, brightener, stabilizer, and stripping agent that improves the effectiveness of the polishing composition in polishing the substrate surface. Further, it can be included. For example, certain additives can reduce the ionization rate of metal atoms, thereby preventing the dissolution process. In contrast, other additives can provide a finished glossy substrate surface. Additives can be present in the polishing composition at a concentration of up to about 15 weight or volume percent and can vary based on the desired result after polishing.

[0064]例えば、1種以上の界面活性剤を研磨組成物中に用いることができる。界面活性剤は、金属や金属イオン或いは処理中に生成された副産物のような材料の溶解或いは材料の溶解度を増加させ、研磨組成物中の研磨剤粒子のいかなる潜在的な集合をも低下させ、研磨組成物の成分の化学安定性を向上させるとともに分解を低減させるのに用いることができる。1種以上の界面活性剤は、研磨組成物の約0.001%〜約10%の濃度を含むことができる。約0.01%〜約2%、例えば、約0.1%〜約1容量又は重量%の界面活性剤の濃度は、研磨組成物の一実施形態において用いることができる。   [0064] For example, one or more surfactants can be used in the polishing composition. Surfactants increase the dissolution or solubility of materials such as metals and metal ions or by-products generated during processing, reduce any potential aggregation of abrasive particles in the polishing composition, It can be used to improve the chemical stability of the components of the polishing composition and reduce degradation. The one or more surfactants can comprise a concentration of about 0.001% to about 10% of the polishing composition. A surfactant concentration of about 0.01% to about 2%, such as about 0.1% to about 1 volume or weight percent, can be used in one embodiment of the polishing composition.

[0065]1種以上の界面活性剤は、非イオン性界面活性剤、又は陰イオン界面活性剤、陽イオン界面活性剤、両性界面活性剤、又は両性イオン界面活性剤のような1つを超えるイオン官能基を有するイオン性界面活性剤を含むイオン性界面活性剤を含むことができる。分散剤は、界面活性剤が本明細書で用いられるような界面活性剤であると考えられる。ポリマー研磨剤を含む組成物は広いpHの範囲にわたって安定であり、お互いに集合する蛍光がない。このことはポリマー研磨剤が組成物中に界面活性剤が少ししかない或いは全くない或いは分散剤が全くな用いられることを可能にする。   [0065] The one or more surfactants are more than one, such as a nonionic surfactant, or an anionic surfactant, a cationic surfactant, an amphoteric surfactant, or a zwitterionic surfactant. An ionic surfactant including an ionic surfactant having an ionic functional group can be included. The dispersant is considered to be a surfactant as the surfactant is used herein. Compositions containing polymer abrasives are stable over a wide pH range and do not fluoresce together. This allows the polymer abrasive to be used with little or no surfactant in the composition or no dispersant.

[0066]添加剤の他の例は、基板表面上の溶解電流を抑制する添加剤として本明細書に広く定義される1種以上の平滑化剤を含む。平滑化剤は導電材料に付着させ、電極と導電材料の間の電気化学的反応を阻止することによって溶解電流を抑制し、及び/又は電気化学的反応を制限する減極剤を形成する。約0.005%〜約10容量又は重量%、例えば、約0.05%〜2容量又は重量%の平滑化剤の濃度を用いることができる。   [0066] Other examples of additives include one or more smoothing agents as broadly defined herein as additives that suppress dissolution current on the substrate surface. The smoothing agent attaches to the conductive material, suppresses the dissolution current by blocking the electrochemical reaction between the electrode and the conductive material, and / or forms a depolarizer that limits the electrochemical reaction. A leveling agent concentration of about 0.005% to about 10% by volume or weight percent, for example, about 0.05% to 2% by volume or weight percent can be used.

[0067]平滑化剤としては、ポリエチレングリコールやポリエチレングリコール誘導体が含まれるがこれらに限定されない。本明細書に記載されるプロセスで用いることができる他の平滑化剤としては、ポリエチレンイミン、ポリグリシン、2-アミノ-1-ナフタレンスルホン酸、3-アミノ-1-プロパンスルホン酸、4-アミノトルエン-2-スルホン酸を含むポリアミン、ポリアミド、ポリイミドのような電気めっき技術において用いられるいかなるものも含まれる。   [0067] Smoothing agents include, but are not limited to, polyethylene glycol and polyethylene glycol derivatives. Other leveling agents that can be used in the processes described herein include polyethyleneimine, polyglycine, 2-amino-1-naphthalenesulfonic acid, 3-amino-1-propanesulfonic acid, 4-amino. Any used in electroplating techniques such as polyamines, polyamides, polyimides, including toluene-2-sulfonic acid are included.

[0068]研磨組成物の導電性を低減する電気的抵抗添加剤のようなサプレッサが組成物の約0.005%〜約2容量又は重量%の量で組成物に添加されてもよい。サプレッサとしては、ポリアクリルアミド、ポリアクリル酸ポリマー、ポリカルボキシレートポリマー、ココナツジエタノールアミド、オレインジエタノールアミド、エタノールアミド誘導体、又はその組合せが含まれる。   [0068] A suppressor, such as an electrical resistance additive that reduces the conductivity of the polishing composition, may be added to the composition in an amount of from about 0.005% to about 2 volume or weight percent of the composition. Suppressors include polyacrylamide, polyacrylic acid polymer, polycarboxylate polymer, coconut diethanolamide, olein diethanolamide, ethanolamide derivatives, or combinations thereof.

[0069]1種以上の安定剤は、組成物の安定性に測定可能な改善を与えるのに十分な量で存在することができる。1種以上の安定剤は約100ppm〜約5.0重量%(wt.%)の範囲にある量で存在することができる。好ましい安定剤の限定されない例としては、アミノトリ(メチレンホスホン)酸、1−ヒドロキシエチリデン-4-ジホスホン酸、ヘキサメチレンジアミンテトラメチレンリン酸、又はジエチレンテトラミンペンタメチレンホスホン酸、又はその誘導体塩が含まれるがこれらに限定されない。   [0069] The one or more stabilizers may be present in an amount sufficient to provide a measurable improvement in the stability of the composition. The one or more stabilizers can be present in an amount ranging from about 100 ppm to about 5.0 weight percent (wt.%). Non-limiting examples of preferred stabilizers include aminotri (methylenephosphonic) acid, 1-hydroxyethylidene-4-diphosphonic acid, hexamethylenediaminetetramethylenephosphoric acid, or diethylenetetraminepentamethylenephosphonic acid, or a derivative salt thereof. However, it is not limited to these.

[0070]促進剤は、研磨組成物に含むことができる添加剤の他の例である。促進剤は、金属除去を増加させるように基板表面上に配置された金属の電気化学反応を増大させる。組成物は、約0.1〜約1の容量又は重量%、例えば約0.25〜約0.8の容量または重量%の濃度で1種以上の促進剤を含むことができる。促進剤は、亜硫酸または二硫酸塩のような硫黄含有化合物を含むことができる。   [0070] Accelerators are other examples of additives that can be included in the polishing composition. The promoter increases the electrochemical reaction of the metal disposed on the substrate surface to increase metal removal. The composition can include one or more accelerators at a concentration of about 0.1 to about 1 volume or weight percent, such as about 0.25 to about 0.8 volume or weight percent. Accelerators can include sulfur-containing compounds such as sulfite or disulfate.

[0071]研磨組成物への添加剤の例は、更に、2002年5月7日出願の米国特許出願第10/141,459号により十分に記載され、この開示内容は本明細書に請求される態様及び開示と矛盾しない範囲で本明細書に援用されている。   [0071] Examples of additives to the polishing composition are further described more fully in US patent application Ser. No. 10 / 141,459, filed May 7, 2002, the disclosure of which is claimed herein. To the extent not inconsistent with the disclosed embodiments and disclosures.

[0072]本明細書に記載される研磨組成物で処理される基板は皿状化、浸食(金属特徴部を取り囲んでいる誘電材料の除去)、引っかき傷のようなより少ない表面欠陥と改善された平面を含む表面仕上げを改善したことが観察されている。   [0072] Substrates treated with the polishing compositions described herein are improved with fewer surface defects such as dishing, erosion (removal of dielectric material surrounding metal features), and scratches. It has been observed that surface finishes including flat surfaces have been improved.

電力供給と処理:
[0073]電力は導電材料を除去するように電極と基板の間に電位差を与えることによって、上記容器200のようなプロセス装置内に導電材料層がその上に形成された基板に供給することができる。
Power supply and processing:
[0073] Power can be applied to a substrate having a conductive material layer formed thereon in a process apparatus, such as the vessel 200, by applying a potential difference between the electrode and the substrate to remove the conductive material. it can.

[0074]研磨プロセスの例においては、基板208は、図1に示されるように平坦化プロセスに用いられる研磨ヘッド202内に配置される。研磨ヘッド202は、電気化学的にまた機械的に研磨される基板表面に、約0.01psi〜約1psi、例えば、約0.1psi〜約0.5psiの範囲で圧力を与えるために研磨パッドアセンブリ222に接触させることができる。   [0074] In an example of a polishing process, the substrate 208 is placed in a polishing head 202 that is used in a planarization process as shown in FIG. The polishing head 202 provides a polishing pad assembly to apply pressure to the substrate surface to be electrochemically and mechanically polished in the range of about 0.01 psi to about 1 psi, such as about 0.1 psi to about 0.5 psi. 222 can be contacted.

[0075]研磨パッドアセンブリ222は、本明細書に記載される電解液を含むベースン内に配置される。基板208は、研磨組成物にさらされ、導電パッド203と電気的に接触される。電源224からの電位差は、次に基板208と導電パッド203の間に与えられる。電位差は、一般的には約300mmまで、例えば、200mmの基板に対して約0.01〜約40ミリアンペア/cm2の基板の処理を含むように100ミリアンペア/cm2までの電流密度で基板の表面から導電材料のアノード溶解を与えるようにかけられる。 [0075] The polishing pad assembly 222 is disposed within a basin that includes an electrolyte described herein. The substrate 208 is exposed to the polishing composition and is in electrical contact with the conductive pad 203. The potential difference from the power source 224 is then applied between the substrate 208 and the conductive pad 203. The potential difference is typically up to about 300 mm, for example, at a current density of up to 100 milliamps / cm 2 to include processing a substrate of about 0.01 to about 40 milliamps / cm 2 for a 200 mm substrate. The surface is subjected to anodic dissolution of the conductive material.

[0076]電位差は基板表面から材料を除去するのに使用者の要求に応じて電力と供給が変化してもよい。電位差は、また、電気パルス変調技術によっても与えられ、それは2002年4月22日出願の“電気化学的機械平坦化のための方法及び装置“と称する同時係属米国特許出願番号第6,379,223号に記載されるように、第一時間周期に対して一定の電流密度或いは電圧を与え、次に第二時間周期に対して一定の逆の電流密度或いは電圧を与え、第一ステップと第二ステップを繰り返すものであり、その開示内容は本明細書に主張される態様及び開示と一致する程度まで本明細書に援用されている。   [0076] The potential difference may vary in power and supply depending on the user's requirement to remove material from the substrate surface. The potential difference is also provided by an electrical pulse modulation technique, which is co-pending US patent application Ser. No. 6,379, filed Apr. 22, 2002, entitled “Method and Apparatus for Electrochemical Mechanical Planarization”. As described in No. 223, a constant current density or voltage is applied to the first time period, and then a constant reverse current density or voltage is applied to the second time period. The two steps are repeated, the disclosure of which is hereby incorporated to the extent consistent with the aspects and disclosure claimed herein.

[0077]電位差は、一般的には、約100オングストローム/分〜15,000オングストローム/分ような約15,000オングストローム/分の速度で銅含有材料を除去するように研磨組成物にさらされた基板表面に与えられる。除去される銅の材料が5,000オングストローム未満の厚さである本発明の一実施形態においては、電圧は約100オングストローム/分〜約5,000オングストローム/分の間の除去速度を与えるように供給することができる。   [0077] The potential difference was generally exposed to the polishing composition to remove the copper-containing material at a rate of about 15,000 angstroms / minute, such as from about 100 angstroms / minute to 15,000 angstroms / minute. Given to the substrate surface. In one embodiment of the invention where the copper material being removed is less than 5,000 angstroms thick, the voltage is provided to provide a removal rate between about 100 angstroms / minute and about 5,000 angstroms / minute. be able to.

[0078]基板は、典型的には研磨組成物とその上に配置された材料の少なくとも一部又は全部を除去するのに十分な時間研磨組成物と電力供給にさらされる。   [0078] The substrate is typically exposed to the polishing composition and power supply for a time sufficient to remove the polishing composition and at least some or all of the material disposed thereon.

[0079]基板を平坦化するための正確なメカニズムは不明であるが、平坦化処理は以下のようであると考えられる。基板の表面を化学的に及び/又は電気的に絶縁する不働態化層が、基板表面を腐食防止剤、又は除去される材料と不働態化膜又は絶縁膜を形成することができる他の材料、例えば、酸化層を形成し得る酸化剤、及び/又はキレート化層を形成し得るキレート化剤にさらすことから形成される。電位差は導電材料の除去のために或いはアノード溶解によって基板表面から銅含有材料のような導電材料の除去を向上させるために与えられる。   [0079] Although the exact mechanism for planarizing the substrate is unknown, the planarization process is believed to be as follows. Passivating layer that chemically and / or electrically insulates the surface of the substrate is a corrosion inhibitor, or other material that can form a passivating film or insulating film with the material to be removed. For example, by exposure to an oxidant capable of forming an oxide layer and / or a chelating agent capable of forming a chelating layer. The potential difference is applied to remove the conductive material or to improve the removal of the conductive material, such as a copper-containing material, from the substrate surface by anodic dissolution.

[0080]不働態化層はアノード溶解のための電流を絶縁又は抑制し、機械的研磨は、透過性ディスクと基板との間の接触領域から、例えば、下にある層の過剰な堆積又はトポグラフィから基板表面上に形成される突起から不働態化層を除去するとともに下にある銅含有材料にさらすように基板と透過性ディスクとの間に与えられる。不働態化層は基板表面の溝又は谷部のように最小の或いは接触のない領域に保持される。露出された銅含有材料は、次に電気的に電解液に接続され、アノード溶解によって除去することができる。   [0080] The passivating layer insulates or suppresses the current for anodic dissolution, and mechanical polishing is performed from the contact area between the transmissive disk and the substrate, eg, excessive deposition or topography of the underlying layer. Is provided between the substrate and the transmissive disc to remove the passivation layer from the protrusions formed on the substrate surface and to expose the underlying copper-containing material. The passivation layer is held in a minimal or non-contact area such as a groove or valley on the substrate surface. The exposed copper-containing material can then be electrically connected to the electrolyte and removed by anodic dissolution.

[0081]不働態化層を谷部に保持しつつ与えられた電位差の下で研磨対象物、例えば、導電パッド203との接触により突起から不働態化層を選択的に除去することは、溶解の増大及び/又は不働態化層の下にある導電材料の除去に関連して基板表面の不働態化のない部分から過剰な銅含有材料の除去を可能にする。溶解の増大とその上に形成された不働態化層のない銅含有材料の除去は、その上に形成された谷部に比較して基板表面上に形成された突起の低減の増大を可能にし、その結果、基板表面の平坦化が促進される。   [0081] Selective removal of the passivating layer from the protrusions by contact with the object to be polished, for example, the conductive pad 203 under a given potential difference while holding the passivating layer in the trough, The excess copper-containing material can be removed from the non-passivated portion of the substrate surface in connection with the increase in the thickness and / or the removal of the conductive material under the passivation layer. The increased dissolution and removal of the copper-containing material without the passivation layer formed thereon allows for an increased reduction in protrusions formed on the substrate surface compared to the valleys formed thereon. As a result, planarization of the substrate surface is promoted.

[0082]更に、研磨とアノード溶解によって材料を除去することは従来の研磨より低い研磨圧(即ち、約2psi以下)で基板表面が平坦化されることを可能にする。より低い研磨圧は、この処理を研磨からの変形と欠陥形成が低減された或いは最小で、低k誘電率材料を研磨するように基板と研磨パッドの間の接触圧に敏感な基板表面を平坦化するのに適したものにする、より小さいせん断力と摩擦力に対応している。更に、より小さいせん断力と摩擦力は、研磨中皿状化と引っかき傷のようなトポグラフィ欠陥形成を低減又は最小化するように観察された。   [0082] Furthermore, removing material by polishing and anodic dissolution allows the substrate surface to be planarized at a lower polishing pressure (ie, about 2 psi or less) than conventional polishing. Lower polishing pressures flatten the substrate surface sensitive to contact pressure between the substrate and the polishing pad so as to polish low-k dielectric materials, with this process reduced or minimal deformation and defect formation from polishing. Corresponds to smaller shear and friction forces that make it suitable for use. Furthermore, smaller shear and friction forces were observed to reduce or minimize topography defect formation such as dishing and scratching during polishing.

[0083]次の制限されない実施例は、本発明の実施形態を更に具体的に説明するため示される。しかしながら、実施例は本明細書に記載される本発明の範囲をすべて含むことを意図したものでなく、また限定することを意図したものでもない。   [0083] The following non-limiting examples are presented to more specifically illustrate embodiments of the present invention. However, the examples are not intended to be exhaustive or to limit the scope of the invention described herein.

実施例1:
[0084]銅メッキされたウエハを、カリフォルニア州サンタクララのApplied Materials社から入手可能なReflection(登録商標)システムについて改変したセル内に下記研磨組成物を用いて研磨し平坦化した。
約6容量%のリン酸;
約2容量%のエチレンジアミン;
約2重量%のクエン酸アンモニウム;
約0.3重量%のベンゾトリアゾール;
約2%〜約6%の約5のpHを与える水酸化カリウム;
約0.45容量%の過酸化水素;
脱イオン水。
Example 1:
[0084] Copper plated wafers were polished and planarized using the following polishing composition in a cell modified for the Reflection® system available from Applied Materials, Inc., Santa Clara, California.
About 6% by volume phosphoric acid;
About 2% by volume of ethylenediamine;
About 2% by weight ammonium citrate;
About 0.3% by weight of benzotriazole;
Potassium hydroxide which provides a pH of about 5 from about 2% to about 6%;
About 0.45% by volume of hydrogen peroxide;
Deionized water.

実施例2:
[0085]銅メッキされたウエハを、カリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能なReflection(登録商標)システムについて改変したセル内に下記研磨組成物を用いて研磨し平坦化した。
約6容量%のリン酸;
約2容量%のエチレンジアミン;
約2重量%のクエン酸アンモニウム;
約0.3重量%のベンゾトリアゾール;
約2%〜約6%の約5のpHを与える水酸化カリウム;
約0.45容量%の過酸化水素;
約0.15重量%のシリカ(SiO2)研磨剤粒子;
脱イオン水。
Example 2:
[0085] The copper plated wafer was polished and planarized using the following polishing composition in a cell modified for the Reflection® system available from Applied Materials, Inc., Santa Clara, California.
About 6% by volume phosphoric acid;
About 2% by volume of ethylenediamine;
About 2% by weight ammonium citrate;
About 0.3% by weight of benzotriazole;
Potassium hydroxide which provides a pH of about 5 from about 2% to about 6%;
About 0.45% by volume of hydrogen peroxide;
About 0.15 wt% silica (SiO 2 ) abrasive particles;
Deionized water.

実施例3:
[0086]銅メッキされたをカリフォルニア州サンタクララのApplied Materials社から入手可能なReflection(登録商標)システムについて改変したセル内に下記研磨組成物を用いて研磨し平坦化した。
約6容量%のリン酸;
約2容量%のエチレンジアミン;
約2重量%のクエン酸アンモニウム;
約0.3重量%のベンゾトリアゾール;
約2%〜約6%のpH約6を与える水酸化カリウム;
約0.1重量%のシリカ(SiO2)研磨剤粒子;
脱イオン水。
Example 3:
[0086] The copper plated was polished and planarized using the following polishing composition in a cell modified for the Reflection® system available from Applied Materials, Inc., Santa Clara, California.
About 6% by volume phosphoric acid;
About 2% by volume of ethylenediamine;
About 2% by weight ammonium citrate;
About 0.3% by weight of benzotriazole;
Potassium hydroxide which provides a pH of about 2% to about 6% of about 6;
About 0.1% by weight silica (SiO 2 ) abrasive particles;
Deionized water.

[0087]上記は本発明の実施形態に関するが、本発明の更に多くの実施形態がその基本的範囲から離れることなく工夫され、その範囲は続く特許請求の範囲によって決定される。   [0087] While the above is directed to embodiments of the invention, many more embodiments of the invention can be devised without departing from the basic scope thereof, which scope is determined by the following claims.

研磨プロセスステーションの一実施形態の断面図である。1 is a cross-sectional view of one embodiment of a polishing process station.

符号の説明Explanation of symbols


200…プロセスセル、202…研磨ヘッド、203…導電パッド、204…ベースン、206…ベース、207…バッキング、208…基板、209…電極、210…駆動システム、214…ハウジング、216…ブラッダ、218…ポート、220…バルブ、222…パッドアセンブリ、223A、B…電線、224…電源、226…スリップリング、228…キャッチベースン、230…出口、232…分配システム、234…軸受、236…駆動システム、238…保持リング、242…研磨組成物供給源、244…底部、246…側壁、250…コンディショニングデバイス、252…アーム、256…シャフト、258…コンディショニング素子。

DESCRIPTION OF SYMBOLS 200 ... Process cell, 202 ... Polishing head, 203 ... Conductive pad, 204 ... Basin, 206 ... Base, 207 ... Backing, 208 ... Substrate, 209 ... Electrode, 210 ... Drive system, 214 ... Housing, 216 ... Bladder, 218 ... Port, 220 ... Valve, 222 ... Pad assembly, 223A, B ... Electric wire, 224 ... Power supply, 226 ... Slip ring, 228 ... Catch basin, 230 ... Outlet, 232 ... Distribution system, 234 ... Bearing, 236 ... Drive system, 238 ... retaining ring, 242 ... polishing composition supply source, 244 ... bottom, 246 ... sidewall, 250 ... conditioning device, 252 ... arm, 256 ... shaft, 258 ... conditioning element.

Claims (35)

基板表面から少なくとも導電材料を除去するための組成物であって、
酸ベースの電解液系と;
1種以上のキレート化剤と;
1種以上の腐食防止剤と;
1種以上の無機酸塩又は有機酸塩と;
1種以上のpH約2〜約10を与えるpH調整剤と;
研磨剤粒子、1種以上の酸化剤、及びその組合せより選ばれた研磨促進材料と;
溶媒と;
を備えている、前記組成物。
A composition for removing at least a conductive material from a substrate surface,
An acid-based electrolyte system;
One or more chelating agents;
One or more corrosion inhibitors;
One or more inorganic acid salts or organic acid salts;
One or more pH modifiers providing about 2 to about 10 pH;
A polishing promoting material selected from abrasive particles, one or more oxidizing agents, and combinations thereof;
With a solvent;
Comprising the composition.
該酸ベースの電解液系が、リン酸ベースの電解液、硫酸ベースの電解液、過塩素酸ベースの電解液、酢酸ベースの電解液、及びその組合せの群より選ばれる、請求項1記載の組成物。   The acid-based electrolyte system is selected from the group of phosphoric acid-based electrolytes, sulfuric acid-based electrolytes, perchloric acid-based electrolytes, acetic acid-based electrolytes, and combinations thereof. Composition. 1種以上の該キレート化剤が、アミン基、アミド基、カルボキシレート基、ジカルボキシレート基、トリカルボキシレート基、及びその組合せからなる群より選ばれた1つ以上の官能基を有する化合物を含んでいる、請求項1記載の組成物。   A compound in which one or more of the chelating agents have one or more functional groups selected from the group consisting of an amine group, an amide group, a carboxylate group, a dicarboxylate group, a tricarboxylate group, and combinations thereof; A composition according to claim 1 comprising. 1種以上の該キレート化剤が、エチレンジアミン、ヘキサジアミン、アミノ酸、エチレンジアミン四酢酸、メチルホルムアミド、クエン酸、酒石酸、コハク酸、シュウ酸、酢酸、アジピン酸、酪酸、カプリン酸、カプロン酸、カプリル酸、グルタル酸、グリコール酸、ギ酸、フマル酸、乳酸、ラウリン酸、リンゴ酸、マレイン酸、マロン酸、ミリスチン酸、パルミチン酸、フタル酸、プロピオン酸、ピルビン酸、ステアリン酸、吉草酸、及びその組合せからなる群より選ばれる、請求項3記載の組成物。   One or more of the chelating agents are ethylenediamine, hexadiamine, amino acid, ethylenediaminetetraacetic acid, methylformamide, citric acid, tartaric acid, succinic acid, oxalic acid, acetic acid, adipic acid, butyric acid, capric acid, caproic acid, caprylic acid , Glutaric acid, glycolic acid, formic acid, fumaric acid, lactic acid, lauric acid, malic acid, maleic acid, malonic acid, myristic acid, palmitic acid, phthalic acid, propionic acid, pyruvic acid, stearic acid, valeric acid, and combinations thereof The composition according to claim 3, which is selected from the group consisting of: 1種以上の該腐食防止剤が、1つ以上のアゾール基を有する、請求項1記載の組成物。   The composition of claim 1, wherein the one or more corrosion inhibitors have one or more azole groups. 1種以上の腐食防止剤が、ベンゾトリアゾール、イミダゾール、ベンズイミダゾール、トリアゾール、及びヒドロキシ基、アミノ基、イミノ基、カルボキシ基、メルカプト基、ニトロ基、アルキル基で置換された、ベンゾトリアゾール、イミダゾール、ベンズイミダゾール、トリアゾールの誘導体、及びその組合せからなる群より選ばれる、請求項5記載の組成物。   Benzotriazole, imidazole, wherein one or more corrosion inhibitors are substituted with benzotriazole, imidazole, benzimidazole, triazole, and hydroxy, amino, imino, carboxy, mercapto, nitro, alkyl groups 6. The composition of claim 5, selected from the group consisting of benzimidazole, triazole derivatives, and combinations thereof. 1種以上の該無機塩又は有機塩が、有機酸のアンモニウム塩、有機酸のカリウム塩、又はその組合せを含む、請求項1記載の組成物。   The composition of claim 1, wherein the one or more inorganic or organic salts comprise an ammonium salt of an organic acid, a potassium salt of an organic acid, or a combination thereof. 1種以上の該無機塩又は有機塩が、シュウ酸アンミニウム、クエン酸アンモニウム、コハク酸アンモニウム、一塩基性クエン酸カリウム、二塩基性クエン酸カリウム、三塩基性クエン酸カリウム、酒石酸カリウム、酒石酸アンミニウム、コハク酸カリウム、シュウ酸カリウム、及びその組合せの群より選ばれる、請求項7記載の組成物。   One or more of the inorganic or organic salts may be ammonium oxalate, ammonium citrate, ammonium succinate, monobasic potassium citrate, dibasic potassium citrate, tribasic potassium citrate, potassium tartrate, ammonium tartrate 8. The composition of claim 7, selected from the group of: potassium succinate, potassium oxalate, and combinations thereof. 1種以上の該pH調整剤が
有機カルボン酸、無機強酸、及びその組合せからなる群より選ばれた1種以上の酸;
リン酸塩含有成分;
水酸化カリウム、水酸化アンモニウム、及びその組合せの群より選ばれた1種以上の塩基;又は
その組合せを備えている、請求項1記載の組成物。
One or more acids selected from the group consisting of organic carboxylic acids, strong inorganic acids, and combinations thereof, wherein the one or more pH modifiers;
Phosphate-containing components;
The composition of claim 1 comprising one or more bases selected from the group of potassium hydroxide, ammonium hydroxide, and combinations thereof; or combinations thereof.
該研磨剤が、無機研磨剤、ポリマー研磨剤、又はその組合せを含む、請求項1記載の組成物。   The composition of claim 1, wherein the abrasive comprises an inorganic abrasive, a polymeric abrasive, or a combination thereof. 1種以上の該酸化剤が、ペルオキシ化合物、ペルオキシ化合物の塩、有機ペルオキシド、硫酸塩、硫酸塩の誘導体、最高酸化状態で元素を含有する化合物、及びその組合せの群より選ばれる、請求項1記載の組成物。   The one or more oxidizing agents are selected from the group of peroxy compounds, salts of peroxy compounds, organic peroxides, sulfates, sulfate derivatives, compounds containing elements in the highest oxidation state, and combinations thereof. The composition as described. 該組成物が
全組成物容量に対して約1%〜約30重量%(wt.%)の該酸ベースの電解液系と;
約0.1%〜約15容量又は重量%の1種以上の該キレート化剤と;
約0.01%〜約1.0容量又は重量%の1種以上の該腐食防止剤と;
約0.1%〜約15容量又は重量%の1種以上の該無機酸塩又は有機酸塩と;
約0.1%〜約25容量又は重量%の該pH調整剤と;
約0.001%〜約30重量%の研磨剤粒子と;
残量の溶媒と;
を備えている、請求項1記載の組成物。
From about 1% to about 30% by weight (wt.%) Of the acid-based electrolyte system with respect to the total composition volume;
From about 0.1% to about 15% by volume or weight percent of one or more of the chelating agents;
From about 0.01% to about 1.0 volume or weight percent of one or more of the corrosion inhibitors;
From about 0.1% to about 15% by volume or weight percent of one or more of the inorganic or organic acid salts;
From about 0.1% to about 25% by volume or weight percent of the pH modifier;
From about 0.001% to about 30% by weight abrasive particles;
With remaining solvent;
The composition of claim 1 comprising:
約0.1%〜約25容量又は重量%の1種以上の該酸化剤を更に備えている、請求項12記載の組成物。   13. The composition of claim 12, further comprising about 0.1% to about 25 volume or weight percent of one or more oxidizers. 該組成物が
全組成物容量に対して約1%〜約30重量%の該酸ベースの電解液系と;
約0.1%〜約15容量又は重量%の1種以上の該キレート化剤と;
約0.001%〜約5.0容量又は重量%の1種以上の該腐食防止剤と;
約0.1%〜約15容量又は重量%の1種以上の該無機酸塩又は有機酸塩と;
約0.1%〜約25%容量又は重量の該pH調整剤と;
約0.1%〜約25容量又は重量%の酸化剤と;
残量の溶媒と;
を備えている、請求項1記載の組成物。
About 1% to about 30% by weight of the acid-based electrolyte system with respect to the total composition volume;
From about 0.1% to about 15% by volume or weight percent of one or more of the chelating agents;
From about 0.001% to about 5.0 volume or weight percent of one or more of the corrosion inhibitors;
From about 0.1% to about 15% by volume or weight percent of one or more of the inorganic or organic acid salts;
From about 0.1% to about 25% volume or weight of the pH modifier;
From about 0.1% to about 25% by volume or weight percent oxidizing agent;
With remaining solvent;
The composition of claim 1 comprising:
該組成物が
約6容量%のリン酸と;
約2容量%のエチレンジアミンと;
約0.3重量%のベンゾトリアゾールと;
約2重量%のクエン酸アンモニウムと;
約2〜約6容量%のpH約5を得るための水酸化カリウムと;
約0.1〜約0.15重量%のシリカ研磨剤と;
脱イオン水と;
を備えている、請求項1記載組成物。
The composition comprises about 6% by volume phosphoric acid;
About 2% by volume ethylenediamine;
About 0.3% by weight of benzotriazole;
About 2% by weight of ammonium citrate;
Potassium hydroxide to obtain about 2 to about 6 volume percent pH about 5;
From about 0.1 to about 0.15% by weight of silica abrasive;
With deionized water;
The composition of claim 1 comprising:
約0.45容量%の過酸化水素を更に備えている、請求項15記載の組成物。   16. The composition of claim 15, further comprising about 0.45% by volume hydrogen peroxide. 該組成物が
約6容量%のリン酸と;
約2容量%のエチレンジアミンと;
約0.3重量%のベンゾトリアゾールと;
約2重量%のクエン酸アンモニウムと;
約2%〜約6容量%の、pH約5を与える水酸化カリウムと;
約0.45重量%の過酸化水素と;
脱イオン水と;
を備えている、請求項1記載の組成物。
The composition comprises about 6% by volume phosphoric acid;
About 2% by volume ethylenediamine;
About 0.3% by weight of benzotriazole;
About 2% by weight of ammonium citrate;
About 2% to about 6% by volume of potassium hydroxide providing a pH of about 5;
About 0.45% by weight of hydrogen peroxide;
With deionized water;
The composition of claim 1 comprising:
基板を処理する方法であって、
導電材料層がその上に形成された基板を第一電極と第二電極を備えているプロセス装置内に配置するステップであって、該基板が該第二電極と電気接触した状態にある、前記ステップと;
該第一電極と該基板との間に研磨組成物を供給するステップであって、該研磨組成物が
酸ベースの電解液系と;
1種以上のキレート化剤と;
1種以上の腐食防止剤と;
1種以上の無機酸塩又は有機酸塩と;
1種以上のpH約2〜約10を与えるpH調整剤と;
研磨剤粒子、1種以上の酸化剤、及びその組合せの群より選ばれた研磨促進材料と;
溶媒と;
を備えている、前記ステップと;
該第一電極と該第二電極との間に電位差を与えるステップと;
該導電材料層から導電材料を除去するステップと
を備えている、前記方法。
A method of processing a substrate, comprising:
Disposing a substrate having a conductive material layer formed thereon in a process apparatus comprising a first electrode and a second electrode, wherein the substrate is in electrical contact with the second electrode; Steps and;
Supplying a polishing composition between the first electrode and the substrate, the polishing composition comprising an acid-based electrolyte system;
One or more chelating agents;
One or more corrosion inhibitors;
One or more inorganic acid salts or organic acid salts;
One or more pH modifiers providing about 2 to about 10 pH;
A polishing promoting material selected from the group of abrasive particles, one or more oxidizing agents, and combinations thereof;
With a solvent;
Said step comprising:
Providing a potential difference between the first electrode and the second electrode;
Removing the conductive material from the conductive material layer.
該電位差を該基板に与えてアノード溶解を約0.01ミリアンペア/cm2〜約100ミリアンペア/cm2の電流密度で開始する、請求項18記載の方法。 19. The method of claim 18, wherein the potential difference is applied to the substrate to initiate anodic dissolution at a current density of about 0.01 milliamps / cm < 2 > to about 100 milliamps / cm < 2 >. 該酸ベースの電解液系が、リン酸ベースの電解液、硫酸ベースの電解液、過塩素酸ベースの電解液、酢酸ベースの電解液、及びその組合せの群より選ばれる、請求項18記載の方法。   19. The acid-based electrolyte system is selected from the group of phosphoric acid-based electrolyte, sulfuric acid-based electrolyte, perchloric acid-based electrolyte, acetic acid-based electrolyte, and combinations thereof. Method. 1種以上の該キレート化剤が、アミン基、アミド基、カルボキシレート基、ジカルボキシレート基、トリカルボキシレート基、及びその組合せからなる群より選ばれた1つ以上の官能基を有する化合物を含んでいる、請求項18記載の方法。   A compound in which one or more of the chelating agents have one or more functional groups selected from the group consisting of an amine group, an amide group, a carboxylate group, a dicarboxylate group, a tricarboxylate group, and combinations thereof; 19. The method of claim 18, comprising. 1種以上の該キレート化剤が、エチレンジアミン、ヘキサジアミン、アミノ酸、エチレンジアミン四酢酸、メチルホルムアミド、クエン酸、酒石酸、コハク酸、シュウ酸、酢酸、アジピン酸、酪酸、カプリン酸、カプロン酸、カプリル酸、グルタル酸、グリコール酸、ギ酸、フマル酸、乳酸、ラウリン酸、リンゴ酸、マレイン酸、マロン酸、ミリスチン酸、パルミチン酸、フタル酸、プロピオン酸、ピルビン酸、ステアリン酸、吉草酸、及びその組合せからなる群より選ばれる、請求項21記載の方法。   One or more of the chelating agents are ethylenediamine, hexadiamine, amino acid, ethylenediaminetetraacetic acid, methylformamide, citric acid, tartaric acid, succinic acid, oxalic acid, acetic acid, adipic acid, butyric acid, capric acid, caproic acid, caprylic acid , Glutaric acid, glycolic acid, formic acid, fumaric acid, lactic acid, lauric acid, malic acid, maleic acid, malonic acid, myristic acid, palmitic acid, phthalic acid, propionic acid, pyruvic acid, stearic acid, valeric acid, and combinations thereof The method of claim 21, wherein the method is selected from the group consisting of: 1種以上の該腐食防止剤が、1つ以上のアゾール基を有する、請求項18記載の方法。   The method of claim 18, wherein the one or more corrosion inhibitors have one or more azole groups. 1種以上の腐食防止剤が、ベンゾトリアゾール、イミダゾール、ベンズイミダゾール、トリアゾール、及びヒドロキシ基、アミノ基、イミノ基、カルボキシ基、メルカプト基、ニトロ基、アルキル基で置換された、ベンゾトリアゾール、イミダゾール、ベンズイミダゾール、トリアゾールの誘導体、及びその組合せからなる群より選ばれる、請求項23記載の方法。   Benzotriazole, imidazole, wherein one or more corrosion inhibitors are substituted with benzotriazole, imidazole, benzimidazole, triazole, and hydroxy, amino, imino, carboxy, mercapto, nitro, alkyl groups 24. The method of claim 23, selected from the group consisting of benzimidazole, triazole derivatives, and combinations thereof. 1種以上の該無機塩又は有機塩が、有機酸のアンモニウム塩、有機酸のカリウム塩、又はその組合せを備えている、請求項18記載の方法。   The method of claim 18, wherein the one or more inorganic or organic salts comprise an ammonium salt of an organic acid, a potassium salt of an organic acid, or a combination thereof. 1種以上の該無機塩又は有機塩が、シュウ酸アンミニウム、クエン酸アンモニウム、コハク酸アンモニウム、一塩基性クエン酸カリウム、二塩基性クエン酸カリウム、三塩基性クエン酸カリウム、酒石酸カリウム、酒石酸アンミニウム、コハク酸カリウム、シュウ酸カリウム、その組合せの群より選ばれる、請求項25記載の方法。   One or more of the inorganic or organic salts may be ammonium oxalate, ammonium citrate, ammonium succinate, monobasic potassium citrate, dibasic potassium citrate, tribasic potassium citrate, potassium tartrate, ammonium tartrate 26. The method of claim 25, selected from the group of: potassium succinate, potassium oxalate, and combinations thereof. 1種以上の該pH調整剤が
有機カルボン酸、無機強酸、及びその組合せからなる群より選ばれた1種以上の酸;
リン酸塩含有成分;
水酸化カリウム、水酸化アンモニウム、及びその組合せの群より選ばれた1種以上の塩基;又は
その組合せを備えている、請求項18記載の方法。
One or more acids selected from the group consisting of organic carboxylic acids, strong inorganic acids, and combinations thereof, wherein the one or more pH modifiers;
Phosphate-containing components;
19. The method of claim 18, comprising one or more bases selected from the group of potassium hydroxide, ammonium hydroxide, and combinations thereof; or combinations thereof.
該研磨剤が、無機研磨剤、ポリマー研磨剤、又はその組合せを備えている、請求項18記載の方法。   The method of claim 18, wherein the abrasive comprises an inorganic abrasive, a polymeric abrasive, or a combination thereof. 1種以上の該酸化剤が、ペルオキシ化合物、ペルオキシ化合物の塩、有機ペルオキシド、硫酸塩、硫酸塩の誘導体、最高酸化状態で元素を含有する化合物、その組合せの群より選ばれる、請求項18記載の方法。   19. The one or more oxidizing agents are selected from the group of peroxy compounds, salts of peroxy compounds, organic peroxides, sulfates, sulfate derivatives, compounds containing elements in the highest oxidation state, combinations thereof. the method of. 該組成物が
全組成物容量に対して約1%〜約30重量%(wt.%)の該酸ベースの電解液系と;
約0.1%〜約15容量又は重量%の1種以上の該キレート化剤と;
約0.01%〜約1.0容量又は重量%の1種以上の該腐食防止剤と;
約0.1%〜約15容量又は重量%の1種以上の該無機酸塩又は有機酸塩と;
約0.1%〜約25容量又は重量%の該pH調整剤と;
約0.01%〜約30重量%の研磨剤粒子と;
残量の溶媒と;
を備えている、請求項18記載の組成物。
From about 1% to about 30% by weight (wt.%) Of the acid-based electrolyte system with respect to the total composition volume;
From about 0.1% to about 15% by volume or weight percent of one or more of the chelating agents;
From about 0.01% to about 1.0 volume or weight percent of one or more of the corrosion inhibitors;
From about 0.1% to about 15% by volume or weight percent of one or more of the inorganic or organic acid salts;
From about 0.1% to about 25% by volume or weight percent of the pH modifier;
From about 0.01% to about 30% by weight abrasive particles;
With remaining solvent;
19. The composition of claim 18 comprising:
約0.1%〜約25容量又は重量%の1種以上の該酸化剤を更に含む、請求項30記載の組成物。   32. The composition of claim 30, further comprising from about 0.1% to about 25 volume or weight percent of one or more of the oxidizer. 該組成物が
全組成物容量に対して約1%〜約30重量%の該酸ベースの電解液系と;
約0.1%〜約15容量又は重量%の1種以上の該キレート化剤と;
約0.01%〜約1.0容量又は重量%の1種以上の該腐食防止剤と;
約0.1%〜約15容量又は重量%の1種以上の該無機酸塩又は有機酸塩と;
約0.1%〜約25容量又は重量%の該pH調整剤と;
約0.1%〜約25容量又は重量%の酸化剤と;
残量の溶媒と;
を備えている、請求項18記載の方法。
About 1% to about 30% by weight of the acid-based electrolyte system with respect to the total composition volume;
From about 0.1% to about 15% by volume or weight percent of one or more of the chelating agents;
From about 0.01% to about 1.0 volume or weight percent of one or more of the corrosion inhibitors;
From about 0.1% to about 15% by volume or weight percent of one or more of the inorganic or organic acid salts;
From about 0.1% to about 25% by volume or weight percent of the pH modifier;
From about 0.1% to about 25% by volume or weight percent oxidizing agent;
With remaining solvent;
The method of claim 18 comprising:
該組成物が
約6容量%のリン酸と;
約2容量%のエチレンジアミンと;
約0.3%重量のベンゾトリアゾールと;
約2重量%のクエン酸アンモニウムと;
約2〜約6容量%のpH約5を得るための水酸化カリウムと;
約0.1〜約0.15重量%のシリカ研磨剤と;
脱イオン水と;
を備えている、請求項18記載方法。
The composition comprises about 6% by volume phosphoric acid;
About 2% by volume ethylenediamine;
About 0.3% by weight of benzotriazole;
About 2% by weight of ammonium citrate;
Potassium hydroxide to obtain about 2 to about 6 volume percent pH about 5;
From about 0.1 to about 0.15% by weight of silica abrasive;
With deionized water;
The method of claim 18 comprising:
約0.45容量%の過酸化水素を更に備えている、請求項33記載の方法。   34. The method of claim 33, further comprising about 0.45% by volume hydrogen peroxide. 該組成物が
約6容量%のリン酸と;
約2容量%のエチレンジアミンと;
約0.3重量%のベンゾトリアゾールと;
約2重量%のクエン酸アンモニウムと;
約2%〜約6容量%のpH約5を与える水酸化カリウムと;
約0.45容量%の過酸化水素と;
脱イオン水と;
を備えている、請求項18記載の方法。
The composition comprises about 6% by volume phosphoric acid;
About 2% by volume ethylenediamine;
About 0.3% by weight of benzotriazole;
About 2% by weight of ammonium citrate;
Potassium hydroxide providing a pH of about 2% to about 6% by volume of about 5;
About 0.45% by volume of hydrogen peroxide;
With deionized water;
The method of claim 18 comprising:
JP2003571365A 2002-02-26 2003-02-26 Method and composition for polishing a substrate Pending JP2005518670A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US35974602P 2002-02-26 2002-02-26
PCT/US2003/006058 WO2003072672A1 (en) 2002-02-26 2003-02-26 Method and composition for polishing a substrate

Publications (2)

Publication Number Publication Date
JP2005518670A true JP2005518670A (en) 2005-06-23
JP2005518670A5 JP2005518670A5 (en) 2009-07-30

Family

ID=27766131

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003571365A Pending JP2005518670A (en) 2002-02-26 2003-02-26 Method and composition for polishing a substrate

Country Status (6)

Country Link
EP (1) EP1478708A1 (en)
JP (1) JP2005518670A (en)
KR (1) KR20040093725A (en)
CN (1) CN1646649A (en)
TW (1) TWI307356B (en)
WO (1) WO2003072672A1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007096253A (en) * 2005-09-02 2007-04-12 Fujimi Inc Polishing composition
JP2007519828A (en) * 2004-01-29 2007-07-19 アプライド マテリアルズ インコーポレイテッド Method and composition for polishing a substrate
JP2007260899A (en) * 2006-03-29 2007-10-11 Applied Materials Inc Adjustment of removable profile by adjusting conditioning sweep profile of electroconductive pad
JP2011513991A (en) * 2008-03-05 2011-04-28 キャボット マイクロエレクトロニクス コーポレイション Polishing method of silicon carbide using water-soluble oxidizing agent
JPWO2015129342A1 (en) * 2014-02-26 2017-03-30 株式会社フジミインコーポレーテッド Polishing composition

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7129160B2 (en) 2002-08-29 2006-10-31 Micron Technology, Inc. Method for simultaneously removing multiple conductive materials from microelectronic substrates
US7153195B2 (en) 2000-08-30 2006-12-26 Micron Technology, Inc. Methods and apparatus for selectively removing conductive material from a microelectronic substrate
US7220166B2 (en) 2000-08-30 2007-05-22 Micron Technology, Inc. Methods and apparatus for electromechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate
US7078308B2 (en) 2002-08-29 2006-07-18 Micron Technology, Inc. Method and apparatus for removing adjacent conductive and nonconductive materials of a microelectronic substrate
US7160432B2 (en) * 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
TWI288046B (en) * 2003-11-14 2007-10-11 Showa Denko Kk Polishing composition and polishing method
US7153777B2 (en) 2004-02-20 2006-12-26 Micron Technology, Inc. Methods and apparatuses for electrochemical-mechanical polishing
US7125324B2 (en) * 2004-03-09 2006-10-24 3M Innovative Properties Company Insulated pad conditioner and method of using same
US20050263407A1 (en) * 2004-05-28 2005-12-01 Cabot Microelectronics Corporation Electrochemical-mechanical polishing composition and method for using the same
US7247567B2 (en) 2004-06-16 2007-07-24 Cabot Microelectronics Corporation Method of polishing a tungsten-containing substrate
US7582127B2 (en) 2004-06-16 2009-09-01 Cabot Microelectronics Corporation Polishing composition for a tungsten-containing substrate
US7566391B2 (en) 2004-09-01 2009-07-28 Micron Technology, Inc. Methods and systems for removing materials from microfeature workpieces with organic and/or non-aqueous electrolytic media
US20060118760A1 (en) * 2004-12-03 2006-06-08 Yang Andy C Slurry composition and methods for chemical mechanical polishing
US20060163083A1 (en) * 2005-01-21 2006-07-27 International Business Machines Corporation Method and composition for electro-chemical-mechanical polishing
KR100772929B1 (en) 2005-10-18 2007-11-02 테크노세미켐 주식회사 CMP slurry composition for copper damascene process
FI120793B (en) 2006-01-25 2010-03-15 Coefa Company Ltd Oy Procedure for cleaning a cannon tube
US8110508B2 (en) 2007-11-22 2012-02-07 Samsung Electronics Co., Ltd. Method of forming a bump structure using an etching composition for an under bump metallurgy layer
CN102403212B (en) * 2010-09-17 2014-12-10 长兴开发科技股份有限公司 Method for polishing silicon through hole wafer and polishing combination for the same
TWI575040B (en) * 2011-03-18 2017-03-21 長興開發科技股份有限公司 Polishing composition for polishing through-silicon via (tsv) wafer and use of the same
WO2013084934A1 (en) * 2011-12-06 2013-06-13 国立大学法人大阪大学 Method for manufacturing solid oxide and device therefor
CN102634840B (en) * 2012-05-02 2014-08-13 浙江大学 Electrochemical polishing electrolytic solution of zirconium alloy and electrochemical polishing method of electrochemical polishing electrolytic solution
US8961807B2 (en) * 2013-03-15 2015-02-24 Cabot Microelectronics Corporation CMP compositions with low solids content and methods related thereto
US9914852B2 (en) 2014-08-19 2018-03-13 Fujifilm Planar Solutions, LLC Reduction in large particle counts in polishing slurries
CN104404611B (en) * 2014-11-28 2016-11-30 江门市瑞期精细化学工程有限公司 A kind of release agent for electrolytic of copper alloy surface coating and preparation method thereof
CN105273638B (en) * 2015-10-14 2017-08-29 盐城工学院 Anti- cleavage suspension lapping liquid of gallium oxide wafer and preparation method thereof
US10106705B1 (en) * 2017-03-29 2018-10-23 Fujifilm Planar Solutions, LLC Polishing compositions and methods of use thereof
CN109648165A (en) * 2018-12-13 2019-04-19 大连理工大学 A kind of electrolyte of copper micro-electrochemical machining jet stream processing and its preparation and application method
CN110524408A (en) * 2019-09-12 2019-12-03 江苏吉星新材料有限公司 A kind of sapphire wafer grinding method
CN113201285A (en) * 2021-04-29 2021-08-03 安徽应友光电科技有限公司 Precise grinding fluid for back plate of CVD (chemical vapor deposition) equipment, preparation process and processing method
CN114481286A (en) * 2021-12-28 2022-05-13 广东省科学院化工研究所 Solid particles for electrolytic polishing

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5858813A (en) * 1996-05-10 1999-01-12 Cabot Corporation Chemical mechanical polishing slurry for metal layers and films
AU3661997A (en) * 1996-07-25 1998-02-20 Ekc Technology, Inc. Chemical mechanical polishing composition and process
KR20010042616A (en) * 1998-04-10 2001-05-25 페로 코포레이션 Slurry for chemical-mechanical polishing metal surfaces
US6348076B1 (en) * 1999-10-08 2002-02-19 International Business Machines Corporation Slurry for mechanical polishing (CMP) of metals and use thereof
US6379223B1 (en) * 1999-11-29 2002-04-30 Applied Materials, Inc. Method and apparatus for electrochemical-mechanical planarization
WO2001077241A2 (en) * 2000-04-05 2001-10-18 Applied Materials, Inc. Composition for metal cmp with low dishing and overpolish insensitivity

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007519828A (en) * 2004-01-29 2007-07-19 アプライド マテリアルズ インコーポレイテッド Method and composition for polishing a substrate
JP2007096253A (en) * 2005-09-02 2007-04-12 Fujimi Inc Polishing composition
JP2007260899A (en) * 2006-03-29 2007-10-11 Applied Materials Inc Adjustment of removable profile by adjusting conditioning sweep profile of electroconductive pad
JP2011513991A (en) * 2008-03-05 2011-04-28 キャボット マイクロエレクトロニクス コーポレイション Polishing method of silicon carbide using water-soluble oxidizing agent
JPWO2015129342A1 (en) * 2014-02-26 2017-03-30 株式会社フジミインコーポレーテッド Polishing composition

Also Published As

Publication number Publication date
WO2003072672A1 (en) 2003-09-04
EP1478708A1 (en) 2004-11-24
TW200416271A (en) 2004-09-01
CN1646649A (en) 2005-07-27
KR20040093725A (en) 2004-11-08
TWI307356B (en) 2009-03-11

Similar Documents

Publication Publication Date Title
US7128825B2 (en) Method and composition for polishing a substrate
US7232514B2 (en) Method and composition for polishing a substrate
JP2005518670A (en) Method and composition for polishing a substrate
US7323416B2 (en) Method and composition for polishing a substrate
US7390744B2 (en) Method and composition for polishing a substrate
US7582564B2 (en) Process and composition for conductive material removal by electrochemical mechanical polishing
US20040248412A1 (en) Method and composition for fine copper slurry for low dishing in ECMP
US20050092620A1 (en) Methods and apparatus for polishing a substrate
US20060175298A1 (en) Method and composition for polishing a substrate
US20060169597A1 (en) Method and composition for polishing a substrate
JP4633064B2 (en) Method and composition for polishing a substrate
US7390429B2 (en) Method and composition for electrochemical mechanical polishing processing
US20070187258A1 (en) Method for electrochemically polishing a conductive material on a substrate
US20060169674A1 (en) Method and composition for polishing a substrate
US20070295611A1 (en) Method and composition for polishing a substrate
KR20070104479A (en) Polishing composition and method for polishing a conductive material
US20070144915A1 (en) Process and composition for passivating a substrate during electrochemical mechanical polishing
US20070254485A1 (en) Abrasive composition for electrochemical mechanical polishing
US20060249395A1 (en) Process and composition for electrochemical mechanical polishing
US20060249394A1 (en) Process and composition for electrochemical mechanical polishing
US7879255B2 (en) Method and composition for electrochemically polishing a conductive material on a substrate
WO2007047454A2 (en) Process and composition for electrochemical mechanical polishing

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090210

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090511

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090518

A524 Written submission of copy of amendment under section 19 (pct)

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20090609

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090609

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090721