US20040248412A1 - Method and composition for fine copper slurry for low dishing in ECMP - Google Patents

Method and composition for fine copper slurry for low dishing in ECMP Download PDF

Info

Publication number
US20040248412A1
US20040248412A1 US10/845,754 US84575404A US2004248412A1 US 20040248412 A1 US20040248412 A1 US 20040248412A1 US 84575404 A US84575404 A US 84575404A US 2004248412 A1 US2004248412 A1 US 2004248412A1
Authority
US
United States
Prior art keywords
polishing composition
substrate
polishing
conductive material
material layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/845,754
Inventor
Feng Liu
Stan Tsai
Yongqi Hu
Liang-Yuh Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/456,220 external-priority patent/US7232514B2/en
Application filed by Individual filed Critical Individual
Priority to US10/845,754 priority Critical patent/US20040248412A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEN, LIANG-YUH, HU, YONGQI, LIU, FENG Q., TSAI, STAN D.
Publication of US20040248412A1 publication Critical patent/US20040248412A1/en
Priority to US11/123,274 priority patent/US7582564B2/en
Priority to US11/196,876 priority patent/US7323416B2/en
Priority to US11/312,823 priority patent/US7390429B2/en
Priority to US11/356,352 priority patent/US20060169597A1/en
Priority to US11/838,512 priority patent/US20070290166A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23HWORKING OF METAL BY THE ACTION OF A HIGH CONCENTRATION OF ELECTRIC CURRENT ON A WORKPIECE USING AN ELECTRODE WHICH TAKES THE PLACE OF A TOOL; SUCH WORKING COMBINED WITH OTHER FORMS OF WORKING OF METAL
    • B23H3/00Electrochemical machining, i.e. removing metal by passing current between an electrode and a workpiece in the presence of an electrolyte
    • B23H3/08Working media
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23HWORKING OF METAL BY THE ACTION OF A HIGH CONCENTRATION OF ELECTRIC CURRENT ON A WORKPIECE USING AN ELECTRODE WHICH TAKES THE PLACE OF A TOOL; SUCH WORKING COMBINED WITH OTHER FORMS OF WORKING OF METAL
    • B23H5/00Combined machining
    • B23H5/06Electrochemical machining combined with mechanical working, e.g. grinding or honing
    • B23H5/08Electrolytic grinding
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/005Control means for lapping machines or devices
    • B24B37/0056Control means for lapping machines or devices taking regard of the pH-value of lapping agents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • B24B37/044Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor characterised by the composition of the lapping agent
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/046Lapping machines or devices; Accessories designed for working plane surfaces using electric current
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/04Aqueous dispersions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F3/00Electrolytic etching or polishing
    • C25F3/02Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • H01L21/32125Planarisation by chemical mechanical polishing [CMP] by simultaneously passing an electrical current, i.e. electrochemical mechanical polishing, e.g. ECMP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only

Definitions

  • Embodiments of the present invention relate to compositions and methods for removing a conductive material from a substrate.
  • VLSI very large scale integration
  • ULSI ultra large-scale integration
  • Reliably producing sub-half micron and smaller features is one of the key technologies for the next generation of very large scale integration (VLSI) and ultra large-scale integration (ULSI) of semiconductor devices.
  • VLSI very large scale integration
  • ULSI ultra large-scale integration
  • Reliable formation of interconnects is important to VLSI and ULSI success and to the continued effort to increase circuit density and quality of individual substrates and die.
  • Multilevel interconnects are formed using sequential material deposition and material removal techniques on a substrate surface to form features therein. As layers of materials are sequentially deposited and removed, the uppermost surface of the substrate may become non-planar across its surface and require planarization prior to further processing. Planarization or “polishing” is a process where material is removed from the surface of the substrate to form a generally even, planar surface. Planarization is useful in removing excess deposited material, removing undesired surface topography, and surface defects, such as surface roughness, agglomerated materials, crystal lattice damage, scratches and contaminated layers or materials to provide an even surface for subsequent photolithography and other semiconductor processes.
  • CMP chemical mechanical planarization or chemical mechanical polishing
  • a substrate carrier or polishing head is mounted on a carrier assembly and positioned in contact with a polishing article in a CMP apparatus.
  • the carrier assembly provides a controllable pressure to the substrate urging the substrate against the polishing pad.
  • the pad is moved relative to the substrate by an external driving force.
  • the CMP apparatus effects polishing or rubbing movement between the surface of the substrate and the polishing article while dispersing a polishing composition to effect both chemical activity and mechanical activity.
  • a metal layer 20 is deposited on a substrate 10 to fill wide feature definitions 30 , also known as low density feature definitions, or narrow feature definitions 40 , also known as and high density feature definitions.
  • Excess material called overburden, may be formed with a greater thickness 45 over the narrow feature definitions 40 and may have minimal deposition 35 over wide feature definitions 30 . Polishing of surfaces with overburden may result in the retention of residues 50 from inadequate metal removal over narrow features. Overpolishing processes to remove such residues 50 may result in excess metal removal over wide feature definitions 30 . Excess metal removal can form topographical defects, such as concavities or depressions known as dishing 55 , over wide features, as shown in FIG. 1B.
  • Dishing of features and retention of residues on the substrate surface are undesirable since dishing and residues may detrimentally affect subsequent processing of the substrate.
  • dishing results in a non-planar surface that impairs the ability to print high-resolution lines during subsequent photolithographic steps and detrimentally affects subsequent surface topography of the substrate, which affects device formation and yields.
  • Dishing also detrimentally affects the performance of devices by lowering the conductance and increasing the resistance of the devices, causing device variability and device yield loss. Residues may lead to uneven polishing of subsequent materials, such as barrier layer materials (not shown) disposed between the conductive material and the substrate surface.
  • Post CMP profiles generally show higher dishing on wide trenches than on narrow trenches or dense areas. Uneven polishing will also increase defect formation in devices and reduce substrate yields.
  • substrate polishing processes must be very efficient to increase the throughput production. Often, defects are formed on substrates that are over polished due to an increase in process variables, such as chemical concentrations, electrical potentials and/or pressure of polishing articles. Some of these defects may be minimized by decreasing these variables, but with an increase of time and loss of throughput production.
  • compositions and methods for removing conductive material from a substrate that minimizes damage to the substrate during planarization, as well as minimizes time for production.
  • a method of processing a substrate having a conductive material layer disposed thereon includes positioning the substrate in a process apparatus and supplying a first polishing composition to the substrate.
  • the polishing composition comprises phosphoric acid, at least one chelating agent, a corrosion inhibitor, a salt, an oxidizer, abrasive particulates, at least one pH adjusting agent to provide a pH from about 4 to about 7, and a solvent.
  • the method further includes forming a passivation layer on the conductive material layer, abrading the passivation layer to expose a portion of the conductive material layer, applying a first bias to the substrate, and removing at least about 50% of the conductive material layer.
  • the method further includes separating the substrate from the first polishing composition, exposing the substrate to a second polishing composition and a second bias, and continuing to remove the conductive material layer.
  • a method of processing a substrate having a conductive material layer disposed thereon includes positioning the substrate on a process apparatus and exposing the substrate to a first polishing composition comprising phosphoric acid, at least one chelating agent, a corrosion inhibitor, a salt, an oxidizer and abrasive particulates. The method further includes applying a first bias to the substrate, removing at least 50% of the conductive material layer, exposing the substrate to a second polishing composition and a second bias and continuing to remove the conductive material layer.
  • a method of removing a conductive material layer includes providing the substrate to a process apparatus and exposing the substrate to a first polishing composition.
  • the first polishing composition comprises from about 1 wt % to about 10 wt % of phosphoric acid, from about 0.1 wt % to about 6 wt % of at least one chelating agent, from about 0.01 wt % to about 1 wt % of a corrosion inhibitor, from about 0.5 wt % to about 10 wt % of a salt, from about 0.2 wt % to about 5 wt % of an oxidizer, from about 0.05 wt % to about 1 wt % of an abrasive particulates, at least one pH adjusting agent to provide a pH from about 4 to about 7, and a solvent.
  • the method further includes applying a first bias to the substrate, removing at least 50% of the conductive material layer, and exposing the substrate to a second polishing composition and a second bias to continue removing the conductive layer.
  • the second polishing composition comprises about 0.1 wt % to about 5 wt % of phosphoric acid, from about 0.1 wt % to about 5 wt % of at least one chelating agent, and from about 0.01 wt % to about 1 wt % of the corrosion inhibitor.
  • a method of processing a substrate having a conductive material layer disposed thereon includes positioning the substrate in a process apparatus, exposing the substrate to a first polishing composition with a first conductivity in a range from about 30 milliSiemens (mS) to about 60 mS, the first polishing composition comprising an oxidizer and abrasive particulates, and applying a first bias to the substrate.
  • the method further includes exposing the substrate to a second polishing composition with a second conductivity in a range from about 15 mS to about 40 mS, and applying a second bias to the substrate to continue removing the conductive layer.
  • a method of processing a substrate having a conductive material layer disposed thereon includes positioning the substrate in a process apparatus, exposing the substrate to a first polishing composition, and applying a first bias to the substrate.
  • the first polishing composition includes phosphoric acid, at least one chelating agent, a corrosion inhibitor, a salt, an oxidizer, abrasive particulates, at least one pH adjusting agent to provide a pH from about 4 to about 7, and a solvent.
  • the process further includes exposing the substrate to a second polishing composition, applying a second bias to the substrate, and continues removing the conductive layer.
  • the second polishing composition comprises phosphoric acid, at least one chelating agent, a corrosion inhibitor, abrasive particulates, at least one pH adjusting agent to provide a pH from about 4 to about 7, and a solvent.
  • FIGS. 1A-1B are schematic cross-sectional views illustrating a polishing process performed on a substrate according to conventional processes
  • FIG. 2 is a plan view of one embodiment of a processing apparatus of the invention.
  • FIG. 3 is a cross-sectional view of one embodiment of a polishing process station.
  • FIGS. 4A-4D are schematic cross-sectional views illustrating a polishing process performed on a substrate according to one embodiment for planarizing a substrate surface described herein.
  • aspects of the inventions provide compositions and methods for removing at least a conductive material from a substrate surface.
  • the inventions are described below in reference to a planarizing process for the removal of conductive materials from a substrate surface by an electrochemical mechanical polishing (ECMP) technique.
  • ECMP electrochemical mechanical polishing
  • Chemical mechanical polishing should be broadly construed and includes, but is not limited to, planarizing a substrate surface using chemical activity and mechanical activity, or a concurrent application of chemical activity and mechanical activity.
  • Electropolishing should be broadly construed and includes, but is not limited to, removing material from a substrate by eroding the substrate surface under application of current.
  • Electrochemical mechanical polishing should be broadly construed and includes, but is not limited to, planarizing a substrate by the application of electrochemical activity, mechanical activity, chemical activity, or a concurrent application of a combination of electrochemical, chemical, and/or mechanical activity to remove material from a substrate surface.
  • Anodic dissolution should be broadly construed and includes, but is not limited to, the application of an anodic bias to a substrate directly or indirectly which results in the removal of conductive material from a substrate surface and into a surrounding polishing composition.
  • Polishing composition should be broadly construed and includes, but is not limited to, a composition that provides ionic conductivity, and thus, electrical conductivity, in a liquid medium, which generally comprises materials known as electrolyte components.
  • the amount of each electrolyte component in polishing compositions can be measured in volume percent or weight percent. Volume percent refers to a percentage based on volume of a desired liquid component divided by the total volume of all of the liquid in the complete composition.
  • a percentage based on weight percent is the weight of the desired component divided by the total weight of all of the liquid components in the complete composition.
  • Abrading and abrasion should be broadly construed and includes, but is not limited to, contacting a material and displacing, disturbing, or removing all or a portion of a material.
  • the electrochemical mechanical polishing process may be performed in a process apparatus, such as a platform having one or more polishing stations adapted for electrochemical mechanical polishing processes.
  • a platen for performing an electrochemical mechanical polishing process may include a polishing article, a first electrode, and a second electrode, wherein the substrate is in electrical contact with the second electrode.
  • a first electrochemical mechanical polishing process may be performed on a first platen as described herein and the second electrochemical mechanical polishing process may be performed on the same or different platen adapted for electrochemical mechanical polishing, such as the second platen as described herein.
  • FIG. 2 depicts an electrochemical processing apparatus 100 having at least two electrochemical mechanical polishing (ECMP) stations 102 and 103 .
  • the system 100 may include at least one conventional polishing station 106 , such as a chemical mechanical polishing (CMP) station, disposed adjacent the ECMP station 103 on a single platform or tool.
  • polishing station 106 is a third ECMP station.
  • One polishing tool that may be adapted to benefit from the invention is a REFLEXION® chemical mechanical polisher available from Applied Materials, Inc. located in Santa Clara, Calif. Examples of other polishing tools that may be adapted to benefit from the invention are MIRRA® and MIRRA MESATM chemical mechanical polishers also available from Applied Materials, Inc.
  • the exemplary apparatus 100 generally includes a base 108 that supports the ECMP stations 102 and 103 , the polishing station 106 , a transfer station 110 and a carousel 112 .
  • a loading robot 116 generally facilitates transfer of substrates 114 to and from the transfer station 110 of the apparatus 100 and a factory interface 120 .
  • the factory interface 120 may include a cleaning module 122 , a metrology device 104 and one or more substrate storage cassettes 118 .
  • a metrology device 104 that may be utilized in the factory interface 120 is a NovaScanTM Integrated Thickness Monitoring system, available from Nova Measuring Instruments, Inc., located in Phoenix, Ariz.
  • the transfer station 110 includes an input buffer station 124 , an output buffer station 126 , a transfer robot 132 , and a load cup assembly 128 .
  • the input buffer station 124 accepts substrates from the factory interface 120 by the loading robot 116 .
  • the loading robot 116 is also utilized to return polished substrates from the output buffer station 126 to the factory interface 120 .
  • the transfer robot 132 is utilized to move substrates between the buffer stations 124 , 126 and the load cup assembly 128 .
  • the transfer robot 128 includes two gripper assemblies, each having pneumatic gripper fingers that hold the substrate 114 by the substrate's edge.
  • the transfer robot 132 may simultaneously transfer a substrate to be processed from the input buffer station 124 to the load cup assembly 128 while transferring a processed substrate from the load cup assembly 128 to the output buffer station 126 .
  • the carousel 112 has a plurality of arms 138 , each respectively supporting one of a plurality of polishing heads 130 . Each polishing head 130 retains one substrate 114 during processing. Substrates are loaded and unloaded from the polishing heads 130 by the load cup assembly 128 . One of the arms 138 depicted in FIG. 2 is not shown so that the transfer station 110 may be seen.
  • the carousel 112 moves the polishing heads 130 between the load cup assembly 128 of the transfer station 110 , the ECMP stations 102 and 103 and the polishing stations 106 .
  • One carousel 112 that may be adapted to benefit from the invention is generally described in U.S. Pat. No. 5,804,507, which is hereby incorporated by reference in its entirety. It is contemplated that other transfer mechanisms may be utilized to move substrates between the stations 102 , 103 , 104 and the transfer station 110 .
  • the polishing head 130 retains the substrate 114 against the ECMP stations 102 and 103 or polishing station 106 during processing.
  • Examples of embodiments of polishing heads 130 that may be adapted to benefit from the invention are described in U.S. Pat. No. 6,183,354.
  • Other polishing heads that may be adapted benefit from the invention include TITAN HEADTM and TITAN PROFILERTM wafer carriers, available from Applied Materials, Inc.
  • the arrangement of the ECMP stations 102 and 103 and polishing station 106 on the apparatus 100 allows for the substrate 114 to be sequentially polished by moving the substrate between stations while being retained in the same polishing head 130 . Alternatively, substrates may be polished in other sequences.
  • a controller 140 comprising a central processing unit (CPU) 142 , memory 144 , and support circuits 146 is connected to the polishing apparatus 100 .
  • the CPU 142 may be one of any form of computer processor that can be used in an industrial setting for controlling various drives and pressures.
  • the memory 144 is connected to the CPU 142 .
  • the memory 144 or computer-readable medium, may be one or more of readily available memories such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote.
  • the support circuits 146 are connected to the CPU 142 for supporting the processor in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like.
  • FIG. 3 depicts one embodiment of the ECMP station 102 and/or ECMP station 103 as a cross-sectional view of one embodiment of a “face-down” process cell 200 .
  • the process cell 200 generally includes a basin 204 and a polishing head 202 .
  • a substrate 208 is retained in the polishing head 202 and lowered into the basin 204 during processing in a face down (e.g., backside up) orientation.
  • An electrolyte such as described herein, flows into the basin 204 and is in contact with the substrate's surface and a polishing article assembly 222 , while the polishing head 202 places the substrate 208 in contact with the polishing article assembly 222 .
  • the basin 204 includes the polishing article assembly 222 , a bottom 244 and sidewalls 246 that define a container that houses the polishing article assembly 222 .
  • the sidewalls 246 include a port 218 formed therethrough to allow removal of polishing composition from the basin 204 .
  • the port 218 is coupled to a valve 220 to selectively drain or retain the polishing composition in the basin 204 .
  • the substrate 208 and the polishing article assembly 222 disposed in the basin 204 are moved relative to each other to provide a polishing motion (or motion that enhances polishing uniformity).
  • the polishing motion generally comprises at least one motion defined by an orbital, rotary, linear or curvilinear motion, or combinations thereof, among other motions.
  • the polishing motion may be achieved by moving either or both of the polishing head 202 and/or the basin 204 .
  • the polishing head 202 may be stationary or driven to provide at least a portion of the relative motion between the basin 204 and the substrate 208 held by the polishing head 202 .
  • the polishing head 202 is coupled to a drive system 210 .
  • the drive system 210 can generally move the polishing head 202 with at least a rotary, orbital, sweep motion, or combinations thereof.
  • the polishing head 202 generally retains the substrate 208 during processing.
  • the polishing head 202 includes a housing 214 enclosing a bladder 216 .
  • the bladder 216 may be deflated when contacting the substrate to create a vacuum therebetween, thus securing the substrate to the polishing head 202 to allow placement and removal of the substrate.
  • the bladder 216 may additionally be inflated and pressurized to bias and assure contact between the substrate and the polishing article assembly 222 retained in the basin 204 .
  • a retaining ring 238 is coupled to the housing 214 and circumscribes the substrate 208 to prevent the substrate from slipping out from the polishing head 202 while processing.
  • polishing head that may be adapted to benefit from the invention is a TITAN HEADTM carrier head available from Applied Materials, Inc., located in Santa Clara, Calif.
  • TITAN HEADTM carrier head available from Applied Materials, Inc., located in Santa Clara, Calif.
  • Another example of a polishing head that may be adapted to benefit from the invention is described in U.S. Pat. No. 6,159,079, issued Dec. 12, 2001, which is hereby incorporated herein by reference in its entirety.
  • the basin 204 is generally fabricated from a plastic such as fluoropolymers, polytetrafluoroethylene (PTFE) polymers, such as TEFLON®, perfluoroalkoxy resin (PFA), polyethylene-based plastics (PE), sulfonated polyphenylether sulfones (PES), or other materials that are compatible or non-reactive with the polishing composition or other chemicals used in the processing cell 200 .
  • the basin 204 is rotationally supported above a base 206 by bearings 234 .
  • a drive system 236 is coupled to the basin 204 and rotates the basin 204 during processing.
  • a catch basin 228 is disposed on the base 206 and circumscribes the basin 204 to collect processing fluids, such as a polishing composition, that flow out of port 218 disposed through the basin 204 during and/or after processing.
  • An outlet drain 219 and outlet valve 219 A are incorporated in the invention to allow the polishing composition in the catch basin to be sent to a reclaim system (not shown) or a waste drain (not shown).
  • the basin 204 is rotated at a velocity from about 3 rpm (rotations per minute) to about 100 rpm
  • the polishing head 202 is rotated at a velocity from about 5 rpm to about 200 rpm and also moved linearly at a velocity from about 5 cm/s (centimeters per second) to about 25 cm/s in a direction radial to the basin 204 .
  • the preferred ranges for a 200 mm diameter substrate are a basin 204 rotational velocity from about 5 rpm to about 40 rpm and a polishing head 202 rotational velocity from about 7 rpm to about 100 rpm and a linear (e.g., radial) velocity of about 10 cm/s.
  • the preferred ranges for a 300 mm diameter substrate are a basin 204 rotational velocity from about 5 rpm to about 20 rpm and a polishing head 202 rotational velocity from about 7 rpm to about 50 rpm and a linear (e.g., radial) velocity of about 10 cm/s.
  • the basin 204 has a diameter between about 17 inches (43.2 cm) and about 30 inches (76.2 cm).
  • the polishing head 202 may move along the radius of the basin 204 for a distance between about 0.1 inches (2.5 mm) and about 2 inches (5.1 cm).
  • a polishing composition delivery system 232 is generally disposed adjacent the basin 204 .
  • the polishing composition delivery system 232 includes a nozzle or outlet 230 coupled to a polishing composition source 242 .
  • the outlet 230 delivers polishing composition or other processing fluids from the polishing composition source 242 into the basin 204 .
  • the polishing composition delivery system may provide polishing composition through an inlet (not shown) in the bottom 244 of the process cell, thus allowing polishing composition to flow through the polishing article assembly 222 to contact the conductive polishing article 203 and substrate 208 .
  • the polishing composition source 242 schematically shown here generally includes a source of all of the chemicals required to supply and support the polishing composition during processing.
  • the current design it is further contemplated in one embodiment of the current design to continually recirculate the polishing composition through the polishing article assembly 222 and across the surface of the substrate 208 .
  • the flow rate of polishing composition flowing through the process cell 200 is from about 0.1 L/min (liters per minute) to about 2 L/min.
  • a conditioning device 250 may be provided proximate the basin 204 to periodically condition or regenerate the polishing article assembly 222 .
  • the conditioning device 250 includes an arm 252 coupled to a stanchion 254 that is adapted to position and sweep a conditioning element 258 across polishing article assembly 222 .
  • the conditioning element 258 is coupled to the arm 252 by a shaft 256 to allow clearance between the arm 252 and sidewalls 246 of the basin 204 while the conditioning element 258 is in contact the polishing article assembly 222 .
  • the conditioning element 258 is typically a diamond or silicon carbide disk, which may be patterned to enhance working the surface of the polishing article assembly 222 into a predetermined surface condition/state that enhances process uniformity.
  • the conditioning element 258 can be made of a NYLONTM brush or similar conditioner for in-situ conditioning the conductive polishing article 203 .
  • One conditioning element 258 that may be adapted to benefit from the invention is described in U.S. patent application Ser. No. 09/676,280, filed Sep. 28, 2000 by Li et al., which is incorporated herein by reference to the extent not inconsistent with the claims aspects and description herein.
  • a power source 224 is coupled to the polishing article assembly 222 by electrical leads 223 A, 223 B.
  • the power source 224 applies an electrical bias to the polishing article assembly 222 to drive an electrochemical process described below.
  • the leads 223 A, 223 B are routed through a slip ring 226 disposed below the basin 204 .
  • the slip ring 226 facilitates continuous electrical connection between the power source 224 and electrodes ( 209 and 203 ) in the polishing article assembly 222 as the basin 204 rotates.
  • the leads 223 A, 223 B may be wires, tapes or other conductors compatible with process fluids or having a covering or coating that protects the leads from the process fluids.
  • Examples of materials that may be utilized in the leads 223 A, 223 B include copper, graphite, titanium, platinum, gold, and HASTELOY® among other materials which can have an insulating coating on its exterior surface. Coatings disposed around the leads may include polymers such as fluorocarbons, PVC, polyamide and the like.
  • the slip ring 226 can be purchased from manufacturers such as IDM Electronics LTD, Reading Berkshire, England, a division of Kaydon Corporation, Ann Arbor, Mich.
  • the polishing article assembly 222 generally includes a conductive polishing article 203 coupled to a backing 207 and an electrode 209 .
  • the backing 207 may also be coupled to an electrode 209 .
  • the conductive polishing article 203 and the backing 207 have a plurality of holes or pores formed therein to allow the polish composition to make contact with, and thus provide a conductive path between the substrate 208 and the electrode 209 .
  • a dielectric insert (not shown) may be disposed between the conductive polishing article 203 and the backing 207 or between the backing 207 and the electrode 209 to regulate the electrolyte flow through all or a portion of the conductive polishing article 203 , by use of a plurality of holes or pores formed therein.
  • the conductive polishing article 203 is used to apply a uniform bias to the substrate surface by use of a conductive surface that makes contact with the surface of the substrate.
  • the use of a conductive polishing article is generally preferred over the use of a conventional substrate contacting means such as discrete or point contacts, but should not be considered limiting to the scope of the present invention.
  • the electrode 209 is generally biased as a cathode and the conductive polishing article 203 and substrate are biased as an anode through use of the power supply 224 .
  • Examples of the conductive polishing article 203 are more fully disclosed in U.S. patent Publication No. 20020119286, filed on Dec. 27, 2001, and U.S. patent application Ser. No. 10/211,626, filed on Aug. 2, 2002, which are incorporated by reference herein to the extent not inconsistent with the claimed aspects and disclosure herein.
  • Examples of an embodiment of the conductive polishing article 203 utilizing conventional polishing material (non-conductive) with discrete conductive contacts are more fully disclosed in the U.S. patent application Ser. No. 10/211,626, filed on Aug. 2, 2003, which is incorporated by reference herein to the extent not inconsistent with the claimed aspects and disclosure herein.
  • both the anode and a cathode may be replaced simultaneously by simply removing a used polishing article assembly 222 from the basin 204 and inserting a new polishing article assembly 222 with fresh electrical and supporting components into the basin 204 .
  • the face-down polishing apparatus is more fully disclosed in U.S. patent Publication No. 20030213703, filed May 16, 2002, commonly assigned to Applied Materials Inc., of which paragraphs 27-82 are incorporated herein by reference to the extent not inconsistent with the claims aspects and description herein.
  • the conductive polishing article 203 , the backing 207 , optionally, the dielectric insert, and the electrode 209 are secured together to form a unitary body that facilitates removal and replacement of the polishing article assembly 222 from the basin 204 .
  • the conductive polishing article 203 , the backing 207 , optionally the dielectric insert, and/or the electrode 209 may be coupled by use of methods such as adhesive bonding, thermal bonding, sewing, binding, heat staking, riveting, by use of fasteners and clamping, among others.
  • the process cell 200 may be disposed on a polishing platform with one or more chemical mechanical polishing platens suitable for conductive material and/or barrier material removal.
  • Such chemical mechanical polishing platens may contain fixed-abrasive or non-abrasive polishing articles and may use abrasive containing or abrasive-free polishing composition.
  • the polishing articles for the polishing platens may be hard polishing articles, having a durometer or hardness of 50 or greater on a shore D Scale or soft polishing articles having a durometer or hardness of less than 50, typically 40 or less, on a shore D Scale.
  • the polishing platform may be of a three platen variety, such as the MIRRA® polishing system, the MIRRA MESATM polishing system, and the REFLEXION® polishing system, that are commercially available from Applied Materials, Inc., of Santa Clara, Calif., with the process cell 200 disposed at a first platen position, a conventional chemical mechanical polishing platen with a hard or soft polishing pad on a second platen position, and a barrier removal platen on the third platen position.
  • MIRRA® polishing system such as the MIRRA MESATM polishing system, and the REFLEXION® polishing system
  • the process cell 200 disposed at a first platen position, a conventional chemical mechanical polishing platen with a hard or soft polishing pad on a second platen position, and a barrier removal platen on the third platen position.
  • a first process cell 200 disposed at a first platen position for example, ECMP station 102 , for a first electrochemical mechanical polishing process
  • a second process cell 200 disposed at a second platen position for example, ECMP station 103
  • a conventional chemical mechanical polishing platen with a hard or soft polishing pad such as polishing station 106
  • any system enabling electrochemical mechanical polishing with or without the presence of chemical mechanical polishing ability may be used to advantage.
  • Methods are provided for polishing a substrate to remove residues and minimize dishing within features, while increasing throughput with a decrease in polishing time.
  • the methods may be performed by an electrochemical polishing technique.
  • the method may include processing a substrate having a conductive material layer disposed over features, supplying a first polishing composition to the surface of the substrate, applying a pressure between the substrate and a polishing article, providing relative motion between the substrate and the polishing article, applying a bias between a first electrode and a second electrode in electrical contact with the substrate, removing at least about 50% of the conductive material, supplying a second polishing composition, applying a second bias, and continuing to remove the conductive material.
  • a substrate generally includes a dielectric layer 310 formed on a substrate 300 .
  • a plurality of apertures such as vias, trenches, contacts, or holes, are patterned and etched into the dielectric layer 310 , such as a dense array of narrow feature definitions 320 and low density of wide feature definitions 330 .
  • the apertures may be formed in the dielectric layer 310 by conventional photolithographic and etching techniques.
  • FIG. 4A depicts a substrate 300 and a conductive layer 370 before ECMP processes have been applied.
  • FIG. 4B illustrates the substrate after at least about 50% of the conductive layer 370 has been removed by applying a first ECMP process.
  • the remaining conductive layer 370 disposed upon a barrier layer 340 is removed by applying a second ECMP process, as illustrated in FIG. 4C.
  • the remaining barrier layer 340 on the dielectric layer 310 may be removed by a third process, such as a CMP process or a third ECMP process.
  • narrow and wide feature definitions may vary depending on the structures formed on the substrate surface, but can generally be characterized by the respective deposition profiles of excessive material deposition (or high overburden) formed over narrow feature definitions and minimal or low material deposition (minimal or low overburden), over wide feature definitions.
  • narrow feature definitions may be about 0.13 ⁇ m in size and may have a high overburden as compared to wide feature definitions that may be about 10 ⁇ m in size and that may have minimal or insufficient overburden.
  • high overburdens and low overburdens do not necessarily have to form over features, but may form over areas on the substrate surface between features.
  • the dielectric layer 310 may comprise one or more dielectric materials conventionally employed in the manufacture of semiconductor devices.
  • dielectric materials may include materials such as silicon dioxide, phosphorus-doped silicon glass (PSG), boron-phosphorus-doped silicon glass (BPSG), and silicon dioxide derived from tetraethyl orthosilicate (TEOS) or silane by plasma enhanced chemical vapor deposition (PECVD).
  • the dielectric layer may also comprise low dielectric constant materials, including fluoro-silicon glass (FSG), polymers, such as polyamides, carbon-containing silicon oxides, such as BLACK DIAMONDTM dielectric material, silicon carbide materials, which may be doped with nitrogen and/or oxygen, including BLOKTM dielectric materials, available from Applied Materials, Inc. of Santa Clara, Calif.
  • FSG fluoro-silicon glass
  • polymers such as polyamides, carbon-containing silicon oxides, such as BLACK DIAMONDTM dielectric material, silicon carbide materials, which may be doped with nitrogen and/or oxygen, including BLOKTM dielectric materials, available from Applied Materials, Inc. of Santa Clara, Calif.
  • a barrier layer 340 is disposed conformally in the feature definitions 320 and 330 and on the substrate 300 .
  • the barrier layer 340 may comprise metals or metal nitrides, such as tantalum, tantalum nitride, tantalum silicon nitride, titanium, titanium nitride, titanium silicon nitride, tungsten, tungsten nitride and combinations thereof, or any other material that may limit diffusion of materials between the substrate and/or dielectric materials and any subsequently deposited conductive materials.
  • a conductive material layer 360 is disposed on the barrier layer 340 .
  • the term “conductive material layer” as used herein is defined as any conductive material, such as copper, tungsten, aluminum, and/or their alloys used to fill a feature to form lines, contacts or vias.
  • a seed layer of a conductive material may be deposited on the barrier layer prior to the deposition of the conductive material layer 360 to improve interlayer adhesion and improve subsequent deposition processes.
  • the seed layer may be of the same material as the subsequent material to be deposited.
  • One type of conductive material layer 360 comprises copper containing materials.
  • Copper containing materials include copper, copper alloys (e.g., copper-based alloys containing at least about 80 weight percent copper) or doped copper.
  • copper alloys e.g., copper-based alloys containing at least about 80 weight percent copper
  • doped copper copper, copper alloys, copper-based alloys containing at least about 80 weight percent copper
  • the phrase “copper containing material,” the word “copper,” and the symbol “Cu” are intended to encompass copper, copper alloys, doped copper, and combinations thereof.
  • the conductive material may comprise any conductive material used in semiconductor manufacturing processing.
  • the deposited conductive material layer 360 has a deposition profile of excessive material deposition or high overburden 370 formed over narrow feature definitions 320 and minimal overburden 380 over wide feature definitions 330 .
  • high overburdens and minimal overburdens are arbitrarily formed across the substrate surface between features.
  • the substrate may then be positioned in a polishing apparatus, such as the apparatus described herein and shown in FIG. 3 and exposed to a polishing composition that can form a passivation layer 390 on the conductive material layer.
  • a polishing apparatus such as the apparatus described herein and shown in FIG. 3 and exposed to a polishing composition that can form a passivation layer 390 on the conductive material layer.
  • Suitable polishing compositions that may be used with the processes described herein to planarize metals, such as copper, may comprise an acid based electrolyte system, one or more chelating agents, one or more corrosion inhibitors, one or more inorganic or organic acid salts, one or more pH adjusting agents to produce a pH between about 2 and about 10, at least one oxidizer, and abrasive particulates.
  • polishing compositions are particularly useful for removing copper, it is believed that the polishing compositions also may be used for the removal of other conductive materials, such as aluminum, platinum, tungsten, titanium, titanium nitride, tantalum, tantalum nitride, cobalt, gold, silver, ruthenium and combinations thereof.
  • Mechanical abrasion such as from contact with the conductive polishing article 203 may be used with the polishing composition to improve planarity and improve removal rate of these conductive materials.
  • the polishing composition includes an acid based electrolyte system for providing electrical conductivity.
  • Suitable acid based electrolyte systems include, for example, phosphoric acid based electrolytes, sulfuric acid, nitric acid, perchloric acid, acetic acid, citric acid, salts thereof and combinations thereof.
  • Suitable acid based electrolyte systems include an acid electrolyte, such as phosphoric acid, boric acid and/or citric acid, as well as acid electrolyte derivatives, including ammonium, potassium, sodium, calcium and copper salts thereof.
  • the acid based electrolyte system may also buffer the composition to maintain a desired pH level for processing a substrate.
  • the acid based electrolyte system may contains an acidic component that can take up about 1 to about 30 percent by weight (wt %) or volume (vol %) of the total composition of solution to provide suitable conductivity for practicing the processes described herein.
  • acidic components include dihydrogen phosphate and/or diammonium hydrogen phosphate and may be present in the polishing composition in amounts from about 15 wt % to about 25 wt %.
  • phosphoric acid may be present in concentrations up to 30 wt %, for example, between about 2 wt % and about 6 wt %.
  • One aspect or component of the present invention is the use of one or more chelating agents to complex with the surface of the substrate to enhance the electrochemical dissolution process.
  • the chelating agents can bind to a conductive material, such as copper ions, increase the removal rate of metal materials and/or improve dissolution uniformity across the substrate surface.
  • the metal materials for removal, such as copper may be in any oxidation state, such as 0, 1, or 2, before, during or after ligating with a functional group.
  • the functional groups can bind the metal materials created on the substrate surface during processing and remove the metal materials from the substrate surface.
  • the chelating agents may also be used to buffer the polishing composition to maintain a desired pH level for processing a substrate.
  • the chelating agents may also form or enhance the formation of a passivation layer on the substrate surface.
  • the one or more chelating agents can include compounds having one or more functional groups selected from the group of amine groups, amide groups, carboxylate groups, dicarboxylate groups, tricarboxylate groups, hydroxyl groups, a mixture of hydroxyl and carboxylate groups, and combinations thereof.
  • the one or more chelating agents may also include salts of the chelating agents described herein.
  • the polishing composition may include one or more chelating agents at a concentration between about 0.1% and about 15% by volume or weight, but preferably utilized between about 0.1% and about 4% by volume or weight. For example, about 2% by volume of ethylenediamine may be used as a chelating agent.
  • chelating agents having one or more carboxylate groups include citric acid, tartaric acid, succinic acid, oxalic acid, amino acids, salts thereof, and combinations thereof.
  • chelating agents may include ammonium citrate, potassium citrate, ammonium succinate, potassium succinate, ammonium oxalate, potassium oxalate, potassium tartrate, and combinations thereof.
  • the salts may have multi-basic states, for example, citrates have mono-, di- and tri-basic states.
  • Suitable acids having one or more carboxylate groups include acetic acid, adipic acid, butyric acid, capric acid, caproic acid, caprylic acid, glutaric acid, glycolic acid, formaic acid, fumaric acid, lactic acid, lauric acid, malic acid, maleic acid, malonic acid, myristic acid, plamitic acid, phthalic acid, propionic acid, pyruvic acid, stearic acid, valeric acid, derivatives thereof, salts thereof and combinations thereof.
  • Suitable chelating agents include compounds having one or more amine and amide functional groups, such as ethylenediamine (EDA), diethylenetriamine, diethylenetriamine derivatives, hexadiamine, amino acids, glycine, ethylenediaminetetraacetic acid (EDTA), methylformamide, derivatives thereof, salts thereof and combinations thereof.
  • EDA ethylenediamine
  • EDTA includes the acid as well as a variety of salts, such as sodium, potassium and calcium (e.g., Na 2 EDTA, Na 4 EDTA, K 4 EDTA or Ca 2 EDTA).
  • the inorganic or organic acid salts may be used to perform as a chelating agent.
  • the polishing composition may include one or more inorganic or organic salts at a concentration between about 0.1% and about 15% by volume or weight of the composition, for example, between about 0.1% and about 8% by volume or weight. For example, about 2% by weight of ammonium citrate may be used in the polishing composition.
  • suitable inorganic or organic acid salts include ammonium and potassium salts or organic acids, such as ammonium oxalate, ammonium citrate, ammonium succinate, monobasic potassium citrate, dibasic potassium citrate, tribasic potassium citrate, potassium tartarate, ammonium tartarate, potassium succinate, potassium oxalate, and combinations thereof. Additionally, ammonium and potassium salts of the carboxylate acids may also be used.
  • the corrosion inhibitors can be added to reduce the oxidation or corrosion of metal surfaces by forming a passivation layer that minimizes the chemical interaction between the substrate surface and the surrounding electrolyte.
  • the layer of material formed by the corrosion inhibitors thus tends to suppress or minimize the electrochemical current from the substrate surface to limit electrochemical deposition and/or dissolution.
  • the polishing composition may include between about 0.001% and about 5.0% by weight of the organic compound from one or more azole groups. The commonly preferred range being between about 0.2% and about 0.4% by weight.
  • Examples of organic compounds having azole groups include benzotriazole (BTA), mercaptobenzotriazole, 5-methyl-1-benzotriazole (TTA), and combinations thereof.
  • Other suitable corrosion inhibitors include film forming agents that are cyclic compounds, for example, imidazole, benzimidazole, triazole, and combinations thereof. Derivatives of benzotriazole, imidazole, benzimidazole, triazole, with hydroxy, amino, imino, carboxy, mercapto, nitro and alkyl substituted groups may also be used as corrosion inhibitors.
  • Other corrosion inhibitor includes urea and thiourea among others.
  • polymeric inhibitors for non-limiting examples, polyalkylaryl ether phosphate or ammonium nonylphenol ethoxylate sulfate, may be used in replacement or conjunction with azole containing corrosion inhibitors in an amount between about 0.002% and about 1.0% by volume or weight of the composition.
  • One or more pH adjusting agents is preferably added to the polishing composition to achieve a pH between about 2 and about 10, and preferably between a pH of about 3 and about 7.
  • the amount of pH adjusting agent can vary as the concentration of the other components is varied in different formulations, but in general the total solution may include up to about 70 wt % of the one or more pH adjusting agents, but preferably between about 0.2% and about 25% by volume.
  • Different compounds may provide different pH levels for a given concentration, for example, the composition may include between about 0.1% and about 10% by volume of a base, such as potassium hydroxide, ammonium hydroxide, sodium hydroxide or combinations thereof, providing the desired pH level.
  • the one or more pH adjusting agents can be chosen from a class of organic acids, for example, carboxylic acids, such as acetic acid, citric acid, oxalic acid, phosphate-containing components including phosphoric acid, ammonium phosphates, potassium phosphates, and combinations thereof, or a combination thereof.
  • carboxylic acids such as acetic acid, citric acid, oxalic acid, phosphate-containing components including phosphoric acid, ammonium phosphates, potassium phosphates, and combinations thereof, or a combination thereof.
  • Inorganic acids including phosphoric acid, sulfuric acid, hydrochloric, nitric acid, derivatives thereof and combinations thereof, may also be used as a pH adjusting agent in the polishing composition.
  • the balance or remainder of the polishing compositions described herein is a solvent, such as a polar solvent, including water, preferably deionized water.
  • a solvent such as a polar solvent, including water, preferably deionized water.
  • Other solvent may be used solely or in combination with water, such as organic solvents.
  • Organic solvents include alcohols, such as isopropyl alcohol or glycols, ethers, such as diethyl ether, furans, such as tetrahydrofuran, hydrocarbons, such as pentane or heptane, aromatic hydrocarbons, such as benzene or toluene, halogenated solvents, such as methylene chloride or carbon tetrachloride, derivatives, thereof and combinations thereof.
  • the polishing composition may include one or more surface finish enhancing and/or removal rate enhancing materials including abrasive particles, one or more oxidizers, and combinations thereof.
  • Abrasive particles may be used to improve the surface finish and removal rate of conductive materials from the substrate surface during polishing.
  • the addition of abrasive particles to the polishing composition can allow the final polished surface to achieve a surface roughness of that comparable with a conventional CMP process even at low pad pressures. Surface finish, or surface roughness, has been shown to have an effect on device yield and post polishing surface defects.
  • Abrasive particles may comprise up to about 30 wt % of the polishing composition during processing. A concentration between about 0.001 wt % and about 5 wt % of abrasive particles may be used in the polishing composition.
  • Suitable abrasives particles include inorganic abrasives, polymeric abrasives, and combinations thereof.
  • Inorganic abrasive particles that may be used in the electrolyte include, but are not limited to, silica, alumina, zirconium oxide, titanium oxide, cerium oxide, germania, or any other abrasives of metal oxides, known or unknown.
  • colloidal silica may be positively activated, such as with an alumina modification or a silica/alumina composite.
  • the typical abrasive particle size used in one embodiment of the current invention is generally from about 1 nm to about 1,000 nm, preferably from about 10 nm to about 100 nm.
  • suitable inorganic abrasives have a Mohs hardness of greater than 6, although the invention contemplates the use of abrasives having a lower Mohs hardness value.
  • the polymer abrasives described herein may also be referred to as “organic polymer particle abrasives”, “organic abrasives” or “organic particles.”
  • the polymeric abrasives may comprise abrasive polymeric materials. Examples of polymeric abrasives materials include polymethylmethacrylate, polymethyl acrylate, polystyrene, polymethacrylonitrile, and combinations thereof.
  • the polymeric abrasives may have a Hardness Shore D of between about 60 and about 80, but can be modified to have greater or lesser hardness value.
  • the softer polymeric abrasive particles can help reduce friction between a polishing article and substrate and may result in a reduction in the number and the severity of scratches and other surface defects as compared to inorganic particles.
  • a harder polymeric abrasive particle may provide improved polishing performance, removal rate and surface finish as compared to softer materials.
  • the hardness of the polymer abrasives can be varied by controlling the extent of polymeric cross-linking in the abrasives, for example, a higher degree of cross-linking produces a greater hardness of polymer and, thus, abrasive.
  • the polymeric abrasives are typically formed as spherical shaped beads having an average diameter between about 0.1 micron to about 20 microns or less.
  • the polymeric abrasives may be modified to have functional groups, e.g., one or more functional groups, that have an affinity for, i.e., can bind to, the conductive material or conductive material ions at the surface of the substrate, thereby facilitating the ECMP removal of material from the surface of a substrate.
  • the organic polymer particles can be modified to have an amine group, a carboxylate group, a pyridine group, a hydroxide group, ligands with a high affinity for copper, or combinations thereof, to bind the removed copper as substitutes for or in addition to the chemically active agents in the polishing composition, such as the chelating agents or corrosion inhibitors.
  • the substrate surface material such as copper
  • the functional groups can bind to the metal material(s) on the substrate surface to help improve the uniformity and surface finish of the substrate surface.
  • the polymeric abrasives have desirable chemical properties, for example, the polymer abrasives are stable over a broad pH range and are not prone to aggregating to each other, which allow the polymeric abrasives to be used with reduced or no surfactant or no dispersing agent in the composition.
  • inorganic particles coated with the polymeric materials described herein may also be used with the polishing composition. It is within the scope of the current invention for the polishing composition to contain polymeric abrasives, inorganic abrasives, the polymeric coated inorganic abrasives, and any combination thereof depending on the desired polishing performance and results.
  • One or more oxidizers may be used herein to enhance the removal or removal rate of the conductive material from the substrate surface.
  • An oxidizing agent is generally an agent that reacts with a material by accepting an electron(s).
  • the oxidizer is used to react with the surface of the substrate that is to be polished, which then aids in the removal of the desired material.
  • an oxidizer may be used to oxidize a metal layer to a corresponding oxide or hydroxide, for example, copper to copper oxide.
  • Existing copper that has been oxidized, including Cu 1+ ions may further be oxidized to a higher oxidation state, such as Cu 2+ ions, which may then promote the reaction with one or more of the chelating agents.
  • the oxidizing agent can be used in some chemistries (e.g., low pH) that can enhance the chemical etching of the surface of the substrate to further increase the removal rate from the anode surface.
  • some chemistries e.g., low pH
  • the inhibitors and chelating agents will complex with the metal ions on the surface that become dislodged from the surface due to the relative motion and pressure applied by the conductive pad 203 .
  • the addition of abrasives can further improve the removal rate of the complexed metal ions due to the abrasive particles ability to increase that contact area between the conductive pad 203 and the substrate surface.
  • the conductive layer on the substrate surface is biased anodically above a threshold potential, by use of the power source 224 and the electrode 209 , thus causing the metal on the substrate surface to “oxidize” (i.e., a metal atom gives up one or more electrons to the power source 224 ).
  • the ionized or “oxidized” metal atoms thus dissolve into the electrolyte solution with the help of components in the electrolyte.
  • copper is the desired material to be removed, it can be oxidized to a Cu 1+ or a Cu 2+ oxidation state.
  • the electrochemical dissolution process of the metal ions into the electrolyte is more limited than a polishing composition which does not contain these components.
  • the presence of the inhibitors and/or chelating agents also appears to have an effect on the attachment strength of the metal ion(s) and inhibitor and/or chelating agent complex to the surface of the substrate. It has been found that in one embodiment that the removal rate in an ECMP process can be increased by the addition of an oxidizing agent. It is thought that the oxidizing agent tends to further oxidize the metal ions formed due to the anodic bias, which in the case of copper brings it to the more stable Cu 2+ oxidation state.
  • the inhibitors and/or chelating agents found in the polishing composition complex with the oxidized metal ions which tend to have a lower attachment, or bond, strength due to the way the inhibitor bonds to the oxidized metal ion and metal surface.
  • the lower attachment strength allows the complexed metal ion to be more easily and efficiently removed due to the interaction of the substrate surface and the conductive pad 203 .
  • the addition of abrasives to the ECMP polishing composition can further improve the removal rate of the complexed metal ions due to the abrasive particles' ability to increase contact area between the conductive pad 203 and the substrate surface.
  • the polishing composition may include one or more additive compounds.
  • Additive compounds include electrolyte additives including, but not limited to, suppressors, enhancers, levelers, brighteners, stabilizers, and stripping agents to improve the effectiveness of the polishing composition in polishing of the substrate surface. For example, certain additives may decrease the ionization rate of the metal atoms, thereby inhibiting the dissolution process, whereas other additives may provide a finished, shiny substrate surface.
  • the additives may be present in the polishing composition in concentrations up to about 15% by weight or volume, and may vary based upon the desired result after polishing.
  • controlling the amounts and types of constituents of the polishing composition can result in tuning the desired removal rate of the process.
  • reduced amounts of corrosion inhibitor will result in an increase in the material removal rate as compared to compositions having higher corrosion inhibitor concentrations.
  • the polishing composition does not contain corrosion inhibitors the ECMP material removal rate is greatly increased over a polishing composition which contains a corrosion inhibitor due to the formation of the metal ions and inhibitor complex which tends to shield the surface of the substrate to the electrolyte.
  • reduced amounts of oxidizers will generally result in lower removal rates compared to compositions having higher oxidizer compositions.
  • the corrosion inhibitor and/or chelating agent can complex with a metal ion before it becomes oxidized further by the oxidizing agent due to kinetic effects limiting the supply of the oxidizer to the surface of the substrate.
  • the corrosion inhibitor and metal ion complex can thus affect the removal efficiency due to the formation of the stronger attachment strength complexed metal ions.
  • An example of a polishing composition described herein includes about 2% by volume ethylenediamine, about 2% by weight ammonium citrate, about 0.3% by weight benzotriazole, between about 0.1% and about 3% by volume or weight, for example, about 0.45% hydrogen, peroxide, and/or about between about 0.01% and 1% by weight, for example 0.15% by weight, of abrasive particles, and about 6% by volume phosphoric acid.
  • the pH of the composition is about 5, which may be achieved by, for example, the composition further including potassium hydroxide to adjust the pH to the preferred range.
  • the remainder of the polishing composition is deionized water.
  • the oxidizer can be present in the polishing composition in an amount ranging between about 0.01% and about 90% by volume or weight, for example, between about 0.1% and about 20% by volume or weight. In an embodiment of the polishing composition, between about 0.1% to about 15% by volume or weight of hydrogen peroxide is present in the polishing composition. In one embodiment, the oxidizer is added to the rest of the polishing composition just prior to beginning the ECMP process.
  • Suitable oxidizers include peroxy compounds, e.g., compounds that may disassociate through hydroxy radicals, such as hydrogen peroxide and its adducts including urea hydrogen peroxide, percarbonates, and organic peroxides including, for example, alkyl peroxides, cyclical or aryl peroxides, benzoyl peroxide, peracetic acid, and ditertbutyl peroxide.
  • Sulfates and sulfate derivatives, such as monopersulfates and dipersulfates may also be used including for example, ammonium peroxydisulfate, potassium peroxydisulfate, ammonium persulfate, and potassium persulfate. Salts of peroxy compounds, such as sodium percarbonate and sodium peroxide may also be used.
  • the oxidizing agent can also be an inorganic compound or a compound containing an element in its highest oxidation state.
  • inorganic compounds and compounds containing an element in its highest oxidation state include but are not limited to periodic acid, periodate salts, perbromic acid, perbromate salts, perchloric acid, perchloric salts, perbonic acid, nitrate salts (such as cerium nitrate, iron nitrate, ammonium nitrate), ferrates, perborate salts and permanganates.
  • Other oxidizing agents include bromates, chlorates, chromates, iodates, iodic acid, and cerium (IV) compounds such as ammonium cerium nitrate.
  • Surfactants may be one such additive compound in the polishing composition.
  • One or more surfactants may be used in the polishing composition to increase the dissolution or solubility of materials, such as metals and metal ions or by-products produced during processing, improve chemical stability, and reduce decomposition of components of the polishing composition.
  • the one or more surfactants can comprise a concentration between about 0.001% and about 10% by volume or weight of the polishing composition.
  • a concentration between about 0.01% and about 2% by volume or weight, for example between about 0.1% and about 1% by volume or weight, of the surfactants may be used in one embodiment of the polishing composition.
  • the one or more surfactants may include non-ionic surfactants as well as ionic surfactants including anionic surfactants, cationic surfactants, amphoteric surfactants, and ionic surfactants having more than one ionic functional group, such as Zweitter-ionic surfactants. Dispersers or dispersing agents are considered to be surfactants as surfactants are used herein.
  • additives include one or more leveling agents, which are broadly defined herein as additives that suppress dissolution current on the surface of a substrate.
  • Leveling agents suppress dissolution current by attaching to conductive materials, by inhibiting the electrochemical reactions between the electrolyte and conductive material, and/or form depolarizing agents that limit electrochemical reactions.
  • a concentration of leveling agents between about 0.005% and about 10% by volume or weight, for example, between about 0.05% and about 2% by volume or weight of the electrolyte solution can be used.
  • Leveling agents include, but are not limited to, polyethylene glycol (PEG) and polyethylene glycol derivatives.
  • Other leveling agents which can be employed in the process described herein include any employed in the electroplating or electropolishing art, such as polyamines, polyamides and polyimides including polyethyleneimine, polyglycine, 2-amino-1-naphthalenesulfonic acid, 3-amino-1-propanesulfonic acid, 4-aminotoluene-2-sulfonic acid.
  • Leveling agents may be added to the composition in a range from about 0.05% to about 5% by volume or weight of the composition. For example, PEG may be added to a polishing solution with a concentration about 0.2wt %.
  • Suppressors such as electrically resistive additives that reduce the conductivity of the polishing composition may be added to the composition in a range from about 0.005% to about 2% by volume or weight of the composition.
  • Suppressors include polyacrylamide, polyacrylic acid polymers, polycarboxylate copolymers, coconut diethanolamide, oleic diethanolamide, ethanolamide derivatives, or combinations thereof.
  • One or more stabilizers may be present in an amount that is sufficient to produce measurable improvements in composition stability.
  • the one or more stabilizers may be present in an amount ranging from about 100 ppm to about 5.0 weight percent (wt %).
  • preferred stabilizers include but are not limited to phosphoric acids and phosphoric acid derivatives including aminotri(methylenephosphonic) acid, 1-hydroxyethylidene-4-diphophonic acid, hexamethylenediaminetetramethylene phosphoric acid, and diethylenetetramine pentamethylenephosphonic acid, and derivative salts thereof.
  • Accelerators are another example of an additive that may be included in the polishing composition. Accelerators increase electrochemical reactions of metals disposed on the substrate surface to increase metal removal.
  • the composition may include one or more accelerators at a concentration between about 0.001% and about 1% by volume or weight, for example, between about 0.25% and about 0.8% by volume or weight. Accelerators may include sulfur-containing compounds, such as sulfite or di-sulfate.
  • ECMP solutions of varying compositions may be used to remove bulk material and residual material, such as copper and/or copper alloys, as well as to remove barrier materials, such as tantalum nitrides or titanium nitrides. Specific formulations of the polishing compositions are used to remove the particular materials. Polishing compositions utilized during embodiments herein are advantageous for ECMP processes. Generally, ECMP solutions are much more conductive than traditional CMP solutions. The ECMP solutions have a conductivity of about 10 mS or higher, while traditional CMP solutions have a conductivity from about 3 mS to about 5 mS.
  • the conductivity of the ECMP solutions greatly influences that rate at which the ECMP process advances, i.e., more conductive solutions have a faster material removal rate.
  • the ECMP solution has a conductivity of about 10 mS or higher, preferably in a range from about 30 mS to about 60 mS.
  • the ECMP solution has a conductivity of about 10 mS or higher, preferably in a range from about 15 mS to about 40 mS.
  • a first polishing composition or first ECPM solution used to remove bulk material may include phosphoric acid, at least one chelating agent, a corrosion inhibitor, a salt, an oxidizer, or abrasive particulates.
  • a first polishing solution may include from about 1 wt % to about 10 wt % of phosphoric acid; from about 0.1 wt % to about 6 wt % of the at least one chelating agent; from about 0.01 wt % to about 1 wt % of a corrosion inhibitor; from about 0.5 wt % to about 10 wt % of a salt, such as ammonium citrate or copper citrate; from about 0.2 wt % to about 5 wt % of an oxidizer; and from about 0.05 wt % to about 1 wt % of abrasive particulates.
  • a first polishing composition may have a pH adjusting agent in a concentration to maintain a pH from about 4
  • the first polishing composition includes at least one chelating agent, such as EDA, EDTA, citric acid, ammonium citrate, salts thereof, derivatives thereof and combinations thereof.
  • the corrosion inhibitor of the first polishing composition may include BTA, TTA, salts thereof, derivatives thereof and combinations thereof.
  • Salts may be added to the first polishing composition or may be formed in situ, such as by an acid/base type reaction. Salts may be inorganic, organic or combinations thereof and include cations such as ammonium, potassium, sodium, calcium and anions such as citrate, oxalate, succinate and tartrate.
  • a pH adjusting agent includes potassium hydroxide, ammonium hydroxide or combinations thereof.
  • An oxidizer, such as hydrogen peroxide and/or abrasive particulates, such as colloidal silica activated with alumina may be added to the first polishing composition.
  • a second polishing composition or second ECPM solution used to residual material may include phosphoric acid, at least one chelating agent, a corrosion inhibitor, a salt, an oxidizer, abrasive particulates.
  • a second polishing solution may include from about 0.1 wt % to about 5 wt % of phosphoric acid; from about 0.1 wt % to about 5 wt % of the at least one chelating agent; from about 0.01 wt % to about 1 wt % of a corrosion inhibitor; from about 0.1 wt % to about 5 wt % of a salt; from about 0.01 wt % to about 3 wt % of an oxidizer; and from about 0.05 wt % to about 5 wt % of abrasive particulates.
  • a second polishing composition may have a pH adjusting agent in a concentration to maintain a pH from about 4 to about 7. Generally, a solvent is added to the
  • the at least one chelating agent of the second polishing composition may include glycine, EDA, EDTA, citric acid, ammonium citrate, salts thereof, derivatives thereof and combinations thereof.
  • the corrosion inhibitor of the second polishing composition may include BTA, TTA, salts thereof, derivatives thereof and combinations thereof.
  • Salts may be added to the second polishing composition or may be formed in situ, such as by an acid/base type reaction. Salts may be inorganic, organic or combinations thereof and include cations such as ammonium, potassium, sodium, calcium and anions such as citrate, oxalate, succinate and tartrate.
  • a pH adjusting agent includes potassium hydroxide, ammonium hydroxide or combinations thereof.
  • An oxidizer such as hydrogen peroxide and/or abrasive particulates, such as colloidal silica activated with alumina may be added to the second polishing composition.
  • a second polishing composition includes BTA and glycine.
  • a second polishing composition includes BTA, EDA and ammonium citrate.
  • some of the second polishing compositions contain leveling agents, such as PEG.
  • An electrochemical mechanical polishing technique using a combination of chemical activity, mechanical activity and electrical activity to remove material and planarize a substrate surface may be performed as follows.
  • the substrate is disposed in a receptacle, such as a basin or platen containing a first electrode and a polishing composition.
  • the polishing composition forms a passivation layer on the substrate surface.
  • the passivation layer may chemically and/or electrically insulate material disposed on a substrate surface.
  • a polishing article coupled to a polishing article assembly containing a second electrode is then disposed in the basin or platen and physically contacted and/or electrically coupled with the substrate through the polishing article. Relative motion is provided between the substrate surface and the conductive article 203 to reduce or remove the passivation layer.
  • a bias from a power source 224 is applied between the two electrodes. The bias may be applied by an electrical pulse modulation technique providing at least anodic dissolution. The bias may be transferred from a conductive article 203 in the polishing article assembly 222 to the substrate 208 .
  • a first ECMP process may be used to remove bulk conductive material from the substrate surface as shown from FIGS. 4A-4B and then a second ECMP process to remove residual copper containing materials as shown from FIGS. 4B-4C.
  • Bulk material is broadly defined herein as any material deposited on the substrate in an amount more than sufficient to substantially fill features formed on the substrate surface.
  • Residual material is broadly defined as any bulk copper containing material remaining after one or more polishing process steps.
  • the bulk removal during a first ECMP process removes at least about 50% of the conductive layer, preferably at least about 70%, more preferably at least about 80%, for example, at least about 90%.
  • the residual removal during a second ECMP process removes most, if not all the remaining conductive material disposed on the barrier layer to leave behind the filled plugs.
  • the first ECMP process attributes to the throughput of substrate manufacturing due to a fast removal rate of the conductive layer. However, if the first ECMP process is used solely, too much conductive material may be removed to produce an under burden.
  • the second ECMP process attributes to the throughput of substrate manufacturing due to the precise removal the conductive layer to form level substrate surfaces. However, the second ECMP process is too slow are removing conducting material to be solely used. Therefore, the combined first and second ECMP processes increases throughput and produces high quality planar substrate surfaces.
  • the bulk removal ECMP process may be performed on a first polishing platen and the residual removal ECMP process on a second polishing platen of the same or different polishing apparatus as the first platen.
  • the residual removal ECMP process may be performed on the first platen.
  • Any barrier material may be removed on a separate platen, such as the third platen in the apparatus described in FIG. 2.
  • the apparatus described above in accordance with the processes described herein may include three platens for removing bulk material or comprise one platen to remove bulk material, a second platen for residual removal and a third platen for barrier removal, wherein the bulk and the residual processes are ECMP processes and the barrier removal is a CMP process.
  • three ECMP platens may be used to remove bulk material, residual removal and barrier removal.
  • the substrate 300 having a dielectric layer 310 patterned with narrow feature definitions 320 and wide feature definitions 330 is filled with a barrier layer 340 , for example, tantalum, and an excess amount of conductive material 360 , for example, copper.
  • the deposition profile of the excess material includes a high overburden 370 , also referred to as a hill or peak, formed over narrow feature definitions 320 and a minimal overburden 380 , also referred to as a valley, over wide feature definitions 330 .
  • the substrate is exposed to a polishing composition described herein that forms a passivation layer 390 on the conductive material layer 360 .
  • the passivation layer 390 forms on the exposed conductive material 360 on the substrate surface including the high overburden 370 , peaks, and minimal overburden 380 , valleys, formed in the deposited conductive material 360 .
  • the passivation layer 390 chemically and/or electrically insulates the surface of the substrate from chemical and/or electrical reactions.
  • the passivation layer is formed from the exposure of the substrate surface to the corrosion inhibitor and/or other materials capable of forming a passivating or insulating film, for example, chelating agents.
  • the thickness and density of the passivation layer can dictate the extent of chemical reactions and/or amount of anodic dissolution. For example, a thicker or denser passivation layer 390 has been observed to result in less anodic dissolution compared to thinner and less dense passivation layers.
  • control of the composition of passivating agents, corrosion inhibitors and/or chelating agents allow control of the removal rate and amount of material removed from the substrate surface.
  • FIG. 4B illustrates that at least about 50% of the conductive material 360 was removed after the bulk removal of the first ECMP process, for example, about 90%.
  • conductive material 360 may still include the high overburden 370 , peaks, and/or minimal overburden 380 , valleys, but with a reduced proportionally size.
  • conductive material 360 may also be rather planar across the substrate surface (not pictured).
  • the substrate surface and a polishing article are contacted with one another and moved in relative motion to one another, such as in a relative orbital motion, to remove portions of the passivation layer 390 formed on the exposed conductive material 360 , which may also remove a portion of the underlying conductive material 360 .
  • the substrate surface and polishing article are contacted at a pressure less than about 2 pounds per square inch (lb/in 2 or psi) (13.8 kPa).
  • Removal of the passivation layer 390 and some conductive material 360 may be performed with a process having a pressure of about 1 psi (6.9 kPa) or less, for example, from about 0.01 psi (69 Pa) to about 0.5 psi (3.4 kPa). In one aspect of the process, a pressure of about 0.2 psi (1.4 kPa) or less is used.
  • the polishing pressures used herein reduce or minimize damaging shear forces and frictional forces for substrates containing low k dielectric materials. Reduced or minimized forces can result in reduced or minimal deformations and defect formation of features from polishing. Further, the lower shear forces and frictional forces have been observed to reduce or minimize formation of topographical defects, such as dishing and scratches, and delamination, during polishing.
  • Contact between the substrate and a conductive polishing article also allows for electrical contact between the power source and the substrate by coupling the power source to the polishing article when contacting the substrate. A region of non-passivated material may be exposed and removed by anodic dissolution by mechanical abrasion to disturb or remove the passivation layer on the surface of the substrate.
  • a bias is applied to the substrate during contact between the substrate surface and the conductive polishing article for anodic dissolution of the conductive material 360 from the substrate surface.
  • the bias is generally provided to produce anodic dissolution of the conductive material from the surface of the substrate at a current density up to about 100 mA/cm 2 which correlates to an applied current of about 40 amps to process substrates with a diameter up to about 300 mm.
  • a 200 mm diameter substrate may have a current density from about 0.01 mA/cm 2 to about 50 mA/cm 2 , which correlates to an applied current from about 0.01 A to about 20 A.
  • the bias may be applied and monitored by volts, amps and watts.
  • the power supply may apply a power between about 0 watts and 100 watts, a voltage between about 0 V and about 10 V, and a current between about 0 amps and about 10 amps.
  • the substrate surface i.e., the conductive material layer 360 may be biased anodically above a threshold potential of the conductive material, for example, a metal material, on the substrate surface to “oxidize”.
  • a metal material oxidizes, a metal atom gives up one or more electrons to the power source and forms metal ions or cations. The metal ions may then leave the substrate surface and dissolve into the electrolyte solution.
  • cations can have the Cu 1+ or Cu 2+ oxidation state.
  • the metal ions may also contribute to the formation of the thickness and/or density of the passivation layer 390 .
  • the inhibitors and/or chelating agents found in the polishing composition may complex with the metal ions and the metal ions become incorporated into the passivation layer 390 .
  • the presence of the inhibitors and/or chelating agents found in the polishing composition limit or reduce the electrochemical dissolution process of the metal ions into the electrolyte, and further incorporate such metal ions into the passivation layer 390 . It has been observed that the thickness and/or density of the undisturbed passivation layer may increase after periods of applied bias for anodic dissolution of conductive materials on the substrate surface.
  • the increase in the thickness and/or density of the undisturbed passivation layer is related to the total applied power and is a function of time and/or power levels. It has also been observed that the undisturbed passivation layer incorporates metal ions and that the metal ions may contribute to the thickness and/or density of the passivation layer.
  • the bias may be varied in power and application depending upon the user requirements in removing material from the substrate surface. For example, increasing power application has been observed to result in increasing anodic dissolution.
  • the bias may also be applied by an electrical pulse modulation technique. Pulse modulation techniques may vary, but generally include a cycle of applying a constant current density or voltage for a first time period, then applying no current density or voltage or a constant reverse current density or voltage for a second time period. The process may then be repeated for one or more cycles, which may have varying power levels and durations.
  • the power levels, the duration of power, an “on” cycle, and no power, an “off” cycle” application, and frequency of cycles may be modified based on the removal rate, materials to be removed, and the extent of the polishing process. For example, increased power levels and increased duration of power being applied have been observed to increase anodic dissolution.
  • the pulse modulation process comprises an on/off power technique with a period of power application, “on”, followed by a period of no power application, “off”.
  • the on/off cycle may be repeated one or more times during the polishing process.
  • the “on” periods allow for removal of exposed conductive material from the substrate surface and the “off” periods allow for polishing composition components and by-products of “on” periods, such as metal ions, to diffuse to the surface and complex with the conductive material.
  • the metal ions migrate and interact with the corrosion inhibitors and/or chelating agents by attaching to the passivation layer in the non-mechanically disturbed areas.
  • control of the pulse modulation technique can control the removal rate and amount of material removed from the substrate surface.
  • the “on”/“off” period of time may be between about 1 second and about 60 seconds each, for example, between about 2 seconds and about 25 seconds, and the invention contemplates the use of pulse techniques having “on” and “off” periods of time greater and shorter than the described time periods herein.
  • power is applied between about 16% and about 66% of each cycle.
  • Non-limiting examples of pulse modulation technique with an on/off cycle for electrochemical mechanical polishing of materials described herein include: applying power, “on”, between about 5 seconds and about 10 seconds and then not applying power, “off”, between about 2 seconds and about 25 seconds; applying power for about 10 seconds and not applying power for 5 seconds, or applying power for 10 seconds and not applying power for 2 seconds, or even applying power for 5 seconds and not applying power for 25 seconds to provide the desired polishing results.
  • the cycles may be repeated as often as desired for each selected process.
  • One example of a pulse modulation process is described in commonly assigned U.S. Pat. No. 6,379,223, which is incorporated by reference herein to the extent not inconsistent with the claimed aspects and disclosure herein.
  • a removal rate of conductive material of up to about 15,000 ⁇ /min can be achieved by the processes described herein. Higher removal rates are generally desirable, but due to the goal of maximizing process uniformity and other process variables (e.g., reaction kinetics at the anode and cathode) it is common for dissolution rates to be controlled from about 100 ⁇ /min to about 15,000 ⁇ /min.
  • the voltage (or current) may be applied to provide a removal rate from about 100 ⁇ /min to about 5,000 ⁇ /min.
  • the substrate is typically exposed to the polishing composition and power application for a period of time sufficient to remove at least a portion or all of the desired material disposed thereon.
  • the polishing composition may be varied to control the rate in which the conductive material is removed.
  • a first ECMP process is conducted with a first polishing solution, thereafter, a second ECMP process is conducted with a second polishing solution more dilute than the first polishing solution, for example, the second polishing solution is about 25% the concentration of the first polishing solution.
  • the first ECMP composition may comprise: from about 1 wt % to about 10 wt % of phosphoric acid; from about 0.1 wt % to about 6 wt % of the at least one chelating agent; from about 0.01 wt % to about 1 wt % of the corrosion inhibitor; from about 0.5 wt % to about 10 wt % of the salt; from about 0.2 wt % to about 5 wt % of the oxidizer; and from about 0.05 wt % to about 1 wt % of the abrasive particulates.
  • the second ECMP composition may comprise: from about 0.25 wt % to about 5 wt % of phosphoric acid; from about 0.05 wt % to about 3 wt % of the at least one chelating agent; from about 0.005 wt % to about 0.5 wt % of the corrosion inhibitor; from about 0.13 wt % to about 5 wt % of the salt; from about 0.05 wt % to about 3 wt % of the oxidizer; and from about 0.02 wt % to about 0.5 wt % of the abrasive particulates.
  • the first ECMP solution and second ECMP solution have the similar relative concentrations of each component except water, whereas the second ECMP solution is formed by combining de-ionized water to the first ECMP solution, for example at a volume ratio of about 3 to about 1.
  • the first polishing solution and second polishing solution have the varied relative concentrations of each component within water.
  • the removal rate of conductive material 360 is much faster during the first ECMP process than during the second ECMP process.
  • the first ECMP process removes conductive material 360 at a rate from about 1,000 ⁇ /min to about 15,000 ⁇ /min
  • the second ECMP process removes conductive material 360 at a rate from about 100 ⁇ /min to about 8,000 ⁇ /min.
  • the second ECMP process is slower in order to prevent excess metal removal to form topographical defects, such as concavities or depressions known as dishing 55 , as shown in FIG. 1B. Therefore, a majority of the conductive layer 360 is removed at a faster rate during the first ECMP process than the remaining conductive layer 360 during the second ECMP process.
  • the two-step ECMP process increases throughput of the total substrate processing and while producing a smooth surface with little or no defects.
  • Mechanical abrasion by a conductive polishing article removes the passivation layer that insulates or suppresses the current for anodic dissolution, such that areas of high overburden is preferentially removed over areas of minimal overburden as the passivation layer is retained in areas of minimal or no contact with the conductive polishing article 203 .
  • the removal rate of the conductive material 360 covered by the passivation layer is less than the removal rate of conductive material without the passivation layer. As such, the excess material disposed over narrow feature definitions 320 and the substrate field 350 is removed at a higher rate than over wide feature definitions 330 still covered by the passivation layer 390 .
  • the conductive layer 360 is removed to expose barrier layer 340 and conductive trenches 365 by polishing the substrate with a second ECMP process including a second ECMP polishing solution.
  • the conductive trenches 365 are formed by the remaining conductive material 360 .
  • Any residual conductive material and barrier material may then be polished by a third polishing step to provide a planarized substrate surface containing conductive trenches 365 , as depicted in FIG. 4D.
  • the residual conductive material and barrier material may be removed by a third polishing process, such as a third ECMP process or a CMP process.
  • An example of a copper polishing process is disclosed in U.S. patent Publication No. 20030029841 and an example of a barrier polishing process is disclosed in U.S. patent Publication No. 20030013306, which are both incorporated herein to the extent not inconsistent with the claims aspects and disclosure herein.
  • the substrate may then be buffed to minimize surface defects. Buffing may be performed with a soft polishing article, i.e., a hardness of about 40 or less on the Shore D hardness scale as described and measured by the American Society for Testing and Materials (ASTM), headquartered in Philadelphia, Pa., at reduced polishing pressures, such as about 2 psi or less.
  • ASTM American Society for Testing and Materials
  • An example of a suitable buffing process and composition is disclosed in co-pending U.S. patent application Ser. No. 09/569,968, filed on May 11, 2000, and incorporated herein by reference to the extent not inconsistent with the invention.
  • a cleaning solution may be applied to the substrate after each of the polishing process to remove particulate matter and spent reagents from the polishing process as well as help minimize metal residue deposition on the polishing articles and defects formed on a substrate surface.
  • An example of a suitable cleaning solution is ElectraCleanTM commercially available from Applied Materials, Inc., of Santa Clara, Calif.
  • the substrate may be exposed to a post polishing cleaning process to reduce defects formed during polishing or substrate handling.
  • a post polishing cleaning process is the application of Electra CleanTM, commercially available from Applied Materials, Inc., of Santa Clara, Calif.
  • a copper plated substrate with 300 mm diameter was polished and planarized using the following polishing composition within a modified cell on a REFLEXION® system, available from Applied Materials, Inc., of Santa Clara, Calif.
  • a substrate having a copper layer of about 11,500 ⁇ thick on the substrate surface with a step height of about 6,000 ⁇ was placed onto the first platen and exposed to a polishing composition of:
  • silica (SiO 2 ) abrasive particles [0140] about 0.15% by weight of silica (SiO 2 ) abrasive particles.
  • a polishing article was contacted with the substrate at about 0.2 psi and a bias of about 3 volts was applied during the process.
  • the substrate was polished and examined.
  • the copper layer thickness was reduced to about 1,500 ⁇ .
  • a polishing article was contacted with the substrate at about 0.1 psi at a bias of about 1.5 volts was applied during the process.
  • the substrate was polished and examined.
  • the excess copper layer formerly on the substrate surface was removed to leave behind the barrier layer and the copper trench.
  • a copper plated substrate with 300 mm diameter was polished and planarized using the following polishing composition within a modified cell on a REFLEXION® system, available from Applied Materials, Inc. of Santa Clara, Calif.
  • a substrate having a copper layer of about 11,500 ⁇ thick on the substrate surface with a step height of about 6,000 ⁇ was placed into the first platen and exposed to a polishing composition of:
  • silica (SiO 2 ) abrasive particles [0160] about 0.15% by weight of silica (SiO 2 ) abrasive particles.
  • a polishing article was contacted with the substrate at about 0.2 psi and a bias of about 3 volts was applied during the process.
  • the substrate was polished and examined.
  • the copper layer thickness was reduced to about 1,500 ⁇ .
  • a polishing article was contacted with the substrate at about 0.1 psi at a bias of about 1.5 volts was applied during the process.
  • the substrate was polished and examined.
  • the excess copper layer formerly on the substrate surface was removed to leave behind the barrier layer and the copper trench.
  • a copper plated substrate with 300 mm diameter was polished and planarized using the following polishing composition within a modified cell on a REFLEXION® system, available from Applied Materials, Inc. of Santa Clara, Calif.
  • a substrate having a copper layer of about 11,500 ⁇ thick on the substrate surface with a step height of about 6,000 ⁇ was placed into the first platen and exposed to a polishing composition of:
  • silica (SiO 2 ) abrasive particles [0181] about 0.15% by weight of silica (SiO 2 ) abrasive particles.
  • a polishing article was contacted with the substrate at about 0.2 psi and a bias of about 3 volts was applied during the process.
  • the substrate was polished and examined.
  • the copper layer thickness was reduced to about 1,500 ⁇ .
  • a polishing article was contacted with the substrate at about 0.1 psi at a bias of about 1.5 volts was applied during the process.
  • the substrate was polished and examined.
  • the excess copper layer formerly on the substrate surface was removed to leave behind the barrier layer and the copper trench.
  • a copper plated substrate with 300 mm diameter was polished and planarized using the following polishing composition within a modified cell on a REFLEXION® system, available from Applied Materials, Inc. of Santa Clara, Calif.
  • a substrate having a copper layer of about 11,500 ⁇ thick on the substrate surface with a step height of about 6,000 ⁇ was placed into the first platen and exposed to a polishing composition of:
  • a polishing article was contacted with the substrate at about 0.2 psi and a bias of about 3 volts was applied during the process.
  • the substrate was polished and examined.
  • the copper layer thickness was reduced to about 1,500 ⁇ .
  • a polishing article was contacted with the substrate at about 0.1 psi at a bias of about 1.5 volts was applied during the process.
  • the substrate was polished and examined.
  • the excess copper layer formerly on the substrate surface was removed to leave behind the barrier layer and the copper trench.
  • a copper plated substrate with 300 mm diameter was polished and planarized using the following polishing composition within a modified cell on a REFLEXION® system, available from Applied Materials, Inc. of Santa Clara, Calif.
  • a substrate having a copper layer of about 11,500 ⁇ thick on the substrate surface with a step height of about 6,000 ⁇ was placed into the first platen and exposed to a polishing composition of:
  • a polishing article was contacted with the substrate at about 0.2 psi and a bias of about 3 volts was applied during the process.
  • the substrate was polished and examined.
  • the copper layer thickness was reduced to about 1,500 ⁇ .
  • a polishing article was contacted with the substrate at about 0.1 psi at a bias of about 1.5 volts was applied during the process.
  • the substrate was polished and examined.
  • the excess copper layer formerly on the substrate surface was removed to leave behind the barrier layer and the copper trench.
  • a copper plated substrate with 300 mm diameter was polished and planarized using the following polishing composition within a modified cell on a REFLEXION® system, available from Applied Materials, Inc. of Santa Clara, Calif.
  • a substrate having a copper layer of about 11,500 ⁇ thick on the substrate surface with a step height of about 6,000 ⁇ was placed into the first platen and exposed to a polishing composition of:
  • a polishing article was contacted with the substrate at about 0.2 psi and a bias of about 3 volts was applied during the process.
  • the substrate was polished and examined.
  • the copper layer thickness was reduced to about 1,500 ⁇ .
  • a polishing article was contacted with the substrate at about 0.1 psi at a bias of about 1.5 volts was applied during the process.
  • the substrate was polished and examined.
  • the excess copper layer formerly on the substrate surface was removed to leave behind the barrier layer and the copper trench.
  • a copper plated substrate with 300 mm diameter was polished and planarized using the following polishing composition within a modified cell on a REFLEXION® system, available from Applied Materials, Inc. of Santa Clara, Calif.
  • a substrate having a copper layer of about 11,500 ⁇ thick on the substrate surface with a step height of about 6,000 ⁇ was placed into the first platen and exposed to a polishing composition of:
  • a polishing article was contacted with the substrate at about 0.2 psi and a bias of about 3 volts was applied during the process.
  • the substrate was polished and examined.
  • the copper layer thickness was reduced to about 1,500 ⁇ .
  • a polishing article was contacted with the substrate at about 0.1 psi at a bias of about 1.5 volts was applied during the process.
  • the substrate was polished and examined.
  • the excess copper layer formerly on the substrate surface was removed to leave behind the barrier layer and the copper trench.
  • a copper plated substrate with 300 mm diameter was polished and planarized using the following polishing composition within a modified cell on a REFLEXION® system, available from Applied Materials, Inc. of Santa Clara, Calif.
  • a substrate having a copper layer of about 11,500 ⁇ thick on the substrate surface with a step height of about 6,000 ⁇ was placed into the first platen and exposed to a polishing composition of:
  • a polishing article was contacted with the substrate at about 0.2 psi and a bias of about 3 volts was applied during the process.
  • the substrate was polished and examined.
  • the copper layer thickness was reduced to about 1,500 ⁇ .
  • a polishing article was contacted with the substrate at about 0.1 psi at a bias of about 1.5 volts was applied during the process.
  • the substrate was polished and examined.
  • the excess copper layer formerly on the substrate surface was removed to leave behind the barrier layer and the copper trench.
  • a copper plated substrate with 300 mm diameter was polished and planarized using the following polishing composition within a modified cell on a REFLEXION® system, available from Applied Materials, Inc. of Santa Clara, Calif.
  • a substrate having a copper layer of about 11,500 ⁇ thick on the substrate surface with a step height of about 6,000 ⁇ was placed into the first platen and exposed to a polishing composition of:
  • a polishing article was contacted with the substrate at about 0.2 psi and a bias of about 3 volts was applied during the process.
  • the substrate was polished and examined.
  • the copper layer thickness was reduced to about 1,500 ⁇ .
  • a polishing article was contacted with the substrate at about 0.1 psi at a bias of about 1.5 volts was applied during the process.
  • the substrate was polished and examined.
  • the excess copper layer formerly on the substrate surface was removed to leave behind the barrier layer and the copper trench.
  • a copper plated substrate with 300 mm diameter was polished and planarized using the following polishing composition within a modified cell on a REFLEXION® system, available from Applied Materials, Inc. of Santa Clara, Calif.
  • a substrate having a copper layer of about 11,500 ⁇ thick on the substrate surface with a step height of about 6,000 ⁇ was placed into the first platen and exposed to a polishing composition of:
  • a polishing article was contacted with the substrate at about 0.2 psi and a bias of about 3 volts was applied during the process.
  • the substrate was polished and examined.
  • the copper layer thickness was reduced to about 1,500 ⁇ .
  • a polishing article was contacted with the substrate at about 0.1 psi at a bias of about 1.5 volts was applied during the process.
  • the substrate was polished and examined.
  • the excess copper layer formerly on the substrate surface was removed to leave behind the barrier layer and the copper trench.
  • a copper plated substrate with 300 mm diameter was polished and planarized using the following polishing composition within a modified cell on a REFLEXION® system, available from Applied Materials, Inc. of Santa Clara, Calif.
  • a substrate having a copper layer of about 11,500 ⁇ thick on the substrate surface with a step height of about 6,000 ⁇ was placed into the first platen and exposed to a polishing composition of:
  • a polishing article was contacted with the substrate at about 0.2 psi and a bias of about 3 volts was applied during the process.
  • the substrate was polished and examined.
  • the copper layer thickness was reduced to about 1,500 ⁇ .
  • a polishing article was contacted with the substrate at about 0.1 psi at a bias of about 1.5 volts was applied during the process.
  • the substrate was polished and examined.
  • the excess copper layer formerly on the substrate surface was removed to leave behind the barrier layer and the copper trench.
  • a copper plated substrate with 300 mm diameter was polished and planarized using the following polishing composition within a modified cell on a REFLEXION® system, available from Applied Materials, Inc. of Santa Clara, Calif.
  • a substrate having a copper layer of about 11,500 ⁇ thick on the substrate surface with a step height of about 6,000 ⁇ was placed into the first platen and exposed to a polishing composition of:
  • a polishing article was contacted with the substrate at about 0.2 psi and a bias of about 3 volts was applied during the process.
  • the substrate was polished and examined.
  • the copper layer thickness was reduced to about 1,500 ⁇ .
  • a polishing article was contacted with the substrate at about 0.1 psi at a bias of about 1.5 volts was applied during the process.
  • the substrate was polished and examined.
  • the excess copper layer formerly on the substrate surface was removed to leave behind the barrier layer and the copper trench.

Abstract

A method of processing a substrate having a conductive material layer disposed thereon is provided which includes positioning the substrate in a process apparatus and supplying a first polishing composition between to the substrate. The polishing composition comprises phosphoric acid, at least one chelating agent, a corrosion inhibitor, a salt, an oxidizer, abrasive particulates, at least one pH adjusting agent to provide a pH from about 4 to about 7 and a solvent. The method further includes forming a passivation layer on the conductive material layer, abrading the passivation layer to expose a portion of the conductive material layer, applying a first bias to the substrate, and removing at least about 50% of the conductive material layer. The method further includes separating the substrate from the first polishing composition, exposing the substrate to a second polishing composition and a second bias, and continuing to remove the conductive material layer.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation-in-part of co-pending U.S. patent application Ser. No. 10/608,404, filed Jun. 26, 2003, entitled “Method and Composition for Polishing a Substrate,” and is also a continuation-in-part of co-pending U.S. patent application Ser. No. 10/456,220, filed Jun. 6, 2003, entitled “Method and Composition for Polishing a Substrate,” which are both incorporated by reference herein.[0001]
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0002]
  • Embodiments of the present invention relate to compositions and methods for removing a conductive material from a substrate. [0003]
  • 2. Background of the Related Art [0004]
  • Reliably producing sub-half micron and smaller features is one of the key technologies for the next generation of very large scale integration (VLSI) and ultra large-scale integration (ULSI) of semiconductor devices. However, as the limits of circuit technology are pushed, the shrinking dimensions of interconnects in VLSI and ULSI technology have placed additional demands on processing capabilities. Reliable formation of interconnects is important to VLSI and ULSI success and to the continued effort to increase circuit density and quality of individual substrates and die. [0005]
  • Multilevel interconnects are formed using sequential material deposition and material removal techniques on a substrate surface to form features therein. As layers of materials are sequentially deposited and removed, the uppermost surface of the substrate may become non-planar across its surface and require planarization prior to further processing. Planarization or “polishing” is a process where material is removed from the surface of the substrate to form a generally even, planar surface. Planarization is useful in removing excess deposited material, removing undesired surface topography, and surface defects, such as surface roughness, agglomerated materials, crystal lattice damage, scratches and contaminated layers or materials to provide an even surface for subsequent photolithography and other semiconductor processes. [0006]
  • Chemical mechanical planarization or chemical mechanical polishing (CMP) is a common technique used to planarize substrates. In conventional CMP techniques, a substrate carrier or polishing head is mounted on a carrier assembly and positioned in contact with a polishing article in a CMP apparatus. The carrier assembly provides a controllable pressure to the substrate urging the substrate against the polishing pad. The pad is moved relative to the substrate by an external driving force. Thus, the CMP apparatus effects polishing or rubbing movement between the surface of the substrate and the polishing article while dispersing a polishing composition to effect both chemical activity and mechanical activity. [0007]
  • However, materials deposited on the surface of a substrate to fill feature definitions formed therein often result in unevenly formed surfaces over feature definitions of variable density. Referring to FIG. 1A, a [0008] metal layer 20 is deposited on a substrate 10 to fill wide feature definitions 30, also known as low density feature definitions, or narrow feature definitions 40, also known as and high density feature definitions. Excess material, called overburden, may be formed with a greater thickness 45 over the narrow feature definitions 40 and may have minimal deposition 35 over wide feature definitions 30. Polishing of surfaces with overburden may result in the retention of residues 50 from inadequate metal removal over narrow features. Overpolishing processes to remove such residues 50 may result in excess metal removal over wide feature definitions 30. Excess metal removal can form topographical defects, such as concavities or depressions known as dishing 55, over wide features, as shown in FIG. 1B.
  • Dishing of features and retention of residues on the substrate surface are undesirable since dishing and residues may detrimentally affect subsequent processing of the substrate. For example, dishing results in a non-planar surface that impairs the ability to print high-resolution lines during subsequent photolithographic steps and detrimentally affects subsequent surface topography of the substrate, which affects device formation and yields. Dishing also detrimentally affects the performance of devices by lowering the conductance and increasing the resistance of the devices, causing device variability and device yield loss. Residues may lead to uneven polishing of subsequent materials, such as barrier layer materials (not shown) disposed between the conductive material and the substrate surface. Post CMP profiles generally show higher dishing on wide trenches than on narrow trenches or dense areas. Uneven polishing will also increase defect formation in devices and reduce substrate yields. [0009]
  • Also, substrate polishing processes must be very efficient to increase the throughput production. Often, defects are formed on substrates that are over polished due to an increase in process variables, such as chemical concentrations, electrical potentials and/or pressure of polishing articles. Some of these defects may be minimized by decreasing these variables, but with an increase of time and loss of throughput production. [0010]
  • Therefore, there is a need for compositions and methods for removing conductive material from a substrate that minimizes damage to the substrate during planarization, as well as minimizes time for production. [0011]
  • SUMMARY OF THE INVENTION
  • In one embodiment, a method of processing a substrate having a conductive material layer disposed thereon is provided which includes positioning the substrate in a process apparatus and supplying a first polishing composition to the substrate. The polishing composition comprises phosphoric acid, at least one chelating agent, a corrosion inhibitor, a salt, an oxidizer, abrasive particulates, at least one pH adjusting agent to provide a pH from about 4 to about 7, and a solvent. The method further includes forming a passivation layer on the conductive material layer, abrading the passivation layer to expose a portion of the conductive material layer, applying a first bias to the substrate, and removing at least about 50% of the conductive material layer. The method further includes separating the substrate from the first polishing composition, exposing the substrate to a second polishing composition and a second bias, and continuing to remove the conductive material layer. [0012]
  • In another embodiment, a method of processing a substrate having a conductive material layer disposed thereon is provided which includes positioning the substrate on a process apparatus and exposing the substrate to a first polishing composition comprising phosphoric acid, at least one chelating agent, a corrosion inhibitor, a salt, an oxidizer and abrasive particulates. The method further includes applying a first bias to the substrate, removing at least 50% of the conductive material layer, exposing the substrate to a second polishing composition and a second bias and continuing to remove the conductive material layer. [0013]
  • In another embodiment, a method of removing a conductive material layer is provided which includes providing the substrate to a process apparatus and exposing the substrate to a first polishing composition. The first polishing composition comprises from about 1 wt % to about 10 wt % of phosphoric acid, from about 0.1 wt % to about 6 wt % of at least one chelating agent, from about 0.01 wt % to about 1 wt % of a corrosion inhibitor, from about 0.5 wt % to about 10 wt % of a salt, from about 0.2 wt % to about 5 wt % of an oxidizer, from about 0.05 wt % to about 1 wt % of an abrasive particulates, at least one pH adjusting agent to provide a pH from about 4 to about 7, and a solvent. The method further includes applying a first bias to the substrate, removing at least 50% of the conductive material layer, and exposing the substrate to a second polishing composition and a second bias to continue removing the conductive layer. The second polishing composition comprises about 0.1 wt % to about 5 wt % of phosphoric acid, from about 0.1 wt % to about 5 wt % of at least one chelating agent, and from about 0.01 wt % to about 1 wt % of the corrosion inhibitor. [0014]
  • In another embodiment, a method of processing a substrate having a conductive material layer disposed thereon is provided which includes positioning the substrate in a process apparatus, exposing the substrate to a first polishing composition with a first conductivity in a range from about 30 milliSiemens (mS) to about 60 mS, the first polishing composition comprising an oxidizer and abrasive particulates, and applying a first bias to the substrate. The method further includes exposing the substrate to a second polishing composition with a second conductivity in a range from about 15 mS to about 40 mS, and applying a second bias to the substrate to continue removing the conductive layer. [0015]
  • In another embodiment, a method of processing a substrate having a conductive material layer disposed thereon is provided which includes positioning the substrate in a process apparatus, exposing the substrate to a first polishing composition, and applying a first bias to the substrate. The first polishing composition includes phosphoric acid, at least one chelating agent, a corrosion inhibitor, a salt, an oxidizer, abrasive particulates, at least one pH adjusting agent to provide a pH from about 4 to about 7, and a solvent. The process further includes exposing the substrate to a second polishing composition, applying a second bias to the substrate, and continues removing the conductive layer. The second polishing composition comprises phosphoric acid, at least one chelating agent, a corrosion inhibitor, abrasive particulates, at least one pH adjusting agent to provide a pH from about 4 to about 7, and a solvent.[0016]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited aspects of the present invention are attained and can be understood in detail, a more particular description of embodiments of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. [0017]
  • It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments. [0018]
  • FIGS. 1A-1B are schematic cross-sectional views illustrating a polishing process performed on a substrate according to conventional processes; [0019]
  • FIG. 2 is a plan view of one embodiment of a processing apparatus of the invention; [0020]
  • FIG. 3 is a cross-sectional view of one embodiment of a polishing process station; and [0021]
  • FIGS. 4A-4D are schematic cross-sectional views illustrating a polishing process performed on a substrate according to one embodiment for planarizing a substrate surface described herein.[0022]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • In general, aspects of the inventions provide compositions and methods for removing at least a conductive material from a substrate surface. The inventions are described below in reference to a planarizing process for the removal of conductive materials from a substrate surface by an electrochemical mechanical polishing (ECMP) technique. [0023]
  • The words and phrases used herein should be given their ordinary and customary meaning in the art by one skilled in the art unless otherwise further defined. Chemical mechanical polishing should be broadly construed and includes, but is not limited to, planarizing a substrate surface using chemical activity and mechanical activity, or a concurrent application of chemical activity and mechanical activity. Electropolishing should be broadly construed and includes, but is not limited to, removing material from a substrate by eroding the substrate surface under application of current. Electrochemical mechanical polishing (ECMP) should be broadly construed and includes, but is not limited to, planarizing a substrate by the application of electrochemical activity, mechanical activity, chemical activity, or a concurrent application of a combination of electrochemical, chemical, and/or mechanical activity to remove material from a substrate surface. [0024]
  • Anodic dissolution should be broadly construed and includes, but is not limited to, the application of an anodic bias to a substrate directly or indirectly which results in the removal of conductive material from a substrate surface and into a surrounding polishing composition. Polishing composition should be broadly construed and includes, but is not limited to, a composition that provides ionic conductivity, and thus, electrical conductivity, in a liquid medium, which generally comprises materials known as electrolyte components. The amount of each electrolyte component in polishing compositions can be measured in volume percent or weight percent. Volume percent refers to a percentage based on volume of a desired liquid component divided by the total volume of all of the liquid in the complete composition. A percentage based on weight percent is the weight of the desired component divided by the total weight of all of the liquid components in the complete composition. Abrading and abrasion should be broadly construed and includes, but is not limited to, contacting a material and displacing, disturbing, or removing all or a portion of a material. [0025]
  • The electrochemical mechanical polishing process may be performed in a process apparatus, such as a platform having one or more polishing stations adapted for electrochemical mechanical polishing processes. A platen for performing an electrochemical mechanical polishing process may include a polishing article, a first electrode, and a second electrode, wherein the substrate is in electrical contact with the second electrode. A first electrochemical mechanical polishing process may be performed on a first platen as described herein and the second electrochemical mechanical polishing process may be performed on the same or different platen adapted for electrochemical mechanical polishing, such as the second platen as described herein. [0026]
  • One Apparatus Embodiment [0027]
  • FIG. 2 depicts an [0028] electrochemical processing apparatus 100 having at least two electrochemical mechanical polishing (ECMP) stations 102 and 103. Optionally, as depicted in the embodiment shown in FIG. 2, the system 100 may include at least one conventional polishing station 106, such as a chemical mechanical polishing (CMP) station, disposed adjacent the ECMP station 103 on a single platform or tool. In one embodiment, polishing station 106 is a third ECMP station. One polishing tool that may be adapted to benefit from the invention is a REFLEXION® chemical mechanical polisher available from Applied Materials, Inc. located in Santa Clara, Calif. Examples of other polishing tools that may be adapted to benefit from the invention are MIRRA® and MIRRA MESA™ chemical mechanical polishers also available from Applied Materials, Inc.
  • The [0029] exemplary apparatus 100 generally includes a base 108 that supports the ECMP stations 102 and 103, the polishing station 106, a transfer station 110 and a carousel 112. A loading robot 116 generally facilitates transfer of substrates 114 to and from the transfer station 110 of the apparatus 100 and a factory interface 120. The factory interface 120 may include a cleaning module 122, a metrology device 104 and one or more substrate storage cassettes 118. One example of a metrology device 104 that may be utilized in the factory interface 120 is a NovaScan™ Integrated Thickness Monitoring system, available from Nova Measuring Instruments, Inc., located in Phoenix, Ariz.
  • In one embodiment, the [0030] transfer station 110 includes an input buffer station 124, an output buffer station 126, a transfer robot 132, and a load cup assembly 128. The input buffer station 124 accepts substrates from the factory interface 120 by the loading robot 116. The loading robot 116 is also utilized to return polished substrates from the output buffer station 126 to the factory interface 120. The transfer robot 132 is utilized to move substrates between the buffer stations 124, 126 and the load cup assembly 128.
  • In one embodiment, the [0031] transfer robot 128 includes two gripper assemblies, each having pneumatic gripper fingers that hold the substrate 114 by the substrate's edge. The transfer robot 132 may simultaneously transfer a substrate to be processed from the input buffer station 124 to the load cup assembly 128 while transferring a processed substrate from the load cup assembly 128 to the output buffer station 126.
  • The [0032] carousel 112 has a plurality of arms 138, each respectively supporting one of a plurality of polishing heads 130. Each polishing head 130 retains one substrate 114 during processing. Substrates are loaded and unloaded from the polishing heads 130 by the load cup assembly 128. One of the arms 138 depicted in FIG. 2 is not shown so that the transfer station 110 may be seen. The carousel 112 moves the polishing heads 130 between the load cup assembly 128 of the transfer station 110, the ECMP stations 102 and 103 and the polishing stations 106. One carousel 112 that may be adapted to benefit from the invention is generally described in U.S. Pat. No. 5,804,507, which is hereby incorporated by reference in its entirety. It is contemplated that other transfer mechanisms may be utilized to move substrates between the stations 102, 103, 104 and the transfer station 110.
  • The polishing [0033] head 130 retains the substrate 114 against the ECMP stations 102 and 103 or polishing station 106 during processing. Examples of embodiments of polishing heads 130 that may be adapted to benefit from the invention are described in U.S. Pat. No. 6,183,354. Other polishing heads that may be adapted benefit from the invention include TITAN HEAD™ and TITAN PROFILER™ wafer carriers, available from Applied Materials, Inc. The arrangement of the ECMP stations 102 and 103 and polishing station 106 on the apparatus 100 allows for the substrate 114 to be sequentially polished by moving the substrate between stations while being retained in the same polishing head 130. Alternatively, substrates may be polished in other sequences.
  • To facilitate control of the [0034] polishing apparatus 100 and processes performed thereon, a controller 140 comprising a central processing unit (CPU) 142, memory 144, and support circuits 146 is connected to the polishing apparatus 100. The CPU 142 may be one of any form of computer processor that can be used in an industrial setting for controlling various drives and pressures. The memory 144 is connected to the CPU 142. The memory 144, or computer-readable medium, may be one or more of readily available memories such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote. The support circuits 146 are connected to the CPU 142 for supporting the processor in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like.
  • FIG. 3 depicts one embodiment of the [0035] ECMP station 102 and/or ECMP station 103 as a cross-sectional view of one embodiment of a “face-down” process cell 200. The process cell 200 generally includes a basin 204 and a polishing head 202. A substrate 208 is retained in the polishing head 202 and lowered into the basin 204 during processing in a face down (e.g., backside up) orientation. An electrolyte, such as described herein, flows into the basin 204 and is in contact with the substrate's surface and a polishing article assembly 222, while the polishing head 202 places the substrate 208 in contact with the polishing article assembly 222. The basin 204 includes the polishing article assembly 222, a bottom 244 and sidewalls 246 that define a container that houses the polishing article assembly 222. The sidewalls 246 include a port 218 formed therethrough to allow removal of polishing composition from the basin 204. The port 218 is coupled to a valve 220 to selectively drain or retain the polishing composition in the basin 204.
  • The [0036] substrate 208 and the polishing article assembly 222 disposed in the basin 204 are moved relative to each other to provide a polishing motion (or motion that enhances polishing uniformity). The polishing motion generally comprises at least one motion defined by an orbital, rotary, linear or curvilinear motion, or combinations thereof, among other motions. The polishing motion may be achieved by moving either or both of the polishing head 202 and/or the basin 204. The polishing head 202 may be stationary or driven to provide at least a portion of the relative motion between the basin 204 and the substrate 208 held by the polishing head 202. In the embodiment depicted in FIG. 3, the polishing head 202 is coupled to a drive system 210. The drive system 210 can generally move the polishing head 202 with at least a rotary, orbital, sweep motion, or combinations thereof.
  • The polishing [0037] head 202 generally retains the substrate 208 during processing. In one embodiment, the polishing head 202 includes a housing 214 enclosing a bladder 216. The bladder 216 may be deflated when contacting the substrate to create a vacuum therebetween, thus securing the substrate to the polishing head 202 to allow placement and removal of the substrate. The bladder 216 may additionally be inflated and pressurized to bias and assure contact between the substrate and the polishing article assembly 222 retained in the basin 204. A retaining ring 238 is coupled to the housing 214 and circumscribes the substrate 208 to prevent the substrate from slipping out from the polishing head 202 while processing. One polishing head that may be adapted to benefit from the invention is a TITAN HEAD™ carrier head available from Applied Materials, Inc., located in Santa Clara, Calif. Another example of a polishing head that may be adapted to benefit from the invention is described in U.S. Pat. No. 6,159,079, issued Dec. 12, 2001, which is hereby incorporated herein by reference in its entirety.
  • The [0038] basin 204 is generally fabricated from a plastic such as fluoropolymers, polytetrafluoroethylene (PTFE) polymers, such as TEFLON®, perfluoroalkoxy resin (PFA), polyethylene-based plastics (PE), sulfonated polyphenylether sulfones (PES), or other materials that are compatible or non-reactive with the polishing composition or other chemicals used in the processing cell 200. The basin 204 is rotationally supported above a base 206 by bearings 234. A drive system 236 is coupled to the basin 204 and rotates the basin 204 during processing. A catch basin 228 is disposed on the base 206 and circumscribes the basin 204 to collect processing fluids, such as a polishing composition, that flow out of port 218 disposed through the basin 204 during and/or after processing. An outlet drain 219 and outlet valve 219A are incorporated in the invention to allow the polishing composition in the catch basin to be sent to a reclaim system (not shown) or a waste drain (not shown).
  • In one embodiment the [0039] basin 204 is rotated at a velocity from about 3 rpm (rotations per minute) to about 100 rpm, and the polishing head 202 is rotated at a velocity from about 5 rpm to about 200 rpm and also moved linearly at a velocity from about 5 cm/s (centimeters per second) to about 25 cm/s in a direction radial to the basin 204. The preferred ranges for a 200 mm diameter substrate are a basin 204 rotational velocity from about 5 rpm to about 40 rpm and a polishing head 202 rotational velocity from about 7 rpm to about 100 rpm and a linear (e.g., radial) velocity of about 10 cm/s. The preferred ranges for a 300 mm diameter substrate are a basin 204 rotational velocity from about 5 rpm to about 20 rpm and a polishing head 202 rotational velocity from about 7 rpm to about 50 rpm and a linear (e.g., radial) velocity of about 10 cm/s. In one embodiment of the present invention the basin 204 has a diameter between about 17 inches (43.2 cm) and about 30 inches (76.2 cm). The polishing head 202 may move along the radius of the basin 204 for a distance between about 0.1 inches (2.5 mm) and about 2 inches (5.1 cm).
  • A polishing [0040] composition delivery system 232 is generally disposed adjacent the basin 204. The polishing composition delivery system 232 includes a nozzle or outlet 230 coupled to a polishing composition source 242. The outlet 230 delivers polishing composition or other processing fluids from the polishing composition source 242 into the basin 204. Alternatively, the polishing composition delivery system may provide polishing composition through an inlet (not shown) in the bottom 244 of the process cell, thus allowing polishing composition to flow through the polishing article assembly 222 to contact the conductive polishing article 203 and substrate 208. The polishing composition source 242 schematically shown here generally includes a source of all of the chemicals required to supply and support the polishing composition during processing. It is further contemplated in one embodiment of the current design to continually recirculate the polishing composition through the polishing article assembly 222 and across the surface of the substrate 208. In one embodiment the flow rate of polishing composition flowing through the process cell 200 is from about 0.1 L/min (liters per minute) to about 2 L/min.
  • Optionally, and shown in FIG. 3, a [0041] conditioning device 250 may be provided proximate the basin 204 to periodically condition or regenerate the polishing article assembly 222. Typically, the conditioning device 250 includes an arm 252 coupled to a stanchion 254 that is adapted to position and sweep a conditioning element 258 across polishing article assembly 222. The conditioning element 258 is coupled to the arm 252 by a shaft 256 to allow clearance between the arm 252 and sidewalls 246 of the basin 204 while the conditioning element 258 is in contact the polishing article assembly 222. The conditioning element 258 is typically a diamond or silicon carbide disk, which may be patterned to enhance working the surface of the polishing article assembly 222 into a predetermined surface condition/state that enhances process uniformity. Alternatively, the conditioning element 258 can be made of a NYLON™ brush or similar conditioner for in-situ conditioning the conductive polishing article 203. One conditioning element 258 that may be adapted to benefit from the invention is described in U.S. patent application Ser. No. 09/676,280, filed Sep. 28, 2000 by Li et al., which is incorporated herein by reference to the extent not inconsistent with the claims aspects and description herein.
  • A [0042] power source 224 is coupled to the polishing article assembly 222 by electrical leads 223A, 223B. The power source 224 applies an electrical bias to the polishing article assembly 222 to drive an electrochemical process described below. The leads 223A, 223B are routed through a slip ring 226 disposed below the basin 204. The slip ring 226 facilitates continuous electrical connection between the power source 224 and electrodes (209 and 203) in the polishing article assembly 222 as the basin 204 rotates. The leads 223A, 223B may be wires, tapes or other conductors compatible with process fluids or having a covering or coating that protects the leads from the process fluids. Examples of materials that may be utilized in the leads 223A, 223B include copper, graphite, titanium, platinum, gold, and HASTELOY® among other materials which can have an insulating coating on its exterior surface. Coatings disposed around the leads may include polymers such as fluorocarbons, PVC, polyamide and the like. The slip ring 226 can be purchased from manufacturers such as IDM Electronics LTD, Reading Berkshire, England, a division of Kaydon Corporation, Ann Arbor, Mich.
  • The polishing [0043] article assembly 222 generally includes a conductive polishing article 203 coupled to a backing 207 and an electrode 209. The backing 207 may also be coupled to an electrode 209. The conductive polishing article 203 and the backing 207 have a plurality of holes or pores formed therein to allow the polish composition to make contact with, and thus provide a conductive path between the substrate 208 and the electrode 209. A dielectric insert (not shown) may be disposed between the conductive polishing article 203 and the backing 207 or between the backing 207 and the electrode 209 to regulate the electrolyte flow through all or a portion of the conductive polishing article 203, by use of a plurality of holes or pores formed therein. The conductive polishing article 203 is used to apply a uniform bias to the substrate surface by use of a conductive surface that makes contact with the surface of the substrate. The use of a conductive polishing article is generally preferred over the use of a conventional substrate contacting means such as discrete or point contacts, but should not be considered limiting to the scope of the present invention. During the anodic dissolution process the electrode 209 is generally biased as a cathode and the conductive polishing article 203 and substrate are biased as an anode through use of the power supply 224.
  • Examples of the [0044] conductive polishing article 203 are more fully disclosed in U.S. patent Publication No. 20020119286, filed on Dec. 27, 2001, and U.S. patent application Ser. No. 10/211,626, filed on Aug. 2, 2002, which are incorporated by reference herein to the extent not inconsistent with the claimed aspects and disclosure herein. Examples of an embodiment of the conductive polishing article 203 utilizing conventional polishing material (non-conductive) with discrete conductive contacts are more fully disclosed in the U.S. patent application Ser. No. 10/211,626, filed on Aug. 2, 2003, which is incorporated by reference herein to the extent not inconsistent with the claimed aspects and disclosure herein.
  • As the polishing [0045] article assembly 222 includes elements comprising both an anode and cathode of an electrochemical cell, both the anode and a cathode may be replaced simultaneously by simply removing a used polishing article assembly 222 from the basin 204 and inserting a new polishing article assembly 222 with fresh electrical and supporting components into the basin 204. The face-down polishing apparatus is more fully disclosed in U.S. patent Publication No. 20030213703, filed May 16, 2002, commonly assigned to Applied Materials Inc., of which paragraphs 27-82 are incorporated herein by reference to the extent not inconsistent with the claims aspects and description herein.
  • Typically, the [0046] conductive polishing article 203, the backing 207, optionally, the dielectric insert, and the electrode 209 are secured together to form a unitary body that facilitates removal and replacement of the polishing article assembly 222 from the basin 204. The conductive polishing article 203, the backing 207, optionally the dielectric insert, and/or the electrode 209 may be coupled by use of methods such as adhesive bonding, thermal bonding, sewing, binding, heat staking, riveting, by use of fasteners and clamping, among others.
  • The [0047] process cell 200 may be disposed on a polishing platform with one or more chemical mechanical polishing platens suitable for conductive material and/or barrier material removal. Such chemical mechanical polishing platens may contain fixed-abrasive or non-abrasive polishing articles and may use abrasive containing or abrasive-free polishing composition. Additionally the polishing articles for the polishing platens may be hard polishing articles, having a durometer or hardness of 50 or greater on a shore D Scale or soft polishing articles having a durometer or hardness of less than 50, typically 40 or less, on a shore D Scale.
  • For example, the polishing platform may be of a three platen variety, such as the MIRRA® polishing system, the MIRRA MESA™ polishing system, and the REFLEXION® polishing system, that are commercially available from Applied Materials, Inc., of Santa Clara, Calif., with the [0048] process cell 200 disposed at a first platen position, a conventional chemical mechanical polishing platen with a hard or soft polishing pad on a second platen position, and a barrier removal platen on the third platen position. In another example, a first process cell 200 disposed at a first platen position, for example, ECMP station 102, for a first electrochemical mechanical polishing process, a second process cell 200 disposed at a second platen position, for example, ECMP station 103, for a second electrochemical mechanical polishing process, and a conventional chemical mechanical polishing platen with a hard or soft polishing pad, such as polishing station 106, on a third platen position. However, any system enabling electrochemical mechanical polishing with or without the presence of chemical mechanical polishing ability may be used to advantage.
  • Polishing Processes [0049]
  • Methods are provided for polishing a substrate to remove residues and minimize dishing within features, while increasing throughput with a decrease in polishing time. The methods may be performed by an electrochemical polishing technique. In one aspect, the method may include processing a substrate having a conductive material layer disposed over features, supplying a first polishing composition to the surface of the substrate, applying a pressure between the substrate and a polishing article, providing relative motion between the substrate and the polishing article, applying a bias between a first electrode and a second electrode in electrical contact with the substrate, removing at least about 50% of the conductive material, supplying a second polishing composition, applying a second bias, and continuing to remove the conductive material. [0050]
  • One embodiment of the process will now be described in reference to FIGS. 4A-4D, which are schematic cross-sectional views of a substrate being processed according to methods and compositions described herein. Referring to FIG. 4A, a substrate generally includes a [0051] dielectric layer 310 formed on a substrate 300. A plurality of apertures, such as vias, trenches, contacts, or holes, are patterned and etched into the dielectric layer 310, such as a dense array of narrow feature definitions 320 and low density of wide feature definitions 330. The apertures may be formed in the dielectric layer 310 by conventional photolithographic and etching techniques.
  • FIG. 4A depicts a [0052] substrate 300 and a conductive layer 370 before ECMP processes have been applied. FIG. 4B illustrates the substrate after at least about 50% of the conductive layer 370 has been removed by applying a first ECMP process. The remaining conductive layer 370 disposed upon a barrier layer 340 is removed by applying a second ECMP process, as illustrated in FIG. 4C. Furthermore, as illustrated in FIG. 4D, the remaining barrier layer 340 on the dielectric layer 310 may be removed by a third process, such as a CMP process or a third ECMP process.
  • The terms narrow and wide feature definitions may vary depending on the structures formed on the substrate surface, but can generally be characterized by the respective deposition profiles of excessive material deposition (or high overburden) formed over narrow feature definitions and minimal or low material deposition (minimal or low overburden), over wide feature definitions. For example narrow feature definitions may be about 0.13 μm in size and may have a high overburden as compared to wide feature definitions that may be about 10 μm in size and that may have minimal or insufficient overburden. However, high overburdens and low overburdens do not necessarily have to form over features, but may form over areas on the substrate surface between features. [0053]
  • The [0054] dielectric layer 310 may comprise one or more dielectric materials conventionally employed in the manufacture of semiconductor devices. For example, dielectric materials may include materials such as silicon dioxide, phosphorus-doped silicon glass (PSG), boron-phosphorus-doped silicon glass (BPSG), and silicon dioxide derived from tetraethyl orthosilicate (TEOS) or silane by plasma enhanced chemical vapor deposition (PECVD). The dielectric layer may also comprise low dielectric constant materials, including fluoro-silicon glass (FSG), polymers, such as polyamides, carbon-containing silicon oxides, such as BLACK DIAMOND™ dielectric material, silicon carbide materials, which may be doped with nitrogen and/or oxygen, including BLOK™ dielectric materials, available from Applied Materials, Inc. of Santa Clara, Calif.
  • A [0055] barrier layer 340 is disposed conformally in the feature definitions 320 and 330 and on the substrate 300. The barrier layer 340 may comprise metals or metal nitrides, such as tantalum, tantalum nitride, tantalum silicon nitride, titanium, titanium nitride, titanium silicon nitride, tungsten, tungsten nitride and combinations thereof, or any other material that may limit diffusion of materials between the substrate and/or dielectric materials and any subsequently deposited conductive materials.
  • A [0056] conductive material layer 360 is disposed on the barrier layer 340. The term “conductive material layer” as used herein is defined as any conductive material, such as copper, tungsten, aluminum, and/or their alloys used to fill a feature to form lines, contacts or vias. While not shown, a seed layer of a conductive material may be deposited on the barrier layer prior to the deposition of the conductive material layer 360 to improve interlayer adhesion and improve subsequent deposition processes. The seed layer may be of the same material as the subsequent material to be deposited.
  • One type of [0057] conductive material layer 360 comprises copper containing materials. Copper containing materials include copper, copper alloys (e.g., copper-based alloys containing at least about 80 weight percent copper) or doped copper. As used throughout this disclosure, the phrase “copper containing material,” the word “copper,” and the symbol “Cu” are intended to encompass copper, copper alloys, doped copper, and combinations thereof. Additionally, the conductive material may comprise any conductive material used in semiconductor manufacturing processing.
  • In one embodiment, the deposited [0058] conductive material layer 360 has a deposition profile of excessive material deposition or high overburden 370 formed over narrow feature definitions 320 and minimal overburden 380 over wide feature definitions 330. In another embodiment, high overburdens and minimal overburdens are arbitrarily formed across the substrate surface between features.
  • The substrate may then be positioned in a polishing apparatus, such as the apparatus described herein and shown in FIG. 3 and exposed to a polishing composition that can form a [0059] passivation layer 390 on the conductive material layer.
  • Polishing Compositions [0060]
  • Suitable polishing compositions that may be used with the processes described herein to planarize metals, such as copper, may comprise an acid based electrolyte system, one or more chelating agents, one or more corrosion inhibitors, one or more inorganic or organic acid salts, one or more pH adjusting agents to produce a pH between about 2 and about 10, at least one oxidizer, and abrasive particulates. [0061]
  • Although the polishing compositions are particularly useful for removing copper, it is believed that the polishing compositions also may be used for the removal of other conductive materials, such as aluminum, platinum, tungsten, titanium, titanium nitride, tantalum, tantalum nitride, cobalt, gold, silver, ruthenium and combinations thereof. Mechanical abrasion, such as from contact with the [0062] conductive polishing article 203 may be used with the polishing composition to improve planarity and improve removal rate of these conductive materials.
  • The polishing composition includes an acid based electrolyte system for providing electrical conductivity. Suitable acid based electrolyte systems include, for example, phosphoric acid based electrolytes, sulfuric acid, nitric acid, perchloric acid, acetic acid, citric acid, salts thereof and combinations thereof. Suitable acid based electrolyte systems include an acid electrolyte, such as phosphoric acid, boric acid and/or citric acid, as well as acid electrolyte derivatives, including ammonium, potassium, sodium, calcium and copper salts thereof. The acid based electrolyte system may also buffer the composition to maintain a desired pH level for processing a substrate. [0063]
  • Examples of suitable acid based electrolytes include compounds having a phosphate group (PO[0064] 4 3−), such as, phosphoric acid, copper phosphate, potassium phosphates (KXH(3−X)PO4) (x=1, 2 or 3), such as potassium dihydrogen phosphate (KH2PO4), dipotassium hydrogen phosphate (K2HPO4), ammonium phosphates ((NH4)XH(3−X)PO 4) (x=1, 2 or 3), such as ammonium dihydrogen phosphate ((NH4)H2PO4), diammonium hydrogen phosphate ((NH4)2HPO4), compounds having a nitrite group (NO3 1−), such as, nitric acid or copper nitrate, compounds having a boric group (BO3 3−), such as, orthoboric acid (H3BO3) and compounds having a sulfate group (SO4 2−), such as sulfuric acid (H2SO4), ammonium hydrogen sulfate ((NH4)HSO4), ammonium sulfate, potassium sulfate, copper sulfate, derivatives thereof and combinations thereof. The invention also contemplates that conventional electrolytes known and unknown may also be used in forming the composition described herein using the processes described herein.
  • The acid based electrolyte system may contains an acidic component that can take up about 1 to about 30 percent by weight (wt %) or volume (vol %) of the total composition of solution to provide suitable conductivity for practicing the processes described herein. Examples of acidic components include dihydrogen phosphate and/or diammonium hydrogen phosphate and may be present in the polishing composition in amounts from about 15 wt % to about 25 wt %. Alternately, phosphoric acid may be present in concentrations up to 30 wt %, for example, between about 2 wt % and about 6 wt %. [0065]
  • One aspect or component of the present invention is the use of one or more chelating agents to complex with the surface of the substrate to enhance the electrochemical dissolution process. In any of the embodiments described herein, the chelating agents can bind to a conductive material, such as copper ions, increase the removal rate of metal materials and/or improve dissolution uniformity across the substrate surface. The metal materials for removal, such as copper, may be in any oxidation state, such as 0, 1, or 2, before, during or after ligating with a functional group. The functional groups can bind the metal materials created on the substrate surface during processing and remove the metal materials from the substrate surface. The chelating agents may also be used to buffer the polishing composition to maintain a desired pH level for processing a substrate. The chelating agents may also form or enhance the formation of a passivation layer on the substrate surface. [0066]
  • The one or more chelating agents can include compounds having one or more functional groups selected from the group of amine groups, amide groups, carboxylate groups, dicarboxylate groups, tricarboxylate groups, hydroxyl groups, a mixture of hydroxyl and carboxylate groups, and combinations thereof. The one or more chelating agents may also include salts of the chelating agents described herein. The polishing composition may include one or more chelating agents at a concentration between about 0.1% and about 15% by volume or weight, but preferably utilized between about 0.1% and about 4% by volume or weight. For example, about 2% by volume of ethylenediamine may be used as a chelating agent. [0067]
  • Examples of suitable chelating agents having one or more carboxylate groups include citric acid, tartaric acid, succinic acid, oxalic acid, amino acids, salts thereof, and combinations thereof. For example, chelating agents may include ammonium citrate, potassium citrate, ammonium succinate, potassium succinate, ammonium oxalate, potassium oxalate, potassium tartrate, and combinations thereof. The salts may have multi-basic states, for example, citrates have mono-, di- and tri-basic states. Other suitable acids having one or more carboxylate groups include acetic acid, adipic acid, butyric acid, capric acid, caproic acid, caprylic acid, glutaric acid, glycolic acid, formaic acid, fumaric acid, lactic acid, lauric acid, malic acid, maleic acid, malonic acid, myristic acid, plamitic acid, phthalic acid, propionic acid, pyruvic acid, stearic acid, valeric acid, derivatives thereof, salts thereof and combinations thereof. Further examples of suitable chelating agents include compounds having one or more amine and amide functional groups, such as ethylenediamine (EDA), diethylenetriamine, diethylenetriamine derivatives, hexadiamine, amino acids, glycine, ethylenediaminetetraacetic acid (EDTA), methylformamide, derivatives thereof, salts thereof and combinations thereof. For example, EDTA includes the acid as well as a variety of salts, such as sodium, potassium and calcium (e.g., Na[0068] 2EDTA, Na4EDTA, K4EDTA or Ca2EDTA).
  • In any of the embodiments described herein, the inorganic or organic acid salts may be used to perform as a chelating agent. The polishing composition may include one or more inorganic or organic salts at a concentration between about 0.1% and about 15% by volume or weight of the composition, for example, between about 0.1% and about 8% by volume or weight. For example, about 2% by weight of ammonium citrate may be used in the polishing composition. [0069]
  • Examples of suitable inorganic or organic acid salts include ammonium and potassium salts or organic acids, such as ammonium oxalate, ammonium citrate, ammonium succinate, monobasic potassium citrate, dibasic potassium citrate, tribasic potassium citrate, potassium tartarate, ammonium tartarate, potassium succinate, potassium oxalate, and combinations thereof. Additionally, ammonium and potassium salts of the carboxylate acids may also be used. [0070]
  • In any of the embodiments described herein, the corrosion inhibitors can be added to reduce the oxidation or corrosion of metal surfaces by forming a passivation layer that minimizes the chemical interaction between the substrate surface and the surrounding electrolyte. The layer of material formed by the corrosion inhibitors thus tends to suppress or minimize the electrochemical current from the substrate surface to limit electrochemical deposition and/or dissolution. The polishing composition may include between about 0.001% and about 5.0% by weight of the organic compound from one or more azole groups. The commonly preferred range being between about 0.2% and about 0.4% by weight. [0071]
  • Examples of organic compounds having azole groups include benzotriazole (BTA), mercaptobenzotriazole, 5-methyl-1-benzotriazole (TTA), and combinations thereof. Other suitable corrosion inhibitors include film forming agents that are cyclic compounds, for example, imidazole, benzimidazole, triazole, and combinations thereof. Derivatives of benzotriazole, imidazole, benzimidazole, triazole, with hydroxy, amino, imino, carboxy, mercapto, nitro and alkyl substituted groups may also be used as corrosion inhibitors. Other corrosion inhibitor includes urea and thiourea among others. [0072]
  • Alternatively, polymeric inhibitors, for non-limiting examples, polyalkylaryl ether phosphate or ammonium nonylphenol ethoxylate sulfate, may be used in replacement or conjunction with azole containing corrosion inhibitors in an amount between about 0.002% and about 1.0% by volume or weight of the composition. [0073]
  • One or more pH adjusting agents is preferably added to the polishing composition to achieve a pH between about 2 and about 10, and preferably between a pH of about 3 and about 7. The amount of pH adjusting agent can vary as the concentration of the other components is varied in different formulations, but in general the total solution may include up to about 70 wt % of the one or more pH adjusting agents, but preferably between about 0.2% and about 25% by volume. Different compounds may provide different pH levels for a given concentration, for example, the composition may include between about 0.1% and about 10% by volume of a base, such as potassium hydroxide, ammonium hydroxide, sodium hydroxide or combinations thereof, providing the desired pH level. [0074]
  • The one or more pH adjusting agents can be chosen from a class of organic acids, for example, carboxylic acids, such as acetic acid, citric acid, oxalic acid, phosphate-containing components including phosphoric acid, ammonium phosphates, potassium phosphates, and combinations thereof, or a combination thereof. Inorganic acids including phosphoric acid, sulfuric acid, hydrochloric, nitric acid, derivatives thereof and combinations thereof, may also be used as a pH adjusting agent in the polishing composition. [0075]
  • The balance or remainder of the polishing compositions described herein is a solvent, such as a polar solvent, including water, preferably deionized water. Other solvent may be used solely or in combination with water, such as organic solvents. Organic solvents include alcohols, such as isopropyl alcohol or glycols, ethers, such as diethyl ether, furans, such as tetrahydrofuran, hydrocarbons, such as pentane or heptane, aromatic hydrocarbons, such as benzene or toluene, halogenated solvents, such as methylene chloride or carbon tetrachloride, derivatives, thereof and combinations thereof. [0076]
  • The polishing composition may include one or more surface finish enhancing and/or removal rate enhancing materials including abrasive particles, one or more oxidizers, and combinations thereof. [0077]
  • Abrasive particles may be used to improve the surface finish and removal rate of conductive materials from the substrate surface during polishing. The addition of abrasive particles to the polishing composition can allow the final polished surface to achieve a surface roughness of that comparable with a conventional CMP process even at low pad pressures. Surface finish, or surface roughness, has been shown to have an effect on device yield and post polishing surface defects. Abrasive particles may comprise up to about 30 wt % of the polishing composition during processing. A concentration between about 0.001 wt % and about 5 wt % of abrasive particles may be used in the polishing composition. [0078]
  • Suitable abrasives particles include inorganic abrasives, polymeric abrasives, and combinations thereof. Inorganic abrasive particles that may be used in the electrolyte include, but are not limited to, silica, alumina, zirconium oxide, titanium oxide, cerium oxide, germania, or any other abrasives of metal oxides, known or unknown. For example, colloidal silica may be positively activated, such as with an alumina modification or a silica/alumina composite. The typical abrasive particle size used in one embodiment of the current invention is generally from about 1 nm to about 1,000 nm, preferably from about 10 nm to about 100 nm. Generally, suitable inorganic abrasives have a Mohs hardness of greater than 6, although the invention contemplates the use of abrasives having a lower Mohs hardness value. [0079]
  • The polymer abrasives described herein may also be referred to as “organic polymer particle abrasives”, “organic abrasives” or “organic particles.” The polymeric abrasives may comprise abrasive polymeric materials. Examples of polymeric abrasives materials include polymethylmethacrylate, polymethyl acrylate, polystyrene, polymethacrylonitrile, and combinations thereof. [0080]
  • The polymeric abrasives may have a Hardness Shore D of between about 60 and about 80, but can be modified to have greater or lesser hardness value. The softer polymeric abrasive particles can help reduce friction between a polishing article and substrate and may result in a reduction in the number and the severity of scratches and other surface defects as compared to inorganic particles. A harder polymeric abrasive particle may provide improved polishing performance, removal rate and surface finish as compared to softer materials. [0081]
  • The hardness of the polymer abrasives can be varied by controlling the extent of polymeric cross-linking in the abrasives, for example, a higher degree of cross-linking produces a greater hardness of polymer and, thus, abrasive. The polymeric abrasives are typically formed as spherical shaped beads having an average diameter between about 0.1 micron to about 20 microns or less. [0082]
  • The polymeric abrasives may be modified to have functional groups, e.g., one or more functional groups, that have an affinity for, i.e., can bind to, the conductive material or conductive material ions at the surface of the substrate, thereby facilitating the ECMP removal of material from the surface of a substrate. For example, if copper is to be removed in the polishing process, the organic polymer particles can be modified to have an amine group, a carboxylate group, a pyridine group, a hydroxide group, ligands with a high affinity for copper, or combinations thereof, to bind the removed copper as substitutes for or in addition to the chemically active agents in the polishing composition, such as the chelating agents or corrosion inhibitors. The substrate surface material, such as copper, may be in any oxidation state, such as 0, 1+, or 2+, before, during or after ligating with a functional group. The functional groups can bind to the metal material(s) on the substrate surface to help improve the uniformity and surface finish of the substrate surface. [0083]
  • Additionally, the polymeric abrasives have desirable chemical properties, for example, the polymer abrasives are stable over a broad pH range and are not prone to aggregating to each other, which allow the polymeric abrasives to be used with reduced or no surfactant or no dispersing agent in the composition. [0084]
  • Alternatively, inorganic particles coated with the polymeric materials described herein may also be used with the polishing composition. It is within the scope of the current invention for the polishing composition to contain polymeric abrasives, inorganic abrasives, the polymeric coated inorganic abrasives, and any combination thereof depending on the desired polishing performance and results. [0085]
  • One or more oxidizers may be used herein to enhance the removal or removal rate of the conductive material from the substrate surface. An oxidizing agent is generally an agent that reacts with a material by accepting an electron(s). In the current embodiment the oxidizer is used to react with the surface of the substrate that is to be polished, which then aids in the removal of the desired material. For example, an oxidizer may be used to oxidize a metal layer to a corresponding oxide or hydroxide, for example, copper to copper oxide. Existing copper that has been oxidized, including Cu[0086] 1+ ions, may further be oxidized to a higher oxidation state, such as Cu2+ ions, which may then promote the reaction with one or more of the chelating agents. Also, in some instances the oxidizing agent can be used in some chemistries (e.g., low pH) that can enhance the chemical etching of the surface of the substrate to further increase the removal rate from the anode surface. In cases where no bias is applied to the surface of the substrate the inhibitors and chelating agents will complex with the metal ions on the surface that become dislodged from the surface due to the relative motion and pressure applied by the conductive pad 203. The addition of abrasives can further improve the removal rate of the complexed metal ions due to the abrasive particles ability to increase that contact area between the conductive pad 203 and the substrate surface.
  • In the case of ECMP, the conductive layer on the substrate surface is biased anodically above a threshold potential, by use of the [0087] power source 224 and the electrode 209, thus causing the metal on the substrate surface to “oxidize” (i.e., a metal atom gives up one or more electrons to the power source 224). The ionized or “oxidized” metal atoms thus dissolve into the electrolyte solution with the help of components in the electrolyte. In the case where copper is the desired material to be removed, it can be oxidized to a Cu1+ or a Cu2+ oxidation state. Due to the presence of the inhibitors and/or chelating agents found in the polishing composition, the electrochemical dissolution process of the metal ions into the electrolyte is more limited than a polishing composition which does not contain these components. The presence of the inhibitors and/or chelating agents also appears to have an effect on the attachment strength of the metal ion(s) and inhibitor and/or chelating agent complex to the surface of the substrate. It has been found that in one embodiment that the removal rate in an ECMP process can be increased by the addition of an oxidizing agent. It is thought that the oxidizing agent tends to further oxidize the metal ions formed due to the anodic bias, which in the case of copper brings it to the more stable Cu2+ oxidation state. The inhibitors and/or chelating agents found in the polishing composition complex with the oxidized metal ions which tend to have a lower attachment, or bond, strength due to the way the inhibitor bonds to the oxidized metal ion and metal surface. The lower attachment strength allows the complexed metal ion to be more easily and efficiently removed due to the interaction of the substrate surface and the conductive pad 203. The addition of abrasives to the ECMP polishing composition can further improve the removal rate of the complexed metal ions due to the abrasive particles' ability to increase contact area between the conductive pad 203 and the substrate surface.
  • The polishing composition may include one or more additive compounds. Additive compounds include electrolyte additives including, but not limited to, suppressors, enhancers, levelers, brighteners, stabilizers, and stripping agents to improve the effectiveness of the polishing composition in polishing of the substrate surface. For example, certain additives may decrease the ionization rate of the metal atoms, thereby inhibiting the dissolution process, whereas other additives may provide a finished, shiny substrate surface. The additives may be present in the polishing composition in concentrations up to about 15% by weight or volume, and may vary based upon the desired result after polishing. [0088]
  • Further, controlling the amounts and types of constituents of the polishing composition, such as corrosion inhibitors and oxidizers, can result in tuning the desired removal rate of the process. For example reduced amounts of corrosion inhibitor will result in an increase in the material removal rate as compared to compositions having higher corrosion inhibitor concentrations. In cases where the polishing composition does not contain corrosion inhibitors the ECMP material removal rate is greatly increased over a polishing composition which contains a corrosion inhibitor due to the formation of the metal ions and inhibitor complex which tends to shield the surface of the substrate to the electrolyte. Likewise reduced amounts of oxidizers will generally result in lower removal rates compared to compositions having higher oxidizer compositions. It has been suggested that at low concentrations of the oxidizer, the corrosion inhibitor and/or chelating agent can complex with a metal ion before it becomes oxidized further by the oxidizing agent due to kinetic effects limiting the supply of the oxidizer to the surface of the substrate. The corrosion inhibitor and metal ion complex can thus affect the removal efficiency due to the formation of the stronger attachment strength complexed metal ions. An example of a polishing composition described herein includes about 2% by volume ethylenediamine, about 2% by weight ammonium citrate, about 0.3% by weight benzotriazole, between about 0.1% and about 3% by volume or weight, for example, about 0.45% hydrogen, peroxide, and/or about between about 0.01% and 1% by weight, for example 0.15% by weight, of abrasive particles, and about 6% by volume phosphoric acid. The pH of the composition is about 5, which may be achieved by, for example, the composition further including potassium hydroxide to adjust the pH to the preferred range. The remainder of the polishing composition is deionized water. [0089]
  • The oxidizer can be present in the polishing composition in an amount ranging between about 0.01% and about 90% by volume or weight, for example, between about 0.1% and about 20% by volume or weight. In an embodiment of the polishing composition, between about 0.1% to about 15% by volume or weight of hydrogen peroxide is present in the polishing composition. In one embodiment, the oxidizer is added to the rest of the polishing composition just prior to beginning the ECMP process. Examples of suitable oxidizers include peroxy compounds, e.g., compounds that may disassociate through hydroxy radicals, such as hydrogen peroxide and its adducts including urea hydrogen peroxide, percarbonates, and organic peroxides including, for example, alkyl peroxides, cyclical or aryl peroxides, benzoyl peroxide, peracetic acid, and ditertbutyl peroxide. Sulfates and sulfate derivatives, such as monopersulfates and dipersulfates may also be used including for example, ammonium peroxydisulfate, potassium peroxydisulfate, ammonium persulfate, and potassium persulfate. Salts of peroxy compounds, such as sodium percarbonate and sodium peroxide may also be used. [0090]
  • The oxidizing agent can also be an inorganic compound or a compound containing an element in its highest oxidation state. Examples of inorganic compounds and compounds containing an element in its highest oxidation state include but are not limited to periodic acid, periodate salts, perbromic acid, perbromate salts, perchloric acid, perchloric salts, perbonic acid, nitrate salts (such as cerium nitrate, iron nitrate, ammonium nitrate), ferrates, perborate salts and permanganates. Other oxidizing agents include bromates, chlorates, chromates, iodates, iodic acid, and cerium (IV) compounds such as ammonium cerium nitrate. [0091]
  • Surfactants may be one such additive compound in the polishing composition. One or more surfactants may be used in the polishing composition to increase the dissolution or solubility of materials, such as metals and metal ions or by-products produced during processing, improve chemical stability, and reduce decomposition of components of the polishing composition. The one or more surfactants can comprise a concentration between about 0.001% and about 10% by volume or weight of the polishing composition. A concentration between about 0.01% and about 2% by volume or weight, for example between about 0.1% and about 1% by volume or weight, of the surfactants may be used in one embodiment of the polishing composition. The one or more surfactants may include non-ionic surfactants as well as ionic surfactants including anionic surfactants, cationic surfactants, amphoteric surfactants, and ionic surfactants having more than one ionic functional group, such as Zweitter-ionic surfactants. Dispersers or dispersing agents are considered to be surfactants as surfactants are used herein. [0092]
  • Other examples of additives include one or more leveling agents, which are broadly defined herein as additives that suppress dissolution current on the surface of a substrate. Leveling agents suppress dissolution current by attaching to conductive materials, by inhibiting the electrochemical reactions between the electrolyte and conductive material, and/or form depolarizing agents that limit electrochemical reactions. A concentration of leveling agents between about 0.005% and about 10% by volume or weight, for example, between about 0.05% and about 2% by volume or weight of the electrolyte solution can be used. [0093]
  • Leveling agents include, but are not limited to, polyethylene glycol (PEG) and polyethylene glycol derivatives. Other leveling agents which can be employed in the process described herein include any employed in the electroplating or electropolishing art, such as polyamines, polyamides and polyimides including polyethyleneimine, polyglycine, 2-amino-1-naphthalenesulfonic acid, 3-amino-1-propanesulfonic acid, 4-aminotoluene-2-sulfonic acid. Leveling agents may be added to the composition in a range from about 0.05% to about 5% by volume or weight of the composition. For example, PEG may be added to a polishing solution with a concentration about 0.2wt %. [0094]
  • Suppressors, such as electrically resistive additives that reduce the conductivity of the polishing composition may be added to the composition in a range from about 0.005% to about 2% by volume or weight of the composition. Suppressors include polyacrylamide, polyacrylic acid polymers, polycarboxylate copolymers, coconut diethanolamide, oleic diethanolamide, ethanolamide derivatives, or combinations thereof. [0095]
  • One or more stabilizers may be present in an amount that is sufficient to produce measurable improvements in composition stability. The one or more stabilizers may be present in an amount ranging from about 100 ppm to about 5.0 weight percent (wt %). Non-limiting examples of preferred stabilizers include but are not limited to phosphoric acids and phosphoric acid derivatives including aminotri(methylenephosphonic) acid, 1-hydroxyethylidene-4-diphophonic acid, hexamethylenediaminetetramethylene phosphoric acid, and diethylenetetramine pentamethylenephosphonic acid, and derivative salts thereof. [0096]
  • Accelerators are another example of an additive that may be included in the polishing composition. Accelerators increase electrochemical reactions of metals disposed on the substrate surface to increase metal removal. The composition may include one or more accelerators at a concentration between about 0.001% and about 1% by volume or weight, for example, between about 0.25% and about 0.8% by volume or weight. Accelerators may include sulfur-containing compounds, such as sulfite or di-sulfate. [0097]
  • Further examples of additives to the polishing composition are more fully described in U.S. patent application Ser. No. 10/141,459, filed on May 7, 2002, which is incorporated by reference herein to the extent not inconsistent with the claimed aspects and disclosure herein. [0098]
  • ECMP solutions of varying compositions may be used to remove bulk material and residual material, such as copper and/or copper alloys, as well as to remove barrier materials, such as tantalum nitrides or titanium nitrides. Specific formulations of the polishing compositions are used to remove the particular materials. Polishing compositions utilized during embodiments herein are advantageous for ECMP processes. Generally, ECMP solutions are much more conductive than traditional CMP solutions. The ECMP solutions have a conductivity of about 10 mS or higher, while traditional CMP solutions have a conductivity from about 3 mS to about 5 mS. The conductivity of the ECMP solutions greatly influences that rate at which the ECMP process advances, i.e., more conductive solutions have a faster material removal rate. For removing bulk material, the ECMP solution has a conductivity of about 10 mS or higher, preferably in a range from about 30 mS to about 60 mS. For residual material, the ECMP solution has a conductivity of about 10 mS or higher, preferably in a range from about 15 mS to about 40 mS. [0099]
  • A first polishing composition or first ECPM solution used to remove bulk material may include phosphoric acid, at least one chelating agent, a corrosion inhibitor, a salt, an oxidizer, or abrasive particulates. For example, a first polishing solution may include from about 1 wt % to about 10 wt % of phosphoric acid; from about 0.1 wt % to about 6 wt % of the at least one chelating agent; from about 0.01 wt % to about 1 wt % of a corrosion inhibitor; from about 0.5 wt % to about 10 wt % of a salt, such as ammonium citrate or copper citrate; from about 0.2 wt % to about 5 wt % of an oxidizer; and from about 0.05 wt % to about 1 wt % of abrasive particulates. Also, a first polishing composition may have a pH adjusting agent in a concentration to maintain a pH from about 4 to about 7. Generally, a solvent is added to the solution, such as de-ionized water. [0100]
  • The first polishing composition includes at least one chelating agent, such as EDA, EDTA, citric acid, ammonium citrate, salts thereof, derivatives thereof and combinations thereof. The corrosion inhibitor of the first polishing composition may include BTA, TTA, salts thereof, derivatives thereof and combinations thereof. Salts may be added to the first polishing composition or may be formed in situ, such as by an acid/base type reaction. Salts may be inorganic, organic or combinations thereof and include cations such as ammonium, potassium, sodium, calcium and anions such as citrate, oxalate, succinate and tartrate. A pH adjusting agent includes potassium hydroxide, ammonium hydroxide or combinations thereof. An oxidizer, such as hydrogen peroxide and/or abrasive particulates, such as colloidal silica activated with alumina may be added to the first polishing composition. [0101]
  • A second polishing composition or second ECPM solution used to residual material may include phosphoric acid, at least one chelating agent, a corrosion inhibitor, a salt, an oxidizer, abrasive particulates. For example, a second polishing solution may include from about 0.1 wt % to about 5 wt % of phosphoric acid; from about 0.1 wt % to about 5 wt % of the at least one chelating agent; from about 0.01 wt % to about 1 wt % of a corrosion inhibitor; from about 0.1 wt % to about 5 wt % of a salt; from about 0.01 wt % to about 3 wt % of an oxidizer; and from about 0.05 wt % to about 5 wt % of abrasive particulates. Also, a second polishing composition may have a pH adjusting agent in a concentration to maintain a pH from about 4 to about 7. Generally, a solvent is added to the solution, such as de-ionized water. [0102]
  • The at least one chelating agent of the second polishing composition may include glycine, EDA, EDTA, citric acid, ammonium citrate, salts thereof, derivatives thereof and combinations thereof. The corrosion inhibitor of the second polishing composition may include BTA, TTA, salts thereof, derivatives thereof and combinations thereof. Salts may be added to the second polishing composition or may be formed in situ, such as by an acid/base type reaction. Salts may be inorganic, organic or combinations thereof and include cations such as ammonium, potassium, sodium, calcium and anions such as citrate, oxalate, succinate and tartrate. A pH adjusting agent includes potassium hydroxide, ammonium hydroxide or combinations thereof. An oxidizer, such as hydrogen peroxide and/or abrasive particulates, such as colloidal silica activated with alumina may be added to the second polishing composition. In one example, a second polishing composition includes BTA and glycine. In another example, a second polishing composition includes BTA, EDA and ammonium citrate. Also, some of the second polishing compositions contain leveling agents, such as PEG. [0103]
  • Electrochemical Mechanical Processing: [0104]
  • An electrochemical mechanical polishing technique using a combination of chemical activity, mechanical activity and electrical activity to remove material and planarize a substrate surface may be performed as follows. In one embodiment of an electrochemical mechanical polishing technique, the substrate is disposed in a receptacle, such as a basin or platen containing a first electrode and a polishing composition. The polishing composition forms a passivation layer on the substrate surface. The passivation layer may chemically and/or electrically insulate material disposed on a substrate surface. [0105]
  • A polishing article coupled to a polishing article assembly containing a second electrode is then disposed in the basin or platen and physically contacted and/or electrically coupled with the substrate through the polishing article. Relative motion is provided between the substrate surface and the [0106] conductive article 203 to reduce or remove the passivation layer. A bias from a power source 224 is applied between the two electrodes. The bias may be applied by an electrical pulse modulation technique providing at least anodic dissolution. The bias may be transferred from a conductive article 203 in the polishing article assembly 222 to the substrate 208.
  • A first ECMP process may be used to remove bulk conductive material from the substrate surface as shown from FIGS. 4A-4B and then a second ECMP process to remove residual copper containing materials as shown from FIGS. 4B-4C. Bulk material is broadly defined herein as any material deposited on the substrate in an amount more than sufficient to substantially fill features formed on the substrate surface. Residual material is broadly defined as any bulk copper containing material remaining after one or more polishing process steps. Generally, the bulk removal during a first ECMP process removes at least about 50% of the conductive layer, preferably at least about 70%, more preferably at least about 80%, for example, at least about 90%. The residual removal during a second ECMP process removes most, if not all the remaining conductive material disposed on the barrier layer to leave behind the filled plugs. [0107]
  • The first ECMP process attributes to the throughput of substrate manufacturing due to a fast removal rate of the conductive layer. However, if the first ECMP process is used solely, too much conductive material may be removed to produce an under burden. The second ECMP process attributes to the throughput of substrate manufacturing due to the precise removal the conductive layer to form level substrate surfaces. However, the second ECMP process is too slow are removing conducting material to be solely used. Therefore, the combined first and second ECMP processes increases throughput and produces high quality planar substrate surfaces. [0108]
  • The bulk removal ECMP process may be performed on a first polishing platen and the residual removal ECMP process on a second polishing platen of the same or different polishing apparatus as the first platen. In another embodiment, the residual removal ECMP process may be performed on the first platen. Any barrier material may be removed on a separate platen, such as the third platen in the apparatus described in FIG. 2. For example, the apparatus described above in accordance with the processes described herein may include three platens for removing bulk material or comprise one platen to remove bulk material, a second platen for residual removal and a third platen for barrier removal, wherein the bulk and the residual processes are ECMP processes and the barrier removal is a CMP process. In another embodiment, three ECMP platens may be used to remove bulk material, residual removal and barrier removal. [0109]
  • Referring to FIGS. 4A-4B, the [0110] substrate 300 having a dielectric layer 310 patterned with narrow feature definitions 320 and wide feature definitions 330 is filled with a barrier layer 340, for example, tantalum, and an excess amount of conductive material 360, for example, copper. The deposition profile of the excess material includes a high overburden 370, also referred to as a hill or peak, formed over narrow feature definitions 320 and a minimal overburden 380, also referred to as a valley, over wide feature definitions 330.
  • The substrate is exposed to a polishing composition described herein that forms a [0111] passivation layer 390 on the conductive material layer 360. The passivation layer 390 forms on the exposed conductive material 360 on the substrate surface including the high overburden 370, peaks, and minimal overburden 380, valleys, formed in the deposited conductive material 360. The passivation layer 390 chemically and/or electrically insulates the surface of the substrate from chemical and/or electrical reactions. The passivation layer is formed from the exposure of the substrate surface to the corrosion inhibitor and/or other materials capable of forming a passivating or insulating film, for example, chelating agents. The thickness and density of the passivation layer can dictate the extent of chemical reactions and/or amount of anodic dissolution. For example, a thicker or denser passivation layer 390 has been observed to result in less anodic dissolution compared to thinner and less dense passivation layers. Thus, control of the composition of passivating agents, corrosion inhibitors and/or chelating agents, allow control of the removal rate and amount of material removed from the substrate surface.
  • FIG. 4B illustrates that at least about 50% of the [0112] conductive material 360 was removed after the bulk removal of the first ECMP process, for example, about 90%. After the first ECMP process, conductive material 360 may still include the high overburden 370, peaks, and/or minimal overburden 380, valleys, but with a reduced proportionally size. However, conductive material 360 may also be rather planar across the substrate surface (not pictured).
  • The substrate surface and a polishing article, such as conductive polishing article, are contacted with one another and moved in relative motion to one another, such as in a relative orbital motion, to remove portions of the [0113] passivation layer 390 formed on the exposed conductive material 360, which may also remove a portion of the underlying conductive material 360.
  • The substrate surface and polishing article are contacted at a pressure less than about 2 pounds per square inch (lb/in[0114] 2 or psi) (13.8 kPa). Removal of the passivation layer 390 and some conductive material 360 may be performed with a process having a pressure of about 1 psi (6.9 kPa) or less, for example, from about 0.01 psi (69 Pa) to about 0.5 psi (3.4 kPa). In one aspect of the process, a pressure of about 0.2 psi (1.4 kPa) or less is used.
  • The polishing pressures used herein reduce or minimize damaging shear forces and frictional forces for substrates containing low k dielectric materials. Reduced or minimized forces can result in reduced or minimal deformations and defect formation of features from polishing. Further, the lower shear forces and frictional forces have been observed to reduce or minimize formation of topographical defects, such as dishing and scratches, and delamination, during polishing. Contact between the substrate and a conductive polishing article also allows for electrical contact between the power source and the substrate by coupling the power source to the polishing article when contacting the substrate. A region of non-passivated material may be exposed and removed by anodic dissolution by mechanical abrasion to disturb or remove the passivation layer on the surface of the substrate. [0115]
  • A bias is applied to the substrate during contact between the substrate surface and the conductive polishing article for anodic dissolution of the [0116] conductive material 360 from the substrate surface. The bias is generally provided to produce anodic dissolution of the conductive material from the surface of the substrate at a current density up to about 100 mA/cm2 which correlates to an applied current of about 40 amps to process substrates with a diameter up to about 300 mm. For example, a 200 mm diameter substrate may have a current density from about 0.01 mA/cm2 to about 50 mA/cm2, which correlates to an applied current from about 0.01 A to about 20 A. The invention also contemplates that the bias may be applied and monitored by volts, amps and watts. For example, in one embodiment, the power supply may apply a power between about 0 watts and 100 watts, a voltage between about 0 V and about 10 V, and a current between about 0 amps and about 10 amps.
  • During anodic dissolution under application of the bias, the substrate surface, i.e., the [0117] conductive material layer 360 may be biased anodically above a threshold potential of the conductive material, for example, a metal material, on the substrate surface to “oxidize”. When a metal material oxidizes, a metal atom gives up one or more electrons to the power source and forms metal ions or cations. The metal ions may then leave the substrate surface and dissolve into the electrolyte solution. In the case where copper is the desired material to be removed, cations can have the Cu1+ or Cu2+ oxidation state.
  • The metal ions may also contribute to the formation of the thickness and/or density of the [0118] passivation layer 390. For example, the inhibitors and/or chelating agents found in the polishing composition may complex with the metal ions and the metal ions become incorporated into the passivation layer 390. Thus, the presence of the inhibitors and/or chelating agents found in the polishing composition limit or reduce the electrochemical dissolution process of the metal ions into the electrolyte, and further incorporate such metal ions into the passivation layer 390. It has been observed that the thickness and/or density of the undisturbed passivation layer may increase after periods of applied bias for anodic dissolution of conductive materials on the substrate surface. It is believed that the increase in the thickness and/or density of the undisturbed passivation layer is related to the total applied power and is a function of time and/or power levels. It has also been observed that the undisturbed passivation layer incorporates metal ions and that the metal ions may contribute to the thickness and/or density of the passivation layer.
  • The bias may be varied in power and application depending upon the user requirements in removing material from the substrate surface. For example, increasing power application has been observed to result in increasing anodic dissolution. The bias may also be applied by an electrical pulse modulation technique. Pulse modulation techniques may vary, but generally include a cycle of applying a constant current density or voltage for a first time period, then applying no current density or voltage or a constant reverse current density or voltage for a second time period. The process may then be repeated for one or more cycles, which may have varying power levels and durations. The power levels, the duration of power, an “on” cycle, and no power, an “off” cycle” application, and frequency of cycles, may be modified based on the removal rate, materials to be removed, and the extent of the polishing process. For example, increased power levels and increased duration of power being applied have been observed to increase anodic dissolution. [0119]
  • In one pulse modulation process for electrochemical mechanical polishing, the pulse modulation process comprises an on/off power technique with a period of power application, “on”, followed by a period of no power application, “off”. The on/off cycle may be repeated one or more times during the polishing process. The “on” periods allow for removal of exposed conductive material from the substrate surface and the “off” periods allow for polishing composition components and by-products of “on” periods, such as metal ions, to diffuse to the surface and complex with the conductive material. During a pulse modulation technique process it is believed that the metal ions migrate and interact with the corrosion inhibitors and/or chelating agents by attaching to the passivation layer in the non-mechanically disturbed areas. The process thus allows etching in the electrochemically active regions, not covered by the passivation layer, during an “on” application, and then allowing reformation of the passivation layer in some regions and removal of excess material during an “off” portion of the pulse modulation technique in other regions. Thus, control of the pulse modulation technique can control the removal rate and amount of material removed from the substrate surface. [0120]
  • The “on”/“off” period of time may be between about 1 second and about 60 seconds each, for example, between about 2 seconds and about 25 seconds, and the invention contemplates the use of pulse techniques having “on” and “off” periods of time greater and shorter than the described time periods herein. In one example of a pulse modulation technique, power is applied between about 16% and about 66% of each cycle. [0121]
  • Non-limiting examples of pulse modulation technique with an on/off cycle for electrochemical mechanical polishing of materials described herein include: applying power, “on”, between about 5 seconds and about 10 seconds and then not applying power, “off”, between about 2 seconds and about 25 seconds; applying power for about 10 seconds and not applying power for 5 seconds, or applying power for 10 seconds and not applying power for 2 seconds, or even applying power for 5 seconds and not applying power for 25 seconds to provide the desired polishing results. The cycles may be repeated as often as desired for each selected process. One example of a pulse modulation process is described in commonly assigned U.S. Pat. No. 6,379,223, which is incorporated by reference herein to the extent not inconsistent with the claimed aspects and disclosure herein. Further examples of a pulse modulation process is described in co-pending U.S. Provisional Patent Application Serial No. 10/611,805, entitled “Effective Method To Improve Surface Finish In Electrochemically Assisted Chemical Mechanical Polishing”, filed on Jun. 30, 2003, which is incorporated by reference herein to the extent not inconsistent with the claimed aspects and disclosure herein. [0122]
  • A removal rate of conductive material of up to about 15,000 Å/min can be achieved by the processes described herein. Higher removal rates are generally desirable, but due to the goal of maximizing process uniformity and other process variables (e.g., reaction kinetics at the anode and cathode) it is common for dissolution rates to be controlled from about 100 Å/min to about 15,000 Å/min. In one embodiment of the invention where the copper material to be removed is less than 5,000 Å thick, the voltage (or current) may be applied to provide a removal rate from about 100 Å/min to about 5,000 Å/min. The substrate is typically exposed to the polishing composition and power application for a period of time sufficient to remove at least a portion or all of the desired material disposed thereon. [0123]
  • The polishing composition may be varied to control the rate in which the conductive material is removed. In one embodiment, a first ECMP process is conducted with a first polishing solution, thereafter, a second ECMP process is conducted with a second polishing solution more dilute than the first polishing solution, for example, the second polishing solution is about 25% the concentration of the first polishing solution. For example, the first ECMP composition may comprise: from about 1 wt % to about 10 wt % of phosphoric acid; from about 0.1 wt % to about 6 wt % of the at least one chelating agent; from about 0.01 wt % to about 1 wt % of the corrosion inhibitor; from about 0.5 wt % to about 10 wt % of the salt; from about 0.2 wt % to about 5 wt % of the oxidizer; and from about 0.05 wt % to about 1 wt % of the abrasive particulates. The second ECMP composition may comprise: from about 0.25 wt % to about 5 wt % of phosphoric acid; from about 0.05 wt % to about 3 wt % of the at least one chelating agent; from about 0.005 wt % to about 0.5 wt % of the corrosion inhibitor; from about 0.13 wt % to about 5 wt % of the salt; from about 0.05 wt % to about 3 wt % of the oxidizer; and from about 0.02 wt % to about 0.5 wt % of the abrasive particulates. In some embodiments, the first ECMP solution and second ECMP solution have the similar relative concentrations of each component except water, whereas the second ECMP solution is formed by combining de-ionized water to the first ECMP solution, for example at a volume ratio of about 3 to about 1. In other embodiments, the first polishing solution and second polishing solution have the varied relative concentrations of each component within water. [0124]
  • Generally, the removal rate of [0125] conductive material 360 is much faster during the first ECMP process than during the second ECMP process. For example, the first ECMP process removes conductive material 360 at a rate from about 1,000 Å/min to about 15,000 Å/min, while the second ECMP process removes conductive material 360 at a rate from about 100 Å/min to about 8,000 Å/min. The second ECMP process is slower in order to prevent excess metal removal to form topographical defects, such as concavities or depressions known as dishing 55, as shown in FIG. 1B. Therefore, a majority of the conductive layer 360 is removed at a faster rate during the first ECMP process than the remaining conductive layer 360 during the second ECMP process. The two-step ECMP process increases throughput of the total substrate processing and while producing a smooth surface with little or no defects.
  • Mechanical abrasion by a conductive polishing article removes the passivation layer that insulates or suppresses the current for anodic dissolution, such that areas of high overburden is preferentially removed over areas of minimal overburden as the passivation layer is retained in areas of minimal or no contact with the [0126] conductive polishing article 203. The removal rate of the conductive material 360 covered by the passivation layer is less than the removal rate of conductive material without the passivation layer. As such, the excess material disposed over narrow feature definitions 320 and the substrate field 350 is removed at a higher rate than over wide feature definitions 330 still covered by the passivation layer 390.
  • Referring to FIG. 4C, most, if not all of the [0127] conductive layer 360 is removed to expose barrier layer 340 and conductive trenches 365 by polishing the substrate with a second ECMP process including a second ECMP polishing solution. The conductive trenches 365 are formed by the remaining conductive material 360. Any residual conductive material and barrier material may then be polished by a third polishing step to provide a planarized substrate surface containing conductive trenches 365, as depicted in FIG. 4D. The residual conductive material and barrier material may be removed by a third polishing process, such as a third ECMP process or a CMP process. An example of a copper polishing process is disclosed in U.S. patent Publication No. 20030029841 and an example of a barrier polishing process is disclosed in U.S. patent Publication No. 20030013306, which are both incorporated herein to the extent not inconsistent with the claims aspects and disclosure herein.
  • After conductive material and barrier material removal processing steps, the substrate may then be buffed to minimize surface defects. Buffing may be performed with a soft polishing article, i.e., a hardness of about 40 or less on the Shore D hardness scale as described and measured by the American Society for Testing and Materials (ASTM), headquartered in Philadelphia, Pa., at reduced polishing pressures, such as about 2 psi or less. An example of a suitable buffing process and composition is disclosed in co-pending U.S. patent application Ser. No. 09/569,968, filed on May 11, 2000, and incorporated herein by reference to the extent not inconsistent with the invention. [0128]
  • Optionally, a cleaning solution may be applied to the substrate after each of the polishing process to remove particulate matter and spent reagents from the polishing process as well as help minimize metal residue deposition on the polishing articles and defects formed on a substrate surface. An example of a suitable cleaning solution is ElectraClean™ commercially available from Applied Materials, Inc., of Santa Clara, Calif. [0129]
  • Finally, the substrate may be exposed to a post polishing cleaning process to reduce defects formed during polishing or substrate handling. Such processes can minimize undesired oxidation or other defects in copper features formed on a substrate surface. An example of such a post polishing cleaning is the application of Electra Clean™, commercially available from Applied Materials, Inc., of Santa Clara, Calif. [0130]
  • It has been observed that substrate planarized by the processes described herein have exhibited reduced topographical defects, such as dishing, reduced residues, improved planarity, and improved substrate finish. The processes described herein may be further disclosed by the examples as follows. [0131]
  • EXAMPLES
  • The following non-limiting examples are provided to further illustrate embodiments of the invention. However, the examples are not intended to be all-inclusive and are not intended to limit the scope of the inventions described herein. [0132]
  • Example 1
  • A copper plated substrate with 300 mm diameter was polished and planarized using the following polishing composition within a modified cell on a REFLEXION® system, available from Applied Materials, Inc., of Santa Clara, Calif. A substrate having a copper layer of about 11,500 Å thick on the substrate surface with a step height of about 6,000 Å was placed onto the first platen and exposed to a polishing composition of: [0133]
  • about 6% by volume phosphoric acid; [0134]
  • about 2% by volume ethylenediamine; [0135]
  • about 2% by weight ammonium citrate; [0136]
  • about 0.3% by weight benzotriazole; [0137]
  • from about 2% to about 6% by [0138] volume 40% KOH solution to provide a pH of about 5;
  • about 0.45% by volume of hydrogen peroxide; [0139]
  • about 0.15% by weight of silica (SiO[0140] 2) abrasive particles; and
  • de-ionized water. [0141]
  • A polishing article was contacted with the substrate at about 0.2 psi and a bias of about 3 volts was applied during the process. The substrate was polished and examined. The copper layer thickness was reduced to about 1,500 Å. [0142]
  • The substrate was placed onto the second platen and exposed subsequently exposed to a polishing composition of: [0143]
  • about 1.5% by volume phosphoric acid; [0144]
  • about 0.4% by volume ethylenediamine; [0145]
  • about 0.8% by weight ammonium citrate; [0146]
  • about 0.25% by weight benzotriazole; [0147]
  • from about 0.5% to about 3% by [0148] volume 40% KOH solution to provide a pH of about 5.4;
  • about 0.5% by volume of hydrogen peroxide; [0149]
  • about 0.7% by weight of silica (SiO[0150] 2) abrasive particles; and
  • de-ionized water. [0151]
  • A polishing article was contacted with the substrate at about 0.1 psi at a bias of about 1.5 volts was applied during the process. The substrate was polished and examined. The excess copper layer formerly on the substrate surface was removed to leave behind the barrier layer and the copper trench. [0152]
  • Example 2
  • A copper plated substrate with 300 mm diameter was polished and planarized using the following polishing composition within a modified cell on a REFLEXION® system, available from Applied Materials, Inc. of Santa Clara, Calif. A substrate having a copper layer of about 11,500 Å thick on the substrate surface with a step height of about 6,000 Å was placed into the first platen and exposed to a polishing composition of: [0153]
  • about 6% by volume phosphoric acid; [0154]
  • about 2% by volume ethylenediamine; [0155]
  • about 2% by weight ammonium citrate; [0156]
  • about 0.3% by weight benzotriazole; [0157]
  • from about 2% to about 6% by [0158] volume 40% KOH solution to provide a pH of about 5;
  • about 0.45% by volume of hydrogen peroxide; [0159]
  • about 0.15% by weight of silica (SiO[0160] 2) abrasive particles; and
  • de-ionized water. [0161]
  • A polishing article was contacted with the substrate at about 0.2 psi and a bias of about 3 volts was applied during the process. The substrate was polished and examined. The copper layer thickness was reduced to about 1,500Å. [0162]
  • The substrate was placed into the second platen and exposed subsequently exposed to a polishing composition of: [0163]
  • about 1.5% by volume phosphoric acid; [0164]
  • about 0.4% by volume ethylenediamine; [0165]
  • about 0.8% by weight ammonium citrate; [0166]
  • about 0.25% by weight benzotriazole; [0167]
  • about 0.2% by weight polyethylene glycol; [0168]
  • from about 0.5% to about 3% by [0169] volume 40% KOH solution to provide a pH of about 5.4;
  • about 0.5% by volume of hydrogen peroxide; [0170]
  • about 0.7% by weight of silica (SiO[0171] 2) abrasive particles; and
  • de-ionized water. [0172]
  • A polishing article was contacted with the substrate at about 0.1 psi at a bias of about 1.5 volts was applied during the process. The substrate was polished and examined. The excess copper layer formerly on the substrate surface was removed to leave behind the barrier layer and the copper trench. [0173]
  • Example 3
  • A copper plated substrate with 300 mm diameter was polished and planarized using the following polishing composition within a modified cell on a REFLEXION® system, available from Applied Materials, Inc. of Santa Clara, Calif. A substrate having a copper layer of about 11,500 Å thick on the substrate surface with a step height of about 6,000 Å was placed into the first platen and exposed to a polishing composition of: [0174]
  • about 6% by volume phosphoric acid; [0175]
  • about 2% by volume ethylenediamine; [0176]
  • about 2% by weight ammonium citrate; [0177]
  • about 0.3% by weight benzotriazole; [0178]
  • from about 2% to about 6% by [0179] volume 40% KOH solution to provide a pH of about 5;
  • about 0.45% by volume of hydrogen peroxide; [0180]
  • about 0.15% by weight of silica (SiO[0181] 2) abrasive particles; and
  • de-ionized water. [0182]
  • A polishing article was contacted with the substrate at about 0.2 psi and a bias of about 3 volts was applied during the process. The substrate was polished and examined. The copper layer thickness was reduced to about 1,500 Å. [0183]
  • The substrate was placed into the second platen and exposed subsequently exposed to a polishing composition of: [0184]
  • about 1.0% by volume phosphoric acid; [0185]
  • about 0.5% by volume glycine; [0186]
  • about 0.35% by weight benzotriazole; [0187]
  • from about 0.5% to about 3% by [0188] volume 40% KOH solution to provide a pH of about 4.9;
  • about 0.5% by volume of hydrogen peroxide; [0189]
  • about 0.7% by weight of silica (SiO[0190] 2) abrasive particles; and
  • de-ionized water. [0191]
  • A polishing article was contacted with the substrate at about 0.1 psi at a bias of about 1.5 volts was applied during the process. The substrate was polished and examined. The excess copper layer formerly on the substrate surface was removed to leave behind the barrier layer and the copper trench. [0192]
  • Example 4
  • A copper plated substrate with 300 mm diameter was polished and planarized using the following polishing composition within a modified cell on a REFLEXION® system, available from Applied Materials, Inc. of Santa Clara, Calif. A substrate having a copper layer of about 11,500 Å thick on the substrate surface with a step height of about 6,000 Å was placed into the first platen and exposed to a polishing composition of: [0193]
  • about 6% by volume phosphoric acid; [0194]
  • about 2% by volume ethylenediamine; [0195]
  • about 2% by weight ammonium citrate; [0196]
  • about 0.3% by weight benzotriazole; [0197]
  • from about 2% to about 6% by [0198] volume 40% KOH solution to provide a pH of about 5;
  • about 0.45% by volume of hydrogen peroxide; [0199]
  • about 0.15% by weight of silica (SiO[0200] 2) abrasive particles; and
  • de-ionized water. [0201]
  • A polishing article was contacted with the substrate at about 0.2 psi and a bias of about 3 volts was applied during the process. The substrate was polished and examined. The copper layer thickness was reduced to about 1,500 Å. [0202]
  • The substrate was placed into the second platen and exposed subsequently exposed to a polishing composition of: [0203]
  • about 0.6% by volume phosphoric acid; [0204]
  • about 1.0% by volume glycine; [0205]
  • about 0.35% by weight benzotriazole; [0206]
  • from about 0.5% to about 3% by [0207] volume 40% KOH solution to provide a pH of about 6.1;
  • about 0.5% by volume of hydrogen peroxide; [0208]
  • about 0.7% by weight of silica (SiO[0209] 2) abrasive particles; and
  • de-ionized water. [0210]
  • A polishing article was contacted with the substrate at about 0.1 psi at a bias of about 1.5 volts was applied during the process. The substrate was polished and examined. The excess copper layer formerly on the substrate surface was removed to leave behind the barrier layer and the copper trench. [0211]
  • Example 5
  • A copper plated substrate with 300 mm diameter was polished and planarized using the following polishing composition within a modified cell on a REFLEXION® system, available from Applied Materials, Inc. of Santa Clara, Calif. A substrate having a copper layer of about 11,500 Å thick on the substrate surface with a step height of about 6,000 Å was placed into the first platen and exposed to a polishing composition of: [0212]
  • about 6% by volume phosphoric acid; [0213]
  • about 2% by volume ethylenediamine; [0214]
  • about 2% by weight ammonium citrate; [0215]
  • about 0.3% by weight benzotriazole; [0216]
  • from about 2% to about 6% by [0217] volume 40% KOH solution to provide a pH of about 5;
  • about 0.45% by volume of hydrogen peroxide; [0218]
  • about 0.15% by weight of silica (SiO[0219] 2) abrasive particles; and
  • de-ionized water. [0220]
  • A polishing article was contacted with the substrate at about 0.2 psi and a bias of about 3 volts was applied during the process. The substrate was polished and examined. The copper layer thickness was reduced to about 1,500 Å. [0221]
  • The substrate was placed into the second platen and exposed subsequently exposed to a polishing composition of: [0222]
  • about 0.6% by volume phosphoric acid; [0223]
  • about 1.5% by volume glycine; [0224]
  • about 0.35% by weight benzotriazole; [0225]
  • from about 0.5% to about 3% by [0226] volume 40% KOH solution to provide a pH of about 6.1;
  • about 0.5% by volume of hydrogen peroxide; [0227]
  • about 0.7% by weight of silica (SiO[0228] 2) abrasive particles; and
  • de-ionized water. [0229]
  • A polishing article was contacted with the substrate at about 0.1 psi at a bias of about 1.5 volts was applied during the process. The substrate was polished and examined. The excess copper layer formerly on the substrate surface was removed to leave behind the barrier layer and the copper trench. [0230]
  • Example 6
  • A copper plated substrate with 300 mm diameter was polished and planarized using the following polishing composition within a modified cell on a REFLEXION® system, available from Applied Materials, Inc. of Santa Clara, Calif. A substrate having a copper layer of about 11,500 Å thick on the substrate surface with a step height of about 6,000 Å was placed into the first platen and exposed to a polishing composition of: [0231]
  • about 6% by volume phosphoric acid; [0232]
  • about 2% by volume ethylenediamine; [0233]
  • about 2% by weight ammonium citrate; [0234]
  • about 0.3% by weight benzotriazole; [0235]
  • from about 2% to about 6% by [0236] volume 40% KOH solution to provide a pH of about 5;
  • about 0.45% by volume of hydrogen peroxide; [0237]
  • about 0.15% by weight of silica (SiO[0238] 2) abrasive particles; and
  • de-ionized water. [0239]
  • A polishing article was contacted with the substrate at about 0.2 psi and a bias of about 3 volts was applied during the process. The substrate was polished and examined. The copper layer thickness was reduced to about 1,500 Å. [0240]
  • The substrate was placed into the second platen and exposed subsequently exposed to a polishing composition of: [0241]
  • about 0.6% by volume phosphoric acid; [0242]
  • about 2.0% by volume glycine; [0243]
  • about 0.35% by weight benzotriazole; [0244]
  • from about 0.5% to about 3% by [0245] volume 40% KOH solution to provide a pH of about 6.1;
  • about 0.5% by volume of hydrogen peroxide; [0246]
  • about 0.7% by weight of silica (SiO[0247] 2) abrasive particles; and
  • de-ionized water. [0248]
  • A polishing article was contacted with the substrate at about 0.1 psi at a bias of about 1.5 volts was applied during the process. The substrate was polished and examined. The excess copper layer formerly on the substrate surface was removed to leave behind the barrier layer and the copper trench. [0249]
  • Example 7
  • A copper plated substrate with 300 mm diameter was polished and planarized using the following polishing composition within a modified cell on a REFLEXION® system, available from Applied Materials, Inc. of Santa Clara, Calif. A substrate having a copper layer of about 11,500 Å thick on the substrate surface with a step height of about 6,000 Å was placed into the first platen and exposed to a polishing composition of: [0250]
  • about 6% by volume phosphoric acid; [0251]
  • about 2% by volume ethylenediamine; [0252]
  • about 2% by weight ammonium citrate; [0253]
  • about 0.3% by weight benzotriazole; [0254]
  • from about 2% to about 6% by [0255] volume 40% KOH solution to provide a pH of about 5;
  • about 0.45% by volume of hydrogen peroxide; [0256]
  • about 0.15% by weight of silica (SiO[0257] 2) abrasive particles; and
  • de-ionized water. [0258]
  • A polishing article was contacted with the substrate at about 0.2 psi and a bias of about 3 volts was applied during the process. The substrate was polished and examined. The copper layer thickness was reduced to about 1,500 Å. [0259]
  • The substrate was placed into the second platen and exposed subsequently exposed to a polishing composition of: [0260]
  • about 1.5% by volume phosphoric acid; [0261]
  • about 0.4% by volume ethylenediamine; [0262]
  • about 0.8% by weight ammonium citrate; [0263]
  • about 0.25% by weight benzotriazole; [0264]
  • from about 0.5% to about 3% by [0265] volume 40% KOH solution to provide a pH of about 5.4;
  • about 0.7% by weight of silica (SiO[0266] 2) abrasive particles; and
  • de-ionized water. [0267]
  • A polishing article was contacted with the substrate at about 0.1 psi at a bias of about 1.5 volts was applied during the process. The substrate was polished and examined. The excess copper layer formerly on the substrate surface was removed to leave behind the barrier layer and the copper trench. [0268]
  • Example 8
  • A copper plated substrate with 300 mm diameter was polished and planarized using the following polishing composition within a modified cell on a REFLEXION® system, available from Applied Materials, Inc. of Santa Clara, Calif. A substrate having a copper layer of about 11,500 Å thick on the substrate surface with a step height of about 6,000 Å was placed into the first platen and exposed to a polishing composition of: [0269]
  • about 6% by volume phosphoric acid; [0270]
  • about 2% by volume ethylenediamine; [0271]
  • about 2% by weight ammonium citrate; [0272]
  • about 0.3% by weight benzotriazole; [0273]
  • from about 2% to about 6% by [0274] volume 40% KOH solution to provide a pH of about 5;
  • about 0.45% by volume of hydrogen peroxide; [0275]
  • about 0.15% by weight of silica (SiO[0276] 2) abrasive particles; and
  • de-ionized water. [0277]
  • A polishing article was contacted with the substrate at about 0.2 psi and a bias of about 3 volts was applied during the process. The substrate was polished and examined. The copper layer thickness was reduced to about 1,500 Å. [0278]
  • The substrate was placed into the second platen and exposed subsequently exposed to a polishing composition of: [0279]
  • about 1.5% by volume phosphoric acid; [0280]
  • about 0.4% by volume ethylenediamine; [0281]
  • about 0.8% by weight ammonium citrate; [0282]
  • about 0.25% by weight benzotriazole; [0283]
  • about 0.2% by weight polyethylene glycol; [0284]
  • from about 0.5% to about 3% by [0285] volume 40% KOH solution to provide a pH of about 5.4;
  • about 0.7% by weight of silica (SiO[0286] 2) abrasive particles; and
  • de-ionized water. [0287]
  • A polishing article was contacted with the substrate at about 0.1 psi at a bias of about 1.5 volts was applied during the process. The substrate was polished and examined. The excess copper layer formerly on the substrate surface was removed to leave behind the barrier layer and the copper trench. [0288]
  • Example 9
  • A copper plated substrate with 300 mm diameter was polished and planarized using the following polishing composition within a modified cell on a REFLEXION® system, available from Applied Materials, Inc. of Santa Clara, Calif. A substrate having a copper layer of about 11,500 Å thick on the substrate surface with a step height of about 6,000 Å was placed into the first platen and exposed to a polishing composition of: [0289]
  • about 6% by volume phosphoric acid; [0290]
  • about 2% by volume ethylenediamine; [0291]
  • about 2% by weight ammonium citrate; [0292]
  • about 0.3% by weight benzotriazole; [0293]
  • from about 2% to about 6% by [0294] volume 40% KOH solution to provide a pH of about 5;
  • about 0.45% by volume of hydrogen peroxide; [0295]
  • about 0.15% by weight of silica (SiO[0296] 2) abrasive particles; and
  • de-ionized water. [0297]
  • A polishing article was contacted with the substrate at about 0.2 psi and a bias of about 3 volts was applied during the process. The substrate was polished and examined. The copper layer thickness was reduced to about 1,500 Å. [0298]
  • The substrate was placed into the second platen and exposed subsequently exposed to a polishing composition of: [0299]
  • about 1.0% by volume phosphoric acid; [0300]
  • about 0.5% by volume glycine; [0301]
  • about 0.35% by weight benzotriazole; [0302]
  • from about 0.5% to about 3% by [0303] volume 40% KOH solution to provide a pH of about 4.9;
  • about 0.7% by weight of silica (SiO[0304] 2) abrasive particles; and
  • de-ionized water. [0305]
  • A polishing article was contacted with the substrate at about 0.1 psi at a bias of about 1.5 volts was applied during the process. The substrate was polished and examined. The excess copper layer formerly on the substrate surface was removed to leave behind the barrier layer and the copper trench. [0306]
  • Example 10
  • A copper plated substrate with 300 mm diameter was polished and planarized using the following polishing composition within a modified cell on a REFLEXION® system, available from Applied Materials, Inc. of Santa Clara, Calif. A substrate having a copper layer of about 11,500 Å thick on the substrate surface with a step height of about 6,000 Å was placed into the first platen and exposed to a polishing composition of: [0307]
  • about 6% by volume phosphoric acid; [0308]
  • about 2% by volume ethylenediamine; [0309]
  • about 2% by weight ammonium citrate; [0310]
  • about 0.3% by weight benzotriazole; [0311]
  • from about 2% to about 6% by [0312] volume 40% KOH solution to provide a pH of about 5;
  • about 0.45% by volume of hydrogen peroxide; [0313]
  • about 0.15% by weight of silica (SiO[0314] 2) abrasive particles; and
  • de-ionized water. [0315]
  • A polishing article was contacted with the substrate at about 0.2 psi and a bias of about 3 volts was applied during the process. The substrate was polished and examined. The copper layer thickness was reduced to about 1,500 Å. [0316]
  • The substrate was placed into the second platen and exposed subsequently exposed to a polishing composition of: [0317]
  • about 0.6% by volume phosphoric acid; [0318]
  • about 1.0% by volume glycine; [0319]
  • about 0.35% by weight benzotriazole; [0320]
  • from about 0.5% to about 3% by [0321] volume 40% KOH solution to provide a pH of about 6.1;
  • about 0.7% by weight of silica (SiO[0322] 2) abrasive particles; and
  • de-ionized water. [0323]
  • A polishing article was contacted with the substrate at about 0.1 psi at a bias of about 1.5 volts was applied during the process. The substrate was polished and examined. The excess copper layer formerly on the substrate surface was removed to leave behind the barrier layer and the copper trench. [0324]
  • Example 11
  • A copper plated substrate with 300 mm diameter was polished and planarized using the following polishing composition within a modified cell on a REFLEXION® system, available from Applied Materials, Inc. of Santa Clara, Calif. A substrate having a copper layer of about 11,500 Å thick on the substrate surface with a step height of about 6,000 Å was placed into the first platen and exposed to a polishing composition of: [0325]
  • about 6% by volume phosphoric acid; [0326]
  • about 2% by volume ethylenediamine; [0327]
  • about 2% by weight ammonium citrate; [0328]
  • about 0.3% by weight benzotriazole; [0329]
  • from about 2% to about 6% by [0330] volume 40% KOH solution to provide a pH of about 5;
  • about 0.45% by volume of hydrogen peroxide; [0331]
  • about 0.15% by weight of silica (SiO[0332] 2) abrasive particles; and
  • de-ionized water. [0333]
  • A polishing article was contacted with the substrate at about 0.2 psi and a bias of about 3 volts was applied during the process. The substrate was polished and examined. The copper layer thickness was reduced to about 1,500 Å. [0334]
  • The substrate was placed into the second platen and exposed subsequently exposed to a polishing composition of: [0335]
  • about 0.6% by volume phosphoric acid; [0336]
  • about 1.5% by volume glycine; [0337]
  • about 0.35% by weight benzotriazole; [0338]
  • from about 0.5% to about 3% by [0339] volume 40% KOH solution to provide a pH of about 6.1;
  • about 0.7% by weight of silica (SiO[0340] 2) abrasive particles; and
  • de-ionized water. [0341]
  • A polishing article was contacted with the substrate at about 0.1 psi at a bias of about 1.5 volts was applied during the process. The substrate was polished and examined. The excess copper layer formerly on the substrate surface was removed to leave behind the barrier layer and the copper trench. [0342]
  • Example 12
  • A copper plated substrate with 300 mm diameter was polished and planarized using the following polishing composition within a modified cell on a REFLEXION® system, available from Applied Materials, Inc. of Santa Clara, Calif. A substrate having a copper layer of about 11,500 Å thick on the substrate surface with a step height of about 6,000 Å was placed into the first platen and exposed to a polishing composition of: [0343]
  • about 6% by volume phosphoric acid; [0344]
  • about 2% by volume ethylenediamine; [0345]
  • about 2% by weight ammonium citrate; [0346]
  • about 0.3% by weight benzotriazole; [0347]
  • from about 2% to about 6% by [0348] volume 40% KOH solution to provide a pH of about 5;
  • about 0.45% by volume of hydrogen peroxide; [0349]
  • about 0.15% by weight of silica (SiO[0350] 2) abrasive particles; and
  • de-ionized water. [0351]
  • A polishing article was contacted with the substrate at about 0.2 psi and a bias of about 3 volts was applied during the process. The substrate was polished and examined. The copper layer thickness was reduced to about 1,500 Å. [0352]
  • The substrate was placed into the second platen and exposed subsequently exposed to a polishing composition of: [0353]
  • about 0.6% by volume phosphoric acid; [0354]
  • about 2.0% by volume glycine; [0355]
  • about 0.35% by weight benzotriazole; [0356]
  • from about 0.5% to about 3% by [0357] volume 40% KOH solution to provide a pH of about 6.1;
  • about 0.7% by weight of silica (SiO[0358] 2) abrasive particles; and
  • de-ionized water. [0359]
  • A polishing article was contacted with the substrate at about 0.1 psi at a bias of about 1.5 volts was applied during the process. The substrate was polished and examined. The excess copper layer formerly on the substrate surface was removed to leave behind the barrier layer and the copper trench. [0360]
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow. [0361]

Claims (57)

1. A method of processing a substrate having a conductive material layer disposed thereon, comprising:
positioning the substrate in a process apparatus;
exposing the substrate to a first polishing composition;
applying a first bias to the substrate;
removing at least 50% of the conductive material layer;
exposing the substrate to a second polishing composition and a second bias; and
continuing to remove the conductive material layer.
2. The method of claim 1, wherein the first polishing composition comprises phosphoric acid, at least one chelating agent, a corrosion inhibitor, a salt, an oxidizer, and abrasive particulates.
3. The method of claim 1, wherein the conductive material layer comprises copper or a copper alloy.
4. The method of claim 3, wherein applying the first bias removes at least about 80% of the conductive material layer.
5. The method of claim 3, wherein the second polishing composition comprises:
from about 0.1 wt % to about 5 wt % of phosphoric acid;
from about 0.1 wt % to about 5 wt % of at least one chelating agent; and
from about 0.01 wt % to about 1 wt % of the corrosion inhibitor.
6. The method of claim 5, wherein the at least one chelating agent of the second polishing composition is selected from the group consisting of glycine, ethylenediamine, ethylenediamine tetraacetate, citric acid, ammonium citrate, salts thereof, derivatives thereof, and combinations thereof.
7. The method of claim 6, wherein the corrosion inhibitor of the second polishing composition is selected from the group consisting of benzotriazole, 5-methyl-1-benzotriazole, salts thereof, derivatives thereof, and combinations thereof.
8. The method of claim 7, wherein the corrosion inhibitor of the second polishing composition is benzotriazole and the at least one chelating agent of the second polishing composition is glycine.
9. The method of claim 7, wherein the corrosion inhibitor of the second polishing composition is benzotriazole and the at least one chelating agent of the second polishing composition is ethylenediamine and ammonium citrate.
10. The method of claim 9, wherein the second polishing composition further comprises polyethylene glycol.
11. The method of claim 7, wherein the second polishing composition further comprises at least one member selected from the group consisting of abrasive particulates, hydrogen peroxide, derivatives thereof, and combinations thereof.
12. The method of claim 11, wherein the second polishing composition comprises at least one pH adjusting agent to provide a pH from about 4 to about 7 and a solvent.
13. The method of claim 2, wherein the first polishing composition has a conductivity in a range from about 30 mS to about 60 mS.
14. The method of claim 13, wherein the second polishing composition has a conductivity in a range from about 15 mS to about 40 mS.
15. The method of claim 14, wherein the first polishing composition comprises:
from about 1 wt % to about 10 wt % of phosphoric acid;
from about 0.1 wt % to about 6 wt % of the at least one chelating agent;
from about 0.01 wt % to about 1 wt % of the corrosion inhibitor;
from about 0.5 wt % to about 10 wt % of the salt;
from about 0.2 wt % to about 5 wt % of the oxidizer; and
from about 0.05 wt % to about 1 wt % of the abrasive particulates.
16. A method of processing a substrate having a conductive material layer disposed thereon, comprising:
positioning the substrate in a process apparatus;
exposing the substrate to a first polishing composition comprising phosphoric acid, at least one chelating agent, a corrosion inhibitor, a salt, an oxidizer, and abrasive particulates;
applying a first bias to the substrate;
removing at least 50% of the conductive material layer;
exposing the substrate to a second polishing composition and a second bias; and
continuing to remove the conductive material layer.
17. The method of claim 16, wherein the conductive material layer comprises copper or a copper alloy.
18. The method of claim 16, wherein applying the first bias removes at least about 80% of the conductive material layer.
19. The method of claim 17, wherein the second polishing composition comprises:
from about 0.1 wt % to about 5 wt % of phosphoric acid;
from about 0.1 wt % to about 5 wt % of at least one chelating agent; and
from about 0.01 wt % to about 1 wt % of the corrosion inhibitor.
20. The method of claim 19, wherein the at least one chelating agent of the second polishing composition is selected from the group consisting of glycine, ethylenediamine, ethylenediamine tetraacetate, citric acid, ammonium citrate, salts thereof, derivatives thereof, and combinations thereof.
21. The method of claim 20, wherein the corrosion inhibitor of the second polishing composition is selected from the group consisting of benzotriazole, 5-methyl-1-benzotriazole, salts thereof, derivatives thereof, and combinations thereof.
22. The method of claim 21, wherein the corrosion inhibitor of the second polishing composition is benzotriazole and the at least one chelating agent of the second polishing composition is glycine.
23. The method of claim 21, wherein the corrosion inhibitor of the second polishing composition is benzotriazole and the at least one chelating agent of the second polishing composition is ethylenediamine and ammonium citrate.
24. The method of claim 23, wherein the second polishing composition further comprises polyethylene glycol.
25. The method of claim 21, wherein the second polishing composition further comprises at least one member selected from the group consisting of abrasive particulates, hydrogen peroxide, derivatives thereof, and combinations thereof.
26. The method of claim 25, wherein the second polishing composition comprises at least one pH adjusting agent to provide a pH from about 4 to about 7 and a solvent.
27. The method of claim 17, wherein the first polishing composition has a conductivity in a range from about 30 mS to about 60 mS.
28. The method of claim 19, wherein the second polishing composition has a conductivity in a range from about 15 mS to about 40 mS.
29. The method of claim 27, wherein the first polishing composition comprises:
from about 1 wt % to about 10 wt % of phosphoric acid;
from about 0.1 wt % to about 6 wt % of the at least one chelating agent;
from about 0.01 wt % to about 1 wt % of the corrosion inhibitor;
from about 0.5 wt % to about 10 wt % of the salt;
from about 0.2 wt % to about 5 wt % of the oxidizer; and
from about 0.05 wt % to about 1 wt % of the abrasive particulates.
30. A method of processing a substrate having a conductive material layer disposed thereon, comprising:
positioning the substrate in a process apparatus comprising a first electrode and a second electrode;
supplying a first polishing composition between the first electrode and the substrate, wherein the polishing composition comprises phosphoric acid, at least one chelating agent, a corrosion inhibitor, a salt, an oxidizer, abrasive particulates, at least one pH adjusting agent to provide a pH from about 4 to about 7, and a solvent;
forming a passivation layer on the conductive material layer;
abrading the passivation layer to expose a portion of the conductive material layer;
applying a first bias between the first electrode and the second electrode;
removing at least about 50% of the conductive material layer;
separating the substrate from the first polishing composition;
exposing the substrate to a second polishing composition and a second bias; and
continuing to remove the conductive material layer.
31. The method of claim 30, wherein the conductive material layer comprises copper or a copper alloy.
32. The method of claim 31, wherein applying the first bias removes at least about 80% of the conductive material layer.
33. The method of claim 31, wherein the second polishing composition comprises:
from about 0.1 wt % to about 5 wt % of phosphoric acid;
from about 0.1 wt % to about 5 wt % of at least one chelating agent; and
from about 0.01 wt % to about 1 wt % of the corrosion inhibitor.
34. The method of claim 33, wherein the at least one chelating agent of the second polishing composition is selected from the group consisting of glycine, ethylenediamine, ethylenediamine tetraacetate, citric acid, ammonium citrate, salts thereof, derivatives thereof, and combinations thereof.
35. The method of claim 34, wherein the corrosion inhibitor of the second polishing composition is selected from the group consisting of benzotriazole, 5-methyl-1-benzotriazole, salts thereof, derivatives thereof, and combinations thereof.
36. The method of claim 35, wherein the corrosion inhibitor of the second polishing composition is benzotriazole and the at least one chelating agent of the second polishing composition is glycine.
37. The method of claim 35, wherein the corrosion inhibitor of the second polishing composition is benzotriazole and the at least one chelating agent of the second polishing composition is ethylenediamine and ammonium citrate.
38. The method of claim 37, wherein the second polishing composition further comprises polyethylene glycol.
39. The method of claim 35, wherein the second polishing composition further comprises at least one member selected from the group consisting of abrasive particulates, hydrogen peroxide, derivatives thereof and combinations thereof.
40. The method of claim 39, wherein the second polishing composition comprises at least one pH adjusting agent to provide a pH from about 4 to about 7 and a solvent.
41. The method of claim 31, wherein the first polishing composition has a conductivity in a range from about 30 mS to about 60 mS.
42. The method of claim 33, wherein the second polishing composition has a conductivity in a range from about 15 mS to about 40 mS.
43. The method of claim 41, wherein the first polishing composition comprises:
from about 1 wt % to about 10 wt % of phosphoric acid;
from about 0.1 wt % to about 6 wt % of the at least one chelating agent;
from about 0.01 wt % to about 1 wt % of the corrosion inhibitor;
from about 0.5 wt % to about 10 wt % of the salt;
from about 0.2 wt % to about 5 wt % of the oxidizer; and
from about 0.05 wt % to about 1 wt % of the abrasive particulates.
44. A method of processing a substrate having a conductive material layer disposed thereon, comprising:
providing the substrate to a process apparatus;
exposing the substrate to a first polishing composition, wherein the first polishing composition comprises:
from about 1 wt % to about 10 wt % of phosphoric acid;
from about 0.1 wt % to about 6 wt % of at least one chelating agent;
from about 0.01 wt % to about 1 wt % of a corrosion inhibitor;
from about 0.5 wt % to about 10 wt % of a salt;
from about 0.2 wt % to about 5 wt % of an oxidizer;
from about 0.05 wt % to about 1 wt % of an abrasive particulates;
at least one pH adjusting agent to provide a pH from about 4 to about 7; and
a solvent;
applying a first bias to the substrate;
removing at least 50% of the conductive material layer; and
exposing the substrate to a second polishing composition and a second bias to continue removing the conductive layer, wherein the second polishing composition comprises:
about 0.1 wt % to about 5 wt % of phosphoric acid;
from about 0.1 wt % to about 5 wt % of at least one chelating agent; and
from about 0.01 wt % to about 1 wt % of the corrosion inhibitor.
45. The method of claim 44, wherein the conductive material layer comprises copper or a copper alloy.
46. The method of claim 45, wherein applying the first bias removes at least about 80% of the conductive material layer.
47. The method of claim 44, wherein the at least one chelating agent of the second polishing composition is selected from the group consisting of glycine, ethylenediamine, ethylenediamine tetraacetate, citric acid, ammonium citrate, salts thereof, derivatives thereof, and combinations thereof.
48. The method of claim 47, wherein the corrosion inhibitor of the second polishing composition is selected from the group consisting of benzotriazole, 5-methyl-1-benzotriazole, salts thereof, derivatives thereof, and combinations thereof.
49. The method of claim 48, wherein the corrosion inhibitor of the second polishing composition is benzotriazole and the at least one chelating agent of the second polishing composition is ethylenediamine and ammonium citrate.
50. The method of claim 48, wherein the corrosion inhibitor of the second polishing composition is benzotriazole and the at least one chelating agent of the second polishing composition is glycine.
51. The method of claim 50, wherein the second polishing composition further comprises polyethylene glycol.
52. The method of claim 48, wherein the second polishing composition further comprises at least one member selected from the group consisting of abrasive particulates, hydrogen peroxide, derivatives thereof, and combinations thereof.
53. The method of claim 52, wherein the second polishing composition comprises at least one pH adjusting agent to provide a pH from about 4 to about 7 and a solvent
54. The method of claim 45, wherein the first polishing composition has a conductivity in a range from about 30 mS to about 60 mS.
55. The method of claim 47, wherein the second polishing composition has a conductivity in a range from about 15 mS to about 40 mS.
56. A method of processing a substrate having a conductive material layer disposed thereon, comprising:
positioning the substrate in a process apparatus;
exposing the substrate to a first polishing composition with a first conductivity in a range from about 30 mS to about 60 mS and comprising an oxidizer and abrasive particulates;
applying a first bias to the substrate;
exposing the substrate to a second polishing composition with a second conductivity in a range from about 15 mS to about 40 mS;
applying a second bias to the substrate; and
continuing to remove the conductive layer.
57. A method of processing a substrate having a conductive material layer disposed thereon, comprising:
positioning the substrate in a process apparatus;
exposing the substrate to a first polishing composition comprising phosphoric acid, at least one chelating agent, a corrosion inhibitor, a salt, an oxidizer, abrasive particulates, at least one pH adjusting agent to provide a pH from about 4 to about 7, and a solvent;
applying a first bias to the substrate;
exposing the substrate to a second polishing composition comprising phosphoric acid, at least one chelating agent, a corrosion inhibitor, abrasive particulates, at least one pH adjusting agent to provide a pH from about 4 to about 7, and a solvent;
applying a second bias to the substrate; and
continuing to remove the conductive layer.
US10/845,754 2001-03-14 2004-05-14 Method and composition for fine copper slurry for low dishing in ECMP Abandoned US20040248412A1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US10/845,754 US20040248412A1 (en) 2003-06-06 2004-05-14 Method and composition for fine copper slurry for low dishing in ECMP
US11/123,274 US7582564B2 (en) 2001-03-14 2005-05-05 Process and composition for conductive material removal by electrochemical mechanical polishing
US11/196,876 US7323416B2 (en) 2001-03-14 2005-08-04 Method and composition for polishing a substrate
US11/312,823 US7390429B2 (en) 2003-06-06 2005-12-19 Method and composition for electrochemical mechanical polishing processing
US11/356,352 US20060169597A1 (en) 2001-03-14 2006-02-15 Method and composition for polishing a substrate
US11/838,512 US20070290166A1 (en) 2001-03-14 2007-08-14 Method and composition for polishing a substrate

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/456,220 US7232514B2 (en) 2001-03-14 2003-06-06 Method and composition for polishing a substrate
US10/608,404 US7160432B2 (en) 2001-03-14 2003-06-26 Method and composition for polishing a substrate
US10/845,754 US20040248412A1 (en) 2003-06-06 2004-05-14 Method and composition for fine copper slurry for low dishing in ECMP

Related Parent Applications (2)

Application Number Title Priority Date Filing Date
US10/456,220 Continuation-In-Part US7232514B2 (en) 2001-03-14 2003-06-06 Method and composition for polishing a substrate
US10/608,404 Continuation-In-Part US7160432B2 (en) 2001-03-14 2003-06-26 Method and composition for polishing a substrate

Related Child Applications (3)

Application Number Title Priority Date Filing Date
US11/123,274 Continuation-In-Part US7582564B2 (en) 2001-03-14 2005-05-05 Process and composition for conductive material removal by electrochemical mechanical polishing
US11/123,174 Continuation-In-Part US20050189074A1 (en) 2001-03-14 2005-05-06 Gas processing apparatus and method and computer storage medium storing program for controlling same
US11/312,823 Continuation-In-Part US7390429B2 (en) 2003-06-06 2005-12-19 Method and composition for electrochemical mechanical polishing processing

Publications (1)

Publication Number Publication Date
US20040248412A1 true US20040248412A1 (en) 2004-12-09

Family

ID=33493108

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/608,404 Expired - Fee Related US7160432B2 (en) 2001-03-14 2003-06-26 Method and composition for polishing a substrate
US10/845,754 Abandoned US20040248412A1 (en) 2001-03-14 2004-05-14 Method and composition for fine copper slurry for low dishing in ECMP

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/608,404 Expired - Fee Related US7160432B2 (en) 2001-03-14 2003-06-26 Method and composition for polishing a substrate

Country Status (5)

Country Link
US (2) US7160432B2 (en)
JP (1) JP2007520871A (en)
KR (1) KR20060013686A (en)
TW (1) TW200513521A (en)
WO (1) WO2004111146A1 (en)

Cited By (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030234184A1 (en) * 2001-03-14 2003-12-25 Applied Materials, Inc. Method and composition for polishing a substrate
US20040154931A1 (en) * 2003-02-12 2004-08-12 Akihisa Hongo Polishing liquid, polishing method and polishing apparatus
US20050263407A1 (en) * 2004-05-28 2005-12-01 Cabot Microelectronics Corporation Electrochemical-mechanical polishing composition and method for using the same
US20060118760A1 (en) * 2004-12-03 2006-06-08 Yang Andy C Slurry composition and methods for chemical mechanical polishing
US20060121725A1 (en) * 2004-12-03 2006-06-08 Basol Bulent M Method and system for electroprocessing conductive layers
US20060141913A1 (en) * 2004-12-23 2006-06-29 3M Innovative Properties Company Wafer planarization composition and method of use
US20060163083A1 (en) * 2005-01-21 2006-07-27 International Business Machines Corporation Method and composition for electro-chemical-mechanical polishing
US20060175298A1 (en) * 2005-02-07 2006-08-10 Junzi Zhao Method and composition for polishing a substrate
US20060186089A1 (en) * 2005-02-23 2006-08-24 Jsr Corporation Chemical mechanical polishing method
US20060223320A1 (en) * 2005-03-30 2006-10-05 Cooper Kevin E Polishing technique to minimize abrasive removal of material and composition therefor
WO2006121600A2 (en) * 2005-05-05 2006-11-16 Applied Materials, Inc. Process and composition for conductive material removal by electrochemical mechanical polishing
US20060281196A1 (en) * 2005-06-13 2006-12-14 Cabot Microelectronics Corporation Controlled electrochemical polishing method
US20070068902A1 (en) * 2005-09-29 2007-03-29 Yasushi Matsunami Polishing composition and polishing method
WO2007047454A2 (en) * 2005-10-14 2007-04-26 Applied Materials, Inc. Process and composition for electrochemical mechanical polishing
US20070144915A1 (en) * 2005-12-22 2007-06-28 Applied Materials, Inc. Process and composition for passivating a substrate during electrochemical mechanical polishing
US20070161250A1 (en) * 2006-01-09 2007-07-12 Applied Materials, Inc. Method for electrochemically mechanically polishing a conductive material on a substrate
US20070219103A1 (en) * 2006-03-17 2007-09-20 Applied Materials, Inc. Novel rinse solution to remove cross-contamination
US20070221495A1 (en) * 2006-03-23 2007-09-27 Applied Materials, Inc. Electropolish assisted electrochemical mechanical polishing apparatus
US20070243709A1 (en) * 2006-04-14 2007-10-18 Applied Materials, Inc. Planarization of substrates at a high polishing rate using electrochemical mechanical polishing
US20080142375A1 (en) * 2006-12-13 2008-06-19 Francois Doniat Electrolyte formulation for electrochemical mechanical planarization
US20090061741A1 (en) * 2007-09-04 2009-03-05 Zhihong Wang Ecmp polishing sequence to improve planarity and defect performance
US7879255B2 (en) 2005-11-04 2011-02-01 Applied Materials, Inc. Method and composition for electrochemically polishing a conductive material on a substrate
US20120024818A1 (en) * 2009-02-16 2012-02-02 Hitachi Chemical Company, Ltd. Polishing agent for copper polishing and polishing method using same
US8586481B2 (en) 2010-06-01 2013-11-19 Applied Materials, Inc. Chemical planarization of copper wafer polishing
US8845915B2 (en) 2009-02-16 2014-09-30 Hitachi Chemical Company, Ltd. Abrading agent and abrading method
WO2020256932A1 (en) * 2019-06-17 2020-12-24 Applied Materials, Inc. Planarization methods for packaging substrates
US11063169B2 (en) 2019-05-10 2021-07-13 Applied Materials, Inc. Substrate structuring methods
US11232951B1 (en) 2020-07-14 2022-01-25 Applied Materials, Inc. Method and apparatus for laser drilling blind vias
US11257790B2 (en) 2020-03-10 2022-02-22 Applied Materials, Inc. High connectivity device stacking
US11264331B2 (en) 2019-05-10 2022-03-01 Applied Materials, Inc. Package structure and fabrication methods
US11342256B2 (en) 2019-01-24 2022-05-24 Applied Materials, Inc. Method of fine redistribution interconnect formation for advanced packaging applications
US11400545B2 (en) 2020-05-11 2022-08-02 Applied Materials, Inc. Laser ablation for package fabrication
US11404318B2 (en) 2020-11-20 2022-08-02 Applied Materials, Inc. Methods of forming through-silicon vias in substrates for advanced packaging
US11454884B2 (en) 2020-04-15 2022-09-27 Applied Materials, Inc. Fluoropolymer stamp fabrication method
US11521937B2 (en) 2020-11-16 2022-12-06 Applied Materials, Inc. Package structures with built-in EMI shielding
US11643573B2 (en) * 2017-03-14 2023-05-09 Fujimi Incorporated Polishing composition, production method therefor, and polishing method and production method for substrate, using polishing composition
US11676832B2 (en) 2020-07-24 2023-06-13 Applied Materials, Inc. Laser ablation system for package fabrication
US11705365B2 (en) 2021-05-18 2023-07-18 Applied Materials, Inc. Methods of micro-via formation for advanced packaging
US11862546B2 (en) 2019-11-27 2024-01-02 Applied Materials, Inc. Package core assembly and fabrication methods
US11927885B2 (en) 2022-08-08 2024-03-12 Applied Materials, Inc. Fluoropolymer stamp fabrication method

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7128825B2 (en) * 2001-03-14 2006-10-31 Applied Materials, Inc. Method and composition for polishing a substrate
US6811680B2 (en) * 2001-03-14 2004-11-02 Applied Materials Inc. Planarization of substrates using electrochemical mechanical polishing
US7160432B2 (en) * 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
US7323416B2 (en) * 2001-03-14 2008-01-29 Applied Materials, Inc. Method and composition for polishing a substrate
US6899804B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Electrolyte composition and treatment for electrolytic chemical mechanical polishing
US20060169597A1 (en) * 2001-03-14 2006-08-03 Applied Materials, Inc. Method and composition for polishing a substrate
US6632026B2 (en) * 2001-08-24 2003-10-14 Nihon Microcoating Co., Ltd. Method of polishing optical fiber connector
US20070295611A1 (en) * 2001-12-21 2007-12-27 Liu Feng Q Method and composition for polishing a substrate
US7004819B2 (en) * 2002-01-18 2006-02-28 Cabot Microelectronics Corporation CMP systems and methods utilizing amine-containing polymers
JP2004288929A (en) * 2003-03-24 2004-10-14 Renesas Technology Corp Method of manufacturing semiconductor device
US7390429B2 (en) * 2003-06-06 2008-06-24 Applied Materials, Inc. Method and composition for electrochemical mechanical polishing processing
US20060021974A1 (en) * 2004-01-29 2006-02-02 Applied Materials, Inc. Method and composition for polishing a substrate
US7390744B2 (en) * 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
DE102004060507A1 (en) * 2004-12-16 2006-06-29 Forschungszentrum Karlsruhe Gmbh Process for the electrochemical removal of refractory metals or alloys and solution for carrying out this process
US20060169674A1 (en) * 2005-01-28 2006-08-03 Daxin Mao Method and composition for polishing a substrate
US20060196778A1 (en) * 2005-01-28 2006-09-07 Renhe Jia Tungsten electroprocessing
US20060219663A1 (en) * 2005-03-31 2006-10-05 Applied Materials, Inc. Metal CMP process on one or more polishing stations using slurries with oxidizers
US7468322B1 (en) * 2005-04-26 2008-12-23 Novellus Systems, Inc. Methods of multi-step electrochemical mechanical planarization of Cu
US20060249395A1 (en) * 2005-05-05 2006-11-09 Applied Material, Inc. Process and composition for electrochemical mechanical polishing
US20060249394A1 (en) * 2005-05-05 2006-11-09 Applied Materials, Inc. Process and composition for electrochemical mechanical polishing
US20060270234A1 (en) * 2005-05-27 2006-11-30 Varughese Mathew Method and composition for preparing a semiconductor surface for deposition of a barrier material
JP2007123523A (en) * 2005-10-27 2007-05-17 Ebara Corp Polishing method, polishing device, and electrolytic polishing device
US20070158207A1 (en) * 2006-01-06 2007-07-12 Applied Materials, Inc. Methods for electrochemical processing with pre-biased cells
US20070254485A1 (en) * 2006-04-28 2007-11-01 Daxin Mao Abrasive composition for electrochemical mechanical polishing
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
US20090078583A1 (en) * 2007-01-22 2009-03-26 Itsuki Kobata Electrochemical mechanical polishing method and electrochemical mechanical polishing apparatus
US8089055B2 (en) * 2008-02-05 2012-01-03 Adam Alexander Brailove Ion beam processing apparatus
US9202709B2 (en) * 2008-03-19 2015-12-01 Fujifilm Corporation Polishing liquid for metal and polishing method using the same
US20120055805A1 (en) * 2008-07-02 2012-03-08 Kirchoff James A Cavitation assisted sonochemical hydrogen production system
US20100096360A1 (en) * 2008-10-20 2010-04-22 Applied Materials, Inc. Compositions and methods for barrier layer polishing
CN102197124B (en) 2008-10-21 2013-12-18 高级技术材料公司 Copper cleaning and protection formulations
JPWO2011093195A1 (en) * 2010-01-27 2013-06-06 Jsr株式会社 Chemical mechanical polishing aqueous dispersion, chemical mechanical polishing method using the same, and chemical mechanical polishing aqueous dispersion preparation kit
US8703004B2 (en) * 2011-11-14 2014-04-22 Kabushiki Kaisha Toshiba Method for chemical planarization and chemical planarization apparatus
US20130241075A1 (en) * 2012-03-13 2013-09-19 Macronix International Co., Ltd. Contact or via critical dimension control with novel closed loop control system in chemical mechanical planarization process
US9074295B2 (en) * 2013-03-15 2015-07-07 Raytheon Company Methods of etching carbon nanotube sheet material for electrical circuit and thin film thermal structure applications
JP6251043B2 (en) * 2014-01-08 2017-12-20 株式会社荏原製作所 Etching solution, etching method, and solder bump manufacturing method
JP2016092247A (en) * 2014-11-06 2016-05-23 株式会社ディスコ Method for polishing silicon carbide substrate
US20170194192A1 (en) * 2015-12-31 2017-07-06 Tokyo Electron Limited Metal filling and planarization of recessed features
JP2023103839A (en) * 2022-01-14 2023-07-27 株式会社デンソー Semiconductor wafer surface processing method

Citations (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2582020A (en) * 1947-07-15 1952-01-08 Gen Motors Corp Electrolytic polishing
US3239441A (en) * 1961-06-09 1966-03-08 Marosi Prec Products Co Inc Method and apparatus for electrolytic production of printed circuits
US3873512A (en) * 1973-04-30 1975-03-25 Martin Marietta Corp Machining method
US4263113A (en) * 1980-06-02 1981-04-21 Sprague Electric Company Electrochemical removal of surface copper from aluminum foil
US4369099A (en) * 1981-01-08 1983-01-18 Bell Telephone Laboratories, Incorporated Photoelectrochemical etching of semiconductors
US4663005A (en) * 1985-11-15 1987-05-05 Edson Gwynne I Electropolishing process
US4666683A (en) * 1985-11-21 1987-05-19 Eco-Tec Limited Process for removal of copper from solutions of chelating agent and copper
US4934102A (en) * 1988-10-04 1990-06-19 International Business Machines Corporation System for mechanical planarization
US4992135A (en) * 1990-07-24 1991-02-12 Micron Technology, Inc. Method of etching back of tungsten layers on semiconductor wafers, and solution therefore
US5002845A (en) * 1987-09-21 1991-03-26 Seiko Epson Corporation Electrophotographic image forming member and method and apparatus for transferring electrophotographic images formed on the member
US5002645A (en) * 1989-07-27 1991-03-26 Saginaw Valley State University Process of separating and recovering metal values from a waste stream
US5096550A (en) * 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5114548A (en) * 1990-08-09 1992-05-19 Extrude Hone Corporation Orbital electrochemical machining
US5129981A (en) * 1991-03-14 1992-07-14 General Motors Corporation Method of selectively etching silicon
US5209816A (en) * 1992-06-04 1993-05-11 Micron Technology, Inc. Method of chemical mechanical polishing aluminum containing metal layers and slurry for chemical mechanical polishing
US5217586A (en) * 1992-01-09 1993-06-08 International Business Machines Corporation Electrochemical tool for uniform metal removal during electropolishing
US5225034A (en) * 1992-06-04 1993-07-06 Micron Technology, Inc. Method of chemical mechanical polishing predominantly copper containing metal layers in semiconductor processing
US5391258A (en) * 1993-05-26 1995-02-21 Rodel, Inc. Compositions and methods for polishing
US5407526A (en) * 1993-06-30 1995-04-18 Intel Corporation Chemical mechanical polishing slurry delivery and mixing system
US5770706A (en) * 1995-06-07 1998-06-23 National Starch And Chemical Investment Holding Corporation Snap-cure epoxy adhesives
US5770095A (en) * 1994-07-12 1998-06-23 Kabushiki Kaisha Toshiba Polishing agent and polishing method using the same
US5866031A (en) * 1996-06-19 1999-02-02 Sematech, Inc. Slurry formulation for chemical mechanical polishing of metals
US5880003A (en) * 1992-11-27 1999-03-09 Nec Corporation Method of giving a substantially flat surface of a semiconductor device through a polishing operation
US5897375A (en) * 1997-10-20 1999-04-27 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for copper and method of use in integrated circuit manufacture
US5911619A (en) * 1997-03-26 1999-06-15 International Business Machines Corporation Apparatus for electrochemical mechanical planarization
US6056864A (en) * 1998-10-13 2000-05-02 Advanced Micro Devices, Inc. Electropolishing copper film to enhance CMP throughput
US6056664A (en) * 1999-02-12 2000-05-02 Mimura; Kenji Differential gear
US6063306A (en) * 1998-06-26 2000-05-16 Cabot Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrate
US6063006A (en) * 1999-03-12 2000-05-16 Chiu; Ciber Grip exercise device
US6066030A (en) * 1999-03-04 2000-05-23 International Business Machines Corporation Electroetch and chemical mechanical polishing equipment
US6077412A (en) * 1997-08-22 2000-06-20 Cutek Research, Inc. Rotating anode for a wafer processing chamber
US6171352B1 (en) * 1998-07-23 2001-01-09 Eternal Chemical Co., Ltd. Chemical mechanical abrasive composition for use in semiconductor processing
US6176992B1 (en) * 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6177026B1 (en) * 1998-05-26 2001-01-23 Cabot Microelectronics Corporation CMP slurry containing a solid catalyst
US6190237B1 (en) * 1997-11-06 2001-02-20 International Business Machines Corporation pH-buffered slurry and use thereof for polishing
US6194317B1 (en) * 1998-04-30 2001-02-27 3M Innovative Properties Company Method of planarizing the upper surface of a semiconductor wafer
US6206756B1 (en) * 1998-11-10 2001-03-27 Micron Technology, Inc. Tungsten chemical-mechanical polishing process using a fixed abrasive polishing pad and a tungsten layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6218305B1 (en) * 1996-09-27 2001-04-17 Rodel Holdings, Inc. Composition and method for polishing a composite of silica and silicon nitride
US6217416B1 (en) * 1998-06-26 2001-04-17 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrates
US6234870B1 (en) * 1999-08-24 2001-05-22 International Business Machines Corporation Serial intelligent electro-chemical-mechanical wafer processor
US6238592B1 (en) * 1999-03-10 2001-05-29 3M Innovative Properties Company Working liquids and methods for modifying structured wafers suited for semiconductor fabrication
US6248222B1 (en) * 1998-09-08 2001-06-19 Acm Research, Inc. Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
US20020008036A1 (en) * 1998-02-12 2002-01-24 Hui Wang Plating apparatus and method
US20020016064A1 (en) * 2000-05-29 2002-02-07 Naoki Komai Method of manufactuing a semiconductor device
US20020016272A1 (en) * 2000-07-05 2002-02-07 Wako Pure Chemical Industries, Ltd. Cleaning agent for a semi-conductor substrate
US6348076B1 (en) * 1999-10-08 2002-02-19 International Business Machines Corporation Slurry for mechanical polishing (CMP) of metals and use thereof
US6355075B1 (en) * 2000-02-11 2002-03-12 Fujimi Incorporated Polishing composition
US6354916B1 (en) * 2000-02-11 2002-03-12 Nu Tool Inc. Modified plating solution for plating and planarization and process utilizing same
US6355153B1 (en) * 1999-09-17 2002-03-12 Nutool, Inc. Chip interconnect and packaging deposition methods and structures
US20020040100A1 (en) * 2000-07-13 2002-04-04 Sumitomo Chemical Company, Limited Low temprature heat-sealable polypropylene-based film
US6375693B1 (en) * 1999-05-07 2002-04-23 International Business Machines Corporation Chemical-mechanical planarization of barriers or liners for copper metallurgy
US6395152B1 (en) * 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US20020070125A1 (en) * 2000-12-13 2002-06-13 Nova Crystals, Inc. Method for lift-off of epitaxially grown semiconductors by electrochemical anodic etching
US20020072309A1 (en) * 2000-09-27 2002-06-13 Shuzo Sato Polishing method and polishing apparatus
US20020070126A1 (en) * 2000-09-19 2002-06-13 Shuzo Sato Polishing method, polishing apparatus, plating method, and plating apparatus
US20020074230A1 (en) * 2000-08-10 2002-06-20 Bulent Basol Plating method and apparatus that creates a differential between additive disposed on a top surface and a cavity surface of a workpiece using an external influence
US6508952B1 (en) * 1999-06-16 2003-01-21 Eternal Chemical Co., Ltd. Chemical mechanical abrasive composition for use in semiconductor processing
US20030038038A1 (en) * 2001-07-20 2003-02-27 Basol Bulent M. Multi step electrodeposition process for reducing defects and minimizing film thickness
US6541384B1 (en) * 2000-09-08 2003-04-01 Applied Materials, Inc. Method of initiating cooper CMP process
US20030073386A1 (en) * 2001-08-14 2003-04-17 Ying Ma Chemical mechanical polishing compositions for metal and associated materials and method of using same
US20030073311A1 (en) * 1999-07-19 2003-04-17 Joseph Levert Compositions and processes for spin etch planarization
US6551935B1 (en) * 2000-08-31 2003-04-22 Micron Technology, Inc. Slurry for use in polishing semiconductor device conductive structures that include copper and tungsten and polishing methods
US6555158B1 (en) * 1999-01-22 2003-04-29 Sony Corporation Method and apparatus for plating, and plating structure
US20030083214A1 (en) * 2000-03-21 2003-05-01 Masahiko Kakizawa Semiconductor wafer cleaning agent and cleaning method
US20030079416A1 (en) * 2001-08-14 2003-05-01 Ying Ma Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6562719B2 (en) * 2000-08-04 2003-05-13 Hitachi, Ltd. Methods of polishing, interconnect-fabrication, and producing semiconductor devices
US6565619B1 (en) * 2001-10-19 2003-05-20 Fujimi Incorporated Polishing composition and polishing method employing it
US20030104762A1 (en) * 2001-11-30 2003-06-05 Shuzo Sato Polishing method and electropolishing apparatus
US6579153B2 (en) * 2000-01-12 2003-06-17 Jsr Corporation Aqueous dispersion for chemical mechanical polishing and chemical mechanical polishing process
US20030114004A1 (en) * 2000-03-09 2003-06-19 Shuzo Sato Methods of producing and polishing semiconductor device and polishing apparatus
US20030113996A1 (en) * 2000-10-13 2003-06-19 Takeshi Nogami Semiconductor production device and production method for semiconductor device
US20030115475A1 (en) * 2001-07-12 2003-06-19 Russo Anthony P. Biometrically enhanced digital certificates and system and method for making and using
US6582579B1 (en) * 2000-03-24 2003-06-24 Nutool, Inc. Methods for repairing defects on a semiconductor substrate
US20030116446A1 (en) * 2001-12-21 2003-06-26 Alain Duboust Electrolyte composition and treatment for electrolytic chemical mechanical polishing
US20030119311A1 (en) * 2001-07-20 2003-06-26 Basol Bulent M. Planar metal electroprocessing
US6676484B2 (en) * 1998-11-10 2004-01-13 Micron Technology, Inc. Copper chemical-mechanical polishing process using a fixed abrasive polishing pad and a copper layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6679929B2 (en) * 2001-01-31 2004-01-20 Fujimi Incorporated Polishing composition and polishing method employing it
US6679928B2 (en) * 2001-04-12 2004-01-20 Rodel Holdings, Inc. Polishing composition having a surfactant
US6693036B1 (en) * 1999-09-07 2004-02-17 Sony Corporation Method for producing semiconductor device polishing apparatus, and polishing method
US6699299B2 (en) * 1998-06-10 2004-03-02 Rodel Holdings, Inc. Composition and method for polishing in metal CMP
US20040043582A1 (en) * 2002-08-29 2004-03-04 Dinesh Chopra Method and apparatus for simultaneously removing multiple conductive materials from microelectronic substrates
US20040053499A1 (en) * 2001-03-14 2004-03-18 Applied Materials, Inc. Method and composition for polishing a substrate
US6736952B2 (en) * 2001-02-12 2004-05-18 Speedfam-Ipec Corporation Method and apparatus for electrochemical planarization of a workpiece
US6852630B2 (en) * 2001-04-23 2005-02-08 Asm Nutool, Inc. Electroetching process and system
US6858531B1 (en) * 2002-07-12 2005-02-22 Lsi Logic Corporation Electro chemical mechanical polishing method
US20050044803A1 (en) * 2002-12-09 2005-03-03 Siddiqui Junaid Ahmed Composition and associated methods for chemical mechanical planarization having high selectivity for metal removal
US20050079803A1 (en) * 2003-10-10 2005-04-14 Siddiqui Junaid Ahmed Chemical-mechanical planarization composition having PVNO and associated method for use
US20050076578A1 (en) * 2003-10-10 2005-04-14 Siddiqui Junaid Ahmed Tunable composition and method for chemical-mechanical planarization with aspartic acid/tolyltriazole
US20050076579A1 (en) * 2003-10-10 2005-04-14 Siddiqui Junaid Ahmed Bicine/tricine containing composition and method for chemical-mechanical planarization
US20050079718A1 (en) * 2003-10-10 2005-04-14 Siddiqui Junaid Ahmed Chemical-mechanical planarization composition with nitrogen containing polymer and method for use
US6902659B2 (en) * 1998-12-01 2005-06-07 Asm Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US20060009033A1 (en) * 2002-05-31 2006-01-12 Basol Bulent M Defect-free thin and planar film processing
US20060006074A1 (en) * 2001-03-14 2006-01-12 Liu Feng Q Method and composition for polishing a substrate

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4793895A (en) 1988-01-25 1988-12-27 Ibm Corporation In situ conductivity monitoring technique for chemical/mechanical planarization endpoint detection
US4941082A (en) 1988-04-25 1990-07-10 Electro Scientific Industries, Inc. Light beam positioning system
US5256565A (en) * 1989-05-08 1993-10-26 The United States Of America As Represented By The United States Department Of Energy Electrochemical planarization
EP0650740B1 (en) 1993-10-27 1999-09-22 Schneider (Europe) GmbH Interventional catheter
US5340370A (en) 1993-11-03 1994-08-23 Intel Corporation Slurries for chemical mechanical polishing
US5534106A (en) * 1994-07-26 1996-07-09 Kabushiki Kaisha Toshiba Apparatus for processing semiconductor wafers
US5567300A (en) * 1994-09-02 1996-10-22 Ibm Corporation Electrochemical metal removal technique for planarization of surfaces
JP3053537B2 (en) * 1994-11-08 2000-06-19 株式会社ヤクルト本社 Brain function improver
US5486282A (en) 1994-11-30 1996-01-23 Ibm Corporation Electroetching process for seed layer removal in electrochemical fabrication of wafers
US5714007A (en) 1995-06-06 1998-02-03 David Sarnoff Research Center, Inc. Apparatus for electrostatically depositing a medicament powder upon predefined regions of a substrate
US5575706A (en) * 1996-01-11 1996-11-19 Taiwan Semiconductor Manufacturing Company Ltd. Chemical/mechanical planarization (CMP) apparatus and polish method
DE69734868T2 (en) 1996-07-25 2006-08-03 Dupont Air Products Nanomaterials L.L.C., Tempe COMPOSITION AND METHOD FOR CHEMICAL-MECHANICAL POLISHING
US5783489A (en) 1996-09-24 1998-07-21 Cabot Corporation Multi-oxidizer slurry for chemical mechanical polishing
US5846882A (en) 1996-10-03 1998-12-08 Applied Materials, Inc. Endpoint detector for a chemical mechanical polishing system
US6126853A (en) * 1996-12-09 2000-10-03 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US5954997A (en) 1996-12-09 1999-09-21 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
US5807165A (en) * 1997-03-26 1998-09-15 International Business Machines Corporation Method of electrochemical mechanical planarization
JPH1110540A (en) * 1997-06-23 1999-01-19 Speedfam Co Ltd Slurry recycling system of cmp device and its method
US6099604A (en) 1997-08-21 2000-08-08 Micron Technology, Inc. Slurry with chelating agent for chemical-mechanical polishing of a semiconductor wafer and methods related thereto
US6001730A (en) 1997-10-20 1999-12-14 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for polishing copper interconnects which use tantalum-based barrier layers
US6303551B1 (en) 1997-10-21 2001-10-16 Lam Research Corporation Cleaning solution and method for cleaning semiconductor substrates after polishing of cooper film
JPH11193817A (en) 1997-10-29 1999-07-21 Ntn Corp Self-aligning roller bearing
JP3371775B2 (en) * 1997-10-31 2003-01-27 株式会社日立製作所 Polishing method
US6096652A (en) * 1997-11-03 2000-08-01 Motorola, Inc. Method of chemical mechanical planarization using copper coordinating ligands
US6103096A (en) 1997-11-12 2000-08-15 International Business Machines Corporation Apparatus and method for the electrochemical etching of a wafer
US6153043A (en) 1998-02-06 2000-11-28 International Business Machines Corporation Elimination of photo-induced electrochemical dissolution in chemical mechanical polishing
US6004880A (en) 1998-02-20 1999-12-21 Lsi Logic Corporation Method of single step damascene process for deposition and global planarization
US6143155A (en) * 1998-06-11 2000-11-07 Speedfam Ipec Corp. Method for simultaneous non-contact electrochemical plating and planarizing of semiconductor wafers using a bipiolar electrode assembly
US6447668B1 (en) * 1998-07-09 2002-09-10 Acm Research, Inc. Methods and apparatus for end-point detection
US6143656A (en) * 1998-10-22 2000-11-07 Advanced Micro Devices, Inc. Slurry for chemical mechanical polishing of copper
US6315883B1 (en) * 1998-10-26 2001-11-13 Novellus Systems, Inc. Electroplanarization of large and small damascene features using diffusion barriers and electropolishing
US6083840A (en) 1998-11-25 2000-07-04 Arch Specialty Chemicals, Inc. Slurry compositions and method for the chemical-mechanical polishing of copper and copper alloys
JP4053165B2 (en) 1998-12-01 2008-02-27 株式会社フジミインコーポレーテッド Polishing composition and polishing method using the same
KR100447551B1 (en) 1999-01-18 2004-09-08 가부시끼가이샤 도시바 Composite Particles and Production Process Thereof, Aqueous Dispersion, Aqueous Dispersion Composition for Chemical Mechanical Polishing, and Process for Manufacture of Semiconductor Apparatus
US6258711B1 (en) 1999-04-19 2001-07-10 Speedfam-Ipec Corporation Sacrificial deposit to improve damascene pattern planarization in semiconductor wafers
US6419554B2 (en) 1999-06-24 2002-07-16 Micron Technology, Inc. Fixed abrasive chemical-mechanical planarization of titanium nitride
US6429133B1 (en) 1999-08-31 2002-08-06 Micron Technology, Inc. Composition compatible with aluminum planarization and methods therefore
TW499471B (en) 1999-09-01 2002-08-21 Eternal Chemical Co Ltd Chemical mechanical/abrasive composition for semiconductor processing
JP4264781B2 (en) 1999-09-20 2009-05-20 株式会社フジミインコーポレーテッド Polishing composition and polishing method
US6258721B1 (en) * 1999-12-27 2001-07-10 General Electric Company Diamond slurry for chemical-mechanical planarization of semiconductor wafers
EP1218144A1 (en) 2000-01-18 2002-07-03 Rodel Holdings, Inc. Dissolution of metal particles produced by polishing
WO2001077241A2 (en) * 2000-04-05 2001-10-18 Applied Materials, Inc. Composition for metal cmp with low dishing and overpolish insensitivity
US6416685B1 (en) * 2000-04-11 2002-07-09 Honeywell International Inc. Chemical mechanical planarization of low dielectric constant materials
US6946068B2 (en) * 2000-06-09 2005-09-20 Catalytic Distillation Technologies Process for desulfurization of cracked naphtha
TW571005B (en) * 2000-06-29 2004-01-11 Ebara Corp Method and apparatus for forming copper interconnects, and polishing liquid and polishing method
US6455479B1 (en) 2000-08-03 2002-09-24 Shipley Company, L.L.C. Stripping composition
JP2002075927A (en) 2000-08-24 2002-03-15 Fujimi Inc Composition for polishing and polishing method using it
US20020104764A1 (en) * 2000-11-20 2002-08-08 Gautam Banerjee Electropolishing and chemical mechanical planarization
US6596152B2 (en) * 2001-02-09 2003-07-22 Industrial Technology Research Institute Method and device for simultaneous arc processing and chemical etching
JP2002254248A (en) * 2001-02-28 2002-09-10 Sony Corp Electrochemical machining device
US6811680B2 (en) * 2001-03-14 2004-11-02 Applied Materials Inc. Planarization of substrates using electrochemical mechanical polishing
US7582564B2 (en) * 2001-03-14 2009-09-01 Applied Materials, Inc. Process and composition for conductive material removal by electrochemical mechanical polishing
US7128825B2 (en) * 2001-03-14 2006-10-31 Applied Materials, Inc. Method and composition for polishing a substrate
US6821409B2 (en) * 2001-04-06 2004-11-23 Asm-Nutool, Inc. Electroetching methods and systems using chemical and mechanical influence
US6783432B2 (en) * 2001-06-04 2004-08-31 Applied Materials Inc. Additives for pressure sensitive polishing compositions
JP3813865B2 (en) * 2001-12-11 2006-08-23 株式会社荏原製作所 Polishing method and polishing apparatus
JP2005518670A (en) * 2002-02-26 2005-06-23 アプライド マテリアルズ インコーポレイテッド Method and composition for polishing a substrate
US20040144038A1 (en) * 2002-12-09 2004-07-29 Junaid Ahmed Siddiqui Composition and associated method for oxide chemical mechanical planarization

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2582020A (en) * 1947-07-15 1952-01-08 Gen Motors Corp Electrolytic polishing
US3239441A (en) * 1961-06-09 1966-03-08 Marosi Prec Products Co Inc Method and apparatus for electrolytic production of printed circuits
US3873512A (en) * 1973-04-30 1975-03-25 Martin Marietta Corp Machining method
US4263113A (en) * 1980-06-02 1981-04-21 Sprague Electric Company Electrochemical removal of surface copper from aluminum foil
US4369099A (en) * 1981-01-08 1983-01-18 Bell Telephone Laboratories, Incorporated Photoelectrochemical etching of semiconductors
US4663005A (en) * 1985-11-15 1987-05-05 Edson Gwynne I Electropolishing process
US4666683A (en) * 1985-11-21 1987-05-19 Eco-Tec Limited Process for removal of copper from solutions of chelating agent and copper
US5002845A (en) * 1987-09-21 1991-03-26 Seiko Epson Corporation Electrophotographic image forming member and method and apparatus for transferring electrophotographic images formed on the member
US4934102A (en) * 1988-10-04 1990-06-19 International Business Machines Corporation System for mechanical planarization
US5002645A (en) * 1989-07-27 1991-03-26 Saginaw Valley State University Process of separating and recovering metal values from a waste stream
US4992135A (en) * 1990-07-24 1991-02-12 Micron Technology, Inc. Method of etching back of tungsten layers on semiconductor wafers, and solution therefore
US5114548A (en) * 1990-08-09 1992-05-19 Extrude Hone Corporation Orbital electrochemical machining
US5096550A (en) * 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5129981A (en) * 1991-03-14 1992-07-14 General Motors Corporation Method of selectively etching silicon
US5217586A (en) * 1992-01-09 1993-06-08 International Business Machines Corporation Electrochemical tool for uniform metal removal during electropolishing
US5209816A (en) * 1992-06-04 1993-05-11 Micron Technology, Inc. Method of chemical mechanical polishing aluminum containing metal layers and slurry for chemical mechanical polishing
US5225034A (en) * 1992-06-04 1993-07-06 Micron Technology, Inc. Method of chemical mechanical polishing predominantly copper containing metal layers in semiconductor processing
US5880003A (en) * 1992-11-27 1999-03-09 Nec Corporation Method of giving a substantially flat surface of a semiconductor device through a polishing operation
US5391258A (en) * 1993-05-26 1995-02-21 Rodel, Inc. Compositions and methods for polishing
US5407526A (en) * 1993-06-30 1995-04-18 Intel Corporation Chemical mechanical polishing slurry delivery and mixing system
US5770095A (en) * 1994-07-12 1998-06-23 Kabushiki Kaisha Toshiba Polishing agent and polishing method using the same
US5770706A (en) * 1995-06-07 1998-06-23 National Starch And Chemical Investment Holding Corporation Snap-cure epoxy adhesives
US5866031A (en) * 1996-06-19 1999-02-02 Sematech, Inc. Slurry formulation for chemical mechanical polishing of metals
US6218305B1 (en) * 1996-09-27 2001-04-17 Rodel Holdings, Inc. Composition and method for polishing a composite of silica and silicon nitride
US5911619A (en) * 1997-03-26 1999-06-15 International Business Machines Corporation Apparatus for electrochemical mechanical planarization
US6077412A (en) * 1997-08-22 2000-06-20 Cutek Research, Inc. Rotating anode for a wafer processing chamber
US5897375A (en) * 1997-10-20 1999-04-27 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for copper and method of use in integrated circuit manufacture
US6190237B1 (en) * 1997-11-06 2001-02-20 International Business Machines Corporation pH-buffered slurry and use thereof for polishing
US6391166B1 (en) * 1998-02-12 2002-05-21 Acm Research, Inc. Plating apparatus and method
US20020008036A1 (en) * 1998-02-12 2002-01-24 Hui Wang Plating apparatus and method
US6194317B1 (en) * 1998-04-30 2001-02-27 3M Innovative Properties Company Method of planarizing the upper surface of a semiconductor wafer
US6177026B1 (en) * 1998-05-26 2001-01-23 Cabot Microelectronics Corporation CMP slurry containing a solid catalyst
US6699299B2 (en) * 1998-06-10 2004-03-02 Rodel Holdings, Inc. Composition and method for polishing in metal CMP
US6063306A (en) * 1998-06-26 2000-05-16 Cabot Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrate
US6217416B1 (en) * 1998-06-26 2001-04-17 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrates
US6395152B1 (en) * 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6171352B1 (en) * 1998-07-23 2001-01-09 Eternal Chemical Co., Ltd. Chemical mechanical abrasive composition for use in semiconductor processing
US6248222B1 (en) * 1998-09-08 2001-06-19 Acm Research, Inc. Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
US6056864A (en) * 1998-10-13 2000-05-02 Advanced Micro Devices, Inc. Electropolishing copper film to enhance CMP throughput
US6402925B2 (en) * 1998-11-03 2002-06-11 Nutool, Inc. Method and apparatus for electrochemical mechanical deposition
US6176992B1 (en) * 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6676484B2 (en) * 1998-11-10 2004-01-13 Micron Technology, Inc. Copper chemical-mechanical polishing process using a fixed abrasive polishing pad and a copper layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6206756B1 (en) * 1998-11-10 2001-03-27 Micron Technology, Inc. Tungsten chemical-mechanical polishing process using a fixed abrasive polishing pad and a tungsten layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6902659B2 (en) * 1998-12-01 2005-06-07 Asm Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6555158B1 (en) * 1999-01-22 2003-04-29 Sony Corporation Method and apparatus for plating, and plating structure
US6056664A (en) * 1999-02-12 2000-05-02 Mimura; Kenji Differential gear
US6066030A (en) * 1999-03-04 2000-05-23 International Business Machines Corporation Electroetch and chemical mechanical polishing equipment
US6238592B1 (en) * 1999-03-10 2001-05-29 3M Innovative Properties Company Working liquids and methods for modifying structured wafers suited for semiconductor fabrication
US6063006A (en) * 1999-03-12 2000-05-16 Chiu; Ciber Grip exercise device
US6375693B1 (en) * 1999-05-07 2002-04-23 International Business Machines Corporation Chemical-mechanical planarization of barriers or liners for copper metallurgy
US6508952B1 (en) * 1999-06-16 2003-01-21 Eternal Chemical Co., Ltd. Chemical mechanical abrasive composition for use in semiconductor processing
US20030073311A1 (en) * 1999-07-19 2003-04-17 Joseph Levert Compositions and processes for spin etch planarization
US6234870B1 (en) * 1999-08-24 2001-05-22 International Business Machines Corporation Serial intelligent electro-chemical-mechanical wafer processor
US6693036B1 (en) * 1999-09-07 2004-02-17 Sony Corporation Method for producing semiconductor device polishing apparatus, and polishing method
US6355153B1 (en) * 1999-09-17 2002-03-12 Nutool, Inc. Chip interconnect and packaging deposition methods and structures
US6348076B1 (en) * 1999-10-08 2002-02-19 International Business Machines Corporation Slurry for mechanical polishing (CMP) of metals and use thereof
US6579153B2 (en) * 2000-01-12 2003-06-17 Jsr Corporation Aqueous dispersion for chemical mechanical polishing and chemical mechanical polishing process
US6354916B1 (en) * 2000-02-11 2002-03-12 Nu Tool Inc. Modified plating solution for plating and planarization and process utilizing same
US6355075B1 (en) * 2000-02-11 2002-03-12 Fujimi Incorporated Polishing composition
US20030114004A1 (en) * 2000-03-09 2003-06-19 Shuzo Sato Methods of producing and polishing semiconductor device and polishing apparatus
US20030083214A1 (en) * 2000-03-21 2003-05-01 Masahiko Kakizawa Semiconductor wafer cleaning agent and cleaning method
US6582579B1 (en) * 2000-03-24 2003-06-24 Nutool, Inc. Methods for repairing defects on a semiconductor substrate
US20020016064A1 (en) * 2000-05-29 2002-02-07 Naoki Komai Method of manufactuing a semiconductor device
US20020016272A1 (en) * 2000-07-05 2002-02-07 Wako Pure Chemical Industries, Ltd. Cleaning agent for a semi-conductor substrate
US20020040100A1 (en) * 2000-07-13 2002-04-04 Sumitomo Chemical Company, Limited Low temprature heat-sealable polypropylene-based film
US6562719B2 (en) * 2000-08-04 2003-05-13 Hitachi, Ltd. Methods of polishing, interconnect-fabrication, and producing semiconductor devices
US20020074230A1 (en) * 2000-08-10 2002-06-20 Bulent Basol Plating method and apparatus that creates a differential between additive disposed on a top surface and a cavity surface of a workpiece using an external influence
US6551935B1 (en) * 2000-08-31 2003-04-22 Micron Technology, Inc. Slurry for use in polishing semiconductor device conductive structures that include copper and tungsten and polishing methods
US6541384B1 (en) * 2000-09-08 2003-04-01 Applied Materials, Inc. Method of initiating cooper CMP process
US20020070126A1 (en) * 2000-09-19 2002-06-13 Shuzo Sato Polishing method, polishing apparatus, plating method, and plating apparatus
US20020072309A1 (en) * 2000-09-27 2002-06-13 Shuzo Sato Polishing method and polishing apparatus
US20030113996A1 (en) * 2000-10-13 2003-06-19 Takeshi Nogami Semiconductor production device and production method for semiconductor device
US20020070125A1 (en) * 2000-12-13 2002-06-13 Nova Crystals, Inc. Method for lift-off of epitaxially grown semiconductors by electrochemical anodic etching
US6679929B2 (en) * 2001-01-31 2004-01-20 Fujimi Incorporated Polishing composition and polishing method employing it
US6736952B2 (en) * 2001-02-12 2004-05-18 Speedfam-Ipec Corporation Method and apparatus for electrochemical planarization of a workpiece
US20040053499A1 (en) * 2001-03-14 2004-03-18 Applied Materials, Inc. Method and composition for polishing a substrate
US20060006074A1 (en) * 2001-03-14 2006-01-12 Liu Feng Q Method and composition for polishing a substrate
US6679928B2 (en) * 2001-04-12 2004-01-20 Rodel Holdings, Inc. Polishing composition having a surfactant
US6852630B2 (en) * 2001-04-23 2005-02-08 Asm Nutool, Inc. Electroetching process and system
US20030115475A1 (en) * 2001-07-12 2003-06-19 Russo Anthony P. Biometrically enhanced digital certificates and system and method for making and using
US20060011485A1 (en) * 2001-07-20 2006-01-19 Basol Bulent M Multi step electrodeposition process for reducing defects and minimizing film thickness
US20030119311A1 (en) * 2001-07-20 2003-06-26 Basol Bulent M. Planar metal electroprocessing
US20030038038A1 (en) * 2001-07-20 2003-02-27 Basol Bulent M. Multi step electrodeposition process for reducing defects and minimizing film thickness
US6867136B2 (en) * 2001-07-20 2005-03-15 Nutool, Inc. Method for electrochemically processing a workpiece
US20030079416A1 (en) * 2001-08-14 2003-05-01 Ying Ma Chemical mechanical polishing compositions for metal and associated materials and method of using same
US20030073386A1 (en) * 2001-08-14 2003-04-17 Ying Ma Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6565619B1 (en) * 2001-10-19 2003-05-20 Fujimi Incorporated Polishing composition and polishing method employing it
US20030104762A1 (en) * 2001-11-30 2003-06-05 Shuzo Sato Polishing method and electropolishing apparatus
US20030116445A1 (en) * 2001-12-21 2003-06-26 Applied Materials, Inc. Electrolyte with good planarization capability, high removal rate and smooth surface finish for electrochemically controlled copper CMP
US20030116446A1 (en) * 2001-12-21 2003-06-26 Alain Duboust Electrolyte composition and treatment for electrolytic chemical mechanical polishing
US20060009033A1 (en) * 2002-05-31 2006-01-12 Basol Bulent M Defect-free thin and planar film processing
US6858531B1 (en) * 2002-07-12 2005-02-22 Lsi Logic Corporation Electro chemical mechanical polishing method
US20040043582A1 (en) * 2002-08-29 2004-03-04 Dinesh Chopra Method and apparatus for simultaneously removing multiple conductive materials from microelectronic substrates
US20050044803A1 (en) * 2002-12-09 2005-03-03 Siddiqui Junaid Ahmed Composition and associated methods for chemical mechanical planarization having high selectivity for metal removal
US6893476B2 (en) * 2002-12-09 2005-05-17 Dupont Air Products Nanomaterials Llc Composition and associated methods for chemical mechanical planarization having high selectivity for metal removal
US20050079803A1 (en) * 2003-10-10 2005-04-14 Siddiqui Junaid Ahmed Chemical-mechanical planarization composition having PVNO and associated method for use
US20050076578A1 (en) * 2003-10-10 2005-04-14 Siddiqui Junaid Ahmed Tunable composition and method for chemical-mechanical planarization with aspartic acid/tolyltriazole
US20050076579A1 (en) * 2003-10-10 2005-04-14 Siddiqui Junaid Ahmed Bicine/tricine containing composition and method for chemical-mechanical planarization
US20050079718A1 (en) * 2003-10-10 2005-04-14 Siddiqui Junaid Ahmed Chemical-mechanical planarization composition with nitrogen containing polymer and method for use

Cited By (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030234184A1 (en) * 2001-03-14 2003-12-25 Applied Materials, Inc. Method and composition for polishing a substrate
US20040154931A1 (en) * 2003-02-12 2004-08-12 Akihisa Hongo Polishing liquid, polishing method and polishing apparatus
US20050263407A1 (en) * 2004-05-28 2005-12-01 Cabot Microelectronics Corporation Electrochemical-mechanical polishing composition and method for using the same
WO2005118736A1 (en) * 2004-05-28 2005-12-15 Cabot Microelectronics Corporation Electrochemical-mechanical polishing composition and method for using the same
CN1961055B (en) * 2004-05-28 2010-05-12 卡伯特微电子公司 Electrochemical-mechanical polishing composition and method for using the same
US20060118760A1 (en) * 2004-12-03 2006-06-08 Yang Andy C Slurry composition and methods for chemical mechanical polishing
US20060121725A1 (en) * 2004-12-03 2006-06-08 Basol Bulent M Method and system for electroprocessing conductive layers
US7247558B2 (en) * 2004-12-03 2007-07-24 Novellus Systems, Inc. Method and system for electroprocessing conductive layers
US7198560B2 (en) * 2004-12-23 2007-04-03 3M Innovative Properties Company Wafer planarization composition and method of use
US20060141913A1 (en) * 2004-12-23 2006-06-29 3M Innovative Properties Company Wafer planarization composition and method of use
US20060163083A1 (en) * 2005-01-21 2006-07-27 International Business Machines Corporation Method and composition for electro-chemical-mechanical polishing
EP1841558A4 (en) * 2005-01-21 2012-04-04 Ibm Method and composition for electro-chemical-mechanical polishing
EP1841558A2 (en) * 2005-01-21 2007-10-10 International Business Machines Corporation Method and composition for electro-chemical-mechanical polishing
WO2006088533A3 (en) * 2005-01-21 2007-09-27 Ibm Method and composition for electro-chemical-mechanical polishing
JP2008529272A (en) * 2005-01-21 2008-07-31 インターナショナル・ビジネス・マシーンズ・コーポレーション Methods and compositions for electrochemical mechanical polishing
US20060175298A1 (en) * 2005-02-07 2006-08-10 Junzi Zhao Method and composition for polishing a substrate
WO2006086265A3 (en) * 2005-02-07 2006-09-28 Applied Materials Inc Method and composition for polishing a substrate
WO2006086265A2 (en) * 2005-02-07 2006-08-17 Applied Materials, Inc. Method and composition for polishing a substrate
US20060186089A1 (en) * 2005-02-23 2006-08-24 Jsr Corporation Chemical mechanical polishing method
US20090181540A1 (en) * 2005-02-23 2009-07-16 Jsr Corporation Chemical mechanical polishing method
US7560384B2 (en) * 2005-02-23 2009-07-14 Jsr Corporation Chemical mechanical polishing method
TWI413172B (en) * 2005-02-23 2013-10-21 Jsr Corp Chemical mechanical polishing method
WO2006102539A2 (en) * 2005-03-23 2006-09-28 Asm Nutool, Inc. Method and system for electroprocessing conductive layers
WO2006102539A3 (en) * 2005-03-23 2007-06-28 Asm Nutool Inc Method and system for electroprocessing conductive layers
US20060223320A1 (en) * 2005-03-30 2006-10-05 Cooper Kevin E Polishing technique to minimize abrasive removal of material and composition therefor
WO2006121600A2 (en) * 2005-05-05 2006-11-16 Applied Materials, Inc. Process and composition for conductive material removal by electrochemical mechanical polishing
WO2006121600A3 (en) * 2005-05-05 2007-01-04 Applied Materials Inc Process and composition for conductive material removal by electrochemical mechanical polishing
US7998335B2 (en) * 2005-06-13 2011-08-16 Cabot Microelectronics Corporation Controlled electrochemical polishing method
US20060281196A1 (en) * 2005-06-13 2006-12-14 Cabot Microelectronics Corporation Controlled electrochemical polishing method
KR101281968B1 (en) * 2005-06-13 2013-07-03 캐보트 마이크로일렉트로닉스 코포레이션 Controlled electrochemical polishing method
US20070068902A1 (en) * 2005-09-29 2007-03-29 Yasushi Matsunami Polishing composition and polishing method
WO2007047454A3 (en) * 2005-10-14 2008-01-17 Applied Materials Inc Process and composition for electrochemical mechanical polishing
WO2007047454A2 (en) * 2005-10-14 2007-04-26 Applied Materials, Inc. Process and composition for electrochemical mechanical polishing
US7879255B2 (en) 2005-11-04 2011-02-01 Applied Materials, Inc. Method and composition for electrochemically polishing a conductive material on a substrate
US20070144915A1 (en) * 2005-12-22 2007-06-28 Applied Materials, Inc. Process and composition for passivating a substrate during electrochemical mechanical polishing
US20070161250A1 (en) * 2006-01-09 2007-07-12 Applied Materials, Inc. Method for electrochemically mechanically polishing a conductive material on a substrate
US7576007B2 (en) 2006-01-09 2009-08-18 Applied Materials, Inc. Method for electrochemically mechanically polishing a conductive material on a substrate
US20070219103A1 (en) * 2006-03-17 2007-09-20 Applied Materials, Inc. Novel rinse solution to remove cross-contamination
US20070221495A1 (en) * 2006-03-23 2007-09-27 Applied Materials, Inc. Electropolish assisted electrochemical mechanical polishing apparatus
US20070243709A1 (en) * 2006-04-14 2007-10-18 Applied Materials, Inc. Planarization of substrates at a high polishing rate using electrochemical mechanical polishing
WO2008072207A1 (en) * 2006-12-13 2008-06-19 L'air Liquide-Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Improved electrolyte formulation for electrochemical mechanical planarization
US20080142375A1 (en) * 2006-12-13 2008-06-19 Francois Doniat Electrolyte formulation for electrochemical mechanical planarization
US20090061741A1 (en) * 2007-09-04 2009-03-05 Zhihong Wang Ecmp polishing sequence to improve planarity and defect performance
US8889555B2 (en) * 2009-02-16 2014-11-18 Hitachi Chemical Co., Ltd. Polishing agent for copper polishing and polishing method using same
US20120024818A1 (en) * 2009-02-16 2012-02-02 Hitachi Chemical Company, Ltd. Polishing agent for copper polishing and polishing method using same
US8845915B2 (en) 2009-02-16 2014-09-30 Hitachi Chemical Company, Ltd. Abrading agent and abrading method
US8859429B2 (en) * 2009-02-16 2014-10-14 Hitachi Chemical Co., Ltd. Polishing agent for copper polishing and polishing method using same
US20120160804A1 (en) * 2009-02-16 2012-06-28 Hitachi Chemical Co., Ltd. Polishing agent for copper polishing and polishing method using same
US8586481B2 (en) 2010-06-01 2013-11-19 Applied Materials, Inc. Chemical planarization of copper wafer polishing
US11643573B2 (en) * 2017-03-14 2023-05-09 Fujimi Incorporated Polishing composition, production method therefor, and polishing method and production method for substrate, using polishing composition
US11342256B2 (en) 2019-01-24 2022-05-24 Applied Materials, Inc. Method of fine redistribution interconnect formation for advanced packaging applications
US11362235B2 (en) 2019-05-10 2022-06-14 Applied Materials, Inc. Substrate structuring methods
US11476202B2 (en) 2019-05-10 2022-10-18 Applied Materials, Inc. Reconstituted substrate structure and fabrication methods for heterogeneous packaging integration
US11264331B2 (en) 2019-05-10 2022-03-01 Applied Materials, Inc. Package structure and fabrication methods
US11264333B2 (en) 2019-05-10 2022-03-01 Applied Materials, Inc. Reconstituted substrate structure and fabrication methods for heterogeneous packaging integration
US11063169B2 (en) 2019-05-10 2021-07-13 Applied Materials, Inc. Substrate structuring methods
US11521935B2 (en) 2019-05-10 2022-12-06 Applied Materials, Inc. Package structure and fabrication methods
US11398433B2 (en) 2019-05-10 2022-07-26 Applied Materials, Inc. Reconstituted substrate structure and fabrication methods for heterogeneous packaging integration
US11715700B2 (en) 2019-05-10 2023-08-01 Applied Materials, Inc. Reconstituted substrate structure and fabrication methods for heterogeneous packaging integration
US11887934B2 (en) 2019-05-10 2024-01-30 Applied Materials, Inc. Package structure and fabrication methods
US11417605B2 (en) 2019-05-10 2022-08-16 Applied Materials, Inc. Reconstituted substrate for radio frequency applications
US20220278248A1 (en) * 2019-05-10 2022-09-01 Applied Materials, Inc. Substrate structuring methods
US11837680B2 (en) * 2019-05-10 2023-12-05 Applied Materials, Inc. Substrate structuring methods
TWI777176B (en) * 2019-06-17 2022-09-11 美商應用材料股份有限公司 Planarization methods for packaging substrates
WO2020256932A1 (en) * 2019-06-17 2020-12-24 Applied Materials, Inc. Planarization methods for packaging substrates
TWI799329B (en) * 2019-06-17 2023-04-11 美商應用材料股份有限公司 Planarization methods for packaging substrates
US11862546B2 (en) 2019-11-27 2024-01-02 Applied Materials, Inc. Package core assembly and fabrication methods
US11881447B2 (en) 2019-11-27 2024-01-23 Applied Materials, Inc. Package core assembly and fabrication methods
US11257790B2 (en) 2020-03-10 2022-02-22 Applied Materials, Inc. High connectivity device stacking
US11742330B2 (en) 2020-03-10 2023-08-29 Applied Materials, Inc. High connectivity device stacking
US11454884B2 (en) 2020-04-15 2022-09-27 Applied Materials, Inc. Fluoropolymer stamp fabrication method
US11400545B2 (en) 2020-05-11 2022-08-02 Applied Materials, Inc. Laser ablation for package fabrication
US11232951B1 (en) 2020-07-14 2022-01-25 Applied Materials, Inc. Method and apparatus for laser drilling blind vias
US11676832B2 (en) 2020-07-24 2023-06-13 Applied Materials, Inc. Laser ablation system for package fabrication
US11521937B2 (en) 2020-11-16 2022-12-06 Applied Materials, Inc. Package structures with built-in EMI shielding
US11404318B2 (en) 2020-11-20 2022-08-02 Applied Materials, Inc. Methods of forming through-silicon vias in substrates for advanced packaging
US11705365B2 (en) 2021-05-18 2023-07-18 Applied Materials, Inc. Methods of micro-via formation for advanced packaging
US11927885B2 (en) 2022-08-08 2024-03-12 Applied Materials, Inc. Fluoropolymer stamp fabrication method

Also Published As

Publication number Publication date
WO2004111146A1 (en) 2004-12-23
US20040053499A1 (en) 2004-03-18
US7160432B2 (en) 2007-01-09
TW200513521A (en) 2005-04-16
JP2007520871A (en) 2007-07-26
KR20060013686A (en) 2006-02-13

Similar Documents

Publication Publication Date Title
US20040248412A1 (en) Method and composition for fine copper slurry for low dishing in ECMP
US7323416B2 (en) Method and composition for polishing a substrate
US7232514B2 (en) Method and composition for polishing a substrate
US7128825B2 (en) Method and composition for polishing a substrate
US7582564B2 (en) Process and composition for conductive material removal by electrochemical mechanical polishing
US7390744B2 (en) Method and composition for polishing a substrate
US20050092620A1 (en) Methods and apparatus for polishing a substrate
US20060175298A1 (en) Method and composition for polishing a substrate
US20060169597A1 (en) Method and composition for polishing a substrate
EP1478708A1 (en) Method and composition for polishing a substrate
US7390429B2 (en) Method and composition for electrochemical mechanical polishing processing
US20060021974A1 (en) Method and composition for polishing a substrate
US20070187258A1 (en) Method for electrochemically polishing a conductive material on a substrate
KR20070104479A (en) Polishing composition and method for polishing a conductive material
US20060169674A1 (en) Method and composition for polishing a substrate
US20070144915A1 (en) Process and composition for passivating a substrate during electrochemical mechanical polishing
US20070254485A1 (en) Abrasive composition for electrochemical mechanical polishing
US20060249395A1 (en) Process and composition for electrochemical mechanical polishing
US20070295611A1 (en) Method and composition for polishing a substrate
US20060196778A1 (en) Tungsten electroprocessing
US20060249394A1 (en) Process and composition for electrochemical mechanical polishing
WO2007047454A2 (en) Process and composition for electrochemical mechanical polishing

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LIU, FENG Q.;TSAI, STAN D.;HU, YONGQI;AND OTHERS;REEL/FRAME:015336/0151

Effective date: 20040513

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION