JP2005317961A - 集積回路の相互接続プロセスパラメータの測定 - Google Patents

集積回路の相互接続プロセスパラメータの測定 Download PDF

Info

Publication number
JP2005317961A
JP2005317961A JP2005121538A JP2005121538A JP2005317961A JP 2005317961 A JP2005317961 A JP 2005317961A JP 2005121538 A JP2005121538 A JP 2005121538A JP 2005121538 A JP2005121538 A JP 2005121538A JP 2005317961 A JP2005317961 A JP 2005317961A
Authority
JP
Japan
Prior art keywords
conductor
plate
integrated circuit
coupling
capacitance
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005121538A
Other languages
English (en)
Inventor
Narain D Arora
ディー アローラ ナレイン
J Song Li
ジェイ ソン リー
Aki Fujimura
アキ フジムラ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Cadence Design Systems Inc
Original Assignee
Cadence Design Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cadence Design Systems Inc filed Critical Cadence Design Systems Inc
Publication of JP2005317961A publication Critical patent/JP2005317961A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2853Electrical testing of internal connections or -isolation, e.g. latch-up or chip-to-lead connections
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • G06F30/23Design optimisation, verification or simulation using finite element methods [FEM] or finite difference methods [FDM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2884Testing of integrated circuits [IC] using dedicated test connectors, test elements or test circuits on the IC under test
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/06Power analysis or power optimisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Power Engineering (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Automation & Control Theory (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

【課題】 本発明は、集積回路の相互接続プロセスパラメータを測定するための技術に関する。
【解決手段】 この技術は、あらゆる種類の伝導材料により形成されている、あらゆる非理想形状の相互接続に適用可能である。テストストラクチャは、集積回路内に組み立てられる。非破壊の電気測定が、テストストラクチャと関連する結合容量を決定するためにテストストラクチャから実行される。フィールドソルバは、設計結合容量を決定するために、初期プロセスパラメータを用いる。そして、オプティマイザは、相互接続プロセスパラメータを決定するために、測定された結合容量と設計結合容量を用いる。
【選択図】 図1

Description

発明の技術分野
本発明は、集積回路の設計及び製造に関し、より詳しくは、集積回路内の相互接続プロセスパラメータの測定に関する。
集積回路は、半導体装置あるいはチップ内に組み立てられた電子構成要素(例えば、トランジスタ)の集合である。一つの集積回路は、数百万の電子構成要素を包含可能である。伝導線は、半導体装置内の電子構成要素を相互に接続する。これらの伝導線は、しばしば、“相互接続線”あるいは“相互接続”と呼ばれる。電子構成要素を、相互接続を介して特別な方法で相互に接続することによって、半導体装置は、信号を相互に送ることができる。これにより、集積回路は、異なる機能を実行することができる。例えば、いくつかの集積回路は、マイクロプロセッサとして機能するように設計され、他の集積回路は、記憶回路として動作するように設計することができる。
集積回路は、ソフトウェア設計ツール(手段)を用いて設計される。設計ツールは、電子構成要素及び相互接続の物理的な特性を正確にモデル化することが非常に重要である。ソフトウェア設計ツールを用いて正確に物理的なモデル化を行うことにより、特別な設計が、それが組み立てられた最初の時に正確に作動する機会が増加する。同様に、特別な設計が正しくモデル化されない場合には、設計は、組み立てられた時に正確に機能しない。このような場合には、集積回路設計者は、なぜ設計が失敗したかを決定し、設計変更を行い、修正された設計を用いて他のチップを製造することを試みなければならない。この反復処理は、半導体製品を市販するのに要する時間を著しく遅らせ、それによって、会社は、お金と市場占有率を失う、さらに、実際の組み立て処理は非常に費用がかかるため、集積回路チップを再度組み立てる必要性は、費用を著しく増加させる。したがって、集積回路チップの設計段階において、電子構成要素及びこれらの構成要素を接続する相互接続を正確にモデル化することが非常に重要である。
集積回路の相互接続の正確なモデルを生成するために、集積回路設計者は、物理的な(実際の)相互接続プロセスパラメータを決定するために、組み立てられた半導体ウェーハーを分析する必要がある。重要なプロセスパラメータは、線の長さ、金属の厚さ及び誘電体の厚さを含んでいる。
実際の相互接続プロセスパラメータを測定するために使用可能ないくつかの公知の技術がある。
1つの一般的に使用される測定技術は、破壊方法を用いる。この場合、焦点を定められたイオンビーム(“FIB”)が、相互接続線の断面をさらしている、組み立てられたウェーハー内に挿入される。そして、電子マイクロスコープの走査(“SEM”)によって、さらされている相互接続線の写真をとる。残念なことに、この技術は、ウェーハーを破壊する。また、ウェーハーが切断され、その後マイクロスコープを用いて分析するため、測定には比較的長い時間を要する。
また、非破壊技術が、物理的な相互接続プロセスパラメータを測定するために使用可能である。
1つの非破壊技術は、光学式度量衡ツールを使用する。この技術を用いる場合には、ウェーハーは損傷しない。光学式度量衡ツールを用いることにより、迅速に測定を行うことができ、また、結果を容易に判断することができる。しかしながら、現時点での光学式度量衡ツールの分解能は、サブミクロン領域(すなわち、100ナノミクロン以下)への応用を制限する。
近年、半導体処理技術の積極的な発展により、相互接続における遅れは、トランジスタゲートの遅れより長くなっている。
この問題に取り組むために、半導体産業は、相互接続材料として、アルミニウムよりも銅を使用し始めた。これは、銅の相互接続を介する信号の遅れが、アルミニウムの相互接続を介する信号の遅れより小さいからである。アルミニウムの抵抗のほぼ半分である、銅の低抵抗により、相互接続の抵抗及び容量の双方が減少し、相互接続の遅延が減少する。
アルミニウムの相互接続を用いる場合に比べて、銅の相互接続を用いる場合の不利な点は、銅の相互接続のプロセスパラメータを測定するのがより困難であるということである。銅の相互接続線は、処理後に台形形状を有するが、アルミニウムの相互接続線は、処理後に長方形形状を有することは、よく知られている。しかしながら、最も一般的な測定技術は、長方形形状を有する相互接続線に対するプロセスパラメータしか測定することができない。実際に、銅の相互接続プロセスパラメータを正確に測定する唯一の公知の技術は、前述した破壊SEM技術である。前述したように、破壊SEM技術を用いて測定値を得る方法は、時間を消費し、ウェーハーが破壊される。非破壊の光学式及びeビームツールは、これらの技術が相互接続の上面の測定のみが可能であるため、銅の相互接続の幅(上部及び底部)と厚さの双方の情報を与えることができない。プロセス制御、寄生摘出、回路遅延エミュレーション及びパラメータの産出予測のために、銅の相互接続と関連する3つの寸法の全てを捕獲することは非常に重要である。
以上のように、半導体組み立て技術では、特に、銅の相互接続の使用が促進されているため、非理想(すなわち、非長方形)形状を有する相互接続に対するプロセスパラメータを決定するために非破壊技術に対する要求がある。
概要
ここに示されている種々の実施の形態は、非長方形形状を有する相互接続(例えば、銅の相互接続)に対するプロセスパラメータを決定することができる技術を提供する。2つの伝導プレートの間に設けられている、実質的に対称な一対のフィンガー(指部)を含むテストストラクチャ(テスト構造)が、集積回路内に組み立てられる。テストストラクチャの簡単な電気測定が実行され、テストストラクチャと関連する、測定された結合容量を決定するために用いられる。これらの電気測定は、受動あるいは能動技術を用いて実行可能である。独立して、フィールドソルバ(電界解答器)は、初期プロセスパラメータから初期結合容量を計算する。そして、最適化技術が、フィールドソルバの計算及び測定された結合容量に基づいて物理的なプロセスパラメータを決定するために用いられる。
装置及び要素結合の種々の新規な詳細を含む、本発明の前述した及び他の特徴は、添付の図面を参照してより詳しく説明されており、また、請求の範囲に指摘されている。本発明を実施する特別の方法及び回路は、例示としてのみ示されており、制限として示されていないことが理解される。当業者には理解できるように、本発明の原理及び特徴は、本発明の概念を逸脱しない範囲内で、種々のまた多くの実施の形態で実施可能である。
詳細な説明
[システムの概観]
本明細書に開示されている種々の実施の形態は、相互接続プロセスパラメータを測定するための非破壊技術を提供する。種々の実施の形態は、銅の相互接続のような、非長方形形状を有する相互接続のプロセスパラメータも測定に対して特に有用である。
図1は、相互接続測定処理を説明するフローチャート100である。
ステップ105では,テストストラクチャ(テスト構造)を有する集積回路が、この集積回路を製造するために使用される、特別の組み立て容易性のために知られている設計パラメータに対応して設計される。設計パラメータは、典型的には、設計段階において相互接続線の上部(トップ)及び底部(ボトム)で同じである、相互接続の幅を含んでいる。前述したように、テストストラクチャは、上部プレートと底部プレート(図2〜4参照)の間に配置されている実質的に対称な伝導体の2つのセットを含んでいる。
ステップ110では、テストストラクチャを有する集積回路が組み立てられる。
ステップ115では、3つの結合容量:(1)伝導体の第1のセットと伝導体の第2のセットとの間の結合容量、(2)伝導体のセットの1つと上部プレートとの間の結合容量、(3)伝導体のセットの1つと底部プレートとの間の結合容量、が決定される。
ステップ120では、初期プロセスパラメータが、初期プロセスパラメータから結合容量を決定することができるフィールドソルバあるいは他の数学的ツールに入力される。初期プロセスパラメータは、典型的には、上部及び底部で同じであると仮定された伝導体の厚さと幅、異なる伝導体間の誘電体の厚さを含んでいる。
ステップ125では、フィールドソルバは、初期結合容量を計算する。
ステップ130では、初期結合容量は、測定された結合容量と比較される。
ステップ135では、システムは、結合容量の2つのセットが収束状態を満足している否かを決定する。収束状態は、結合容量の2つのセットの値が、繰り返しの間で互いに接近しているか、あるいは、著しく変化していない時に満足される。1つの実施の形態では、結合容量の2つのセットが互いに1%以内の違いである時に収束状態が満足される。
結合容量の2つのセットが収束状態を満足すると、システムは、物理的な(実際の)プロセスパラメータが初期プロセスパラメータと等しいことを決定する。そして、システムは、初期プロセスパラメータと等しい最終プロセスパラメータを出力する(ステップ140)。
非理想形状を有する相互接続(例えば、銅の相互接続)の場合、結合容量の2つのセットは、最初は収束状態を満足しない。例えば、銅の相互接続の場合には、初期結合容量と測定された結合容量は、10〜20%程度相違する。これは、初期プロセスパラメータは、相互接続の上部及び底部の幅が同じであると仮定しているが、組み立てられた銅の相互接続は、実際には、台形形状を有しているからである。
結合容量の2つのセットが収束状態を満足しない場合には、システムは、プロセスパラメータ導関数を計算し(ステップ145)、そして、収束が、以前の測定より良くなっているか悪くなっているかを決定する(ステップ150)。収束が悪化している場合には、修正定数が増加される(ステップ155)。収束が改善されている場合には、修正係数は減少される(ステップ160)。
修正定数は、プロセスパラメータ導関数と乗算される一定の値である。システムが収束化に接近していない時には、より大きい修正定数が用いられ、システムが収束化に接近している時には、より小さい修正定数が用いられる。
ステップ165では、修正されたプロセス定数が、プロセスパラメータ導関数と修正定数に基づいて計算される。
そして、修正されたプロセスパラメータは、フィールドソルバに入力され(ステップ120)、繰り返し処理が、収束が発生するまで継続される。
収束が発生すると、システムは、物理的な(実際の)プロセスパラメータが、修正されたプロセスパラメータの現時点のセットと等しいことを決定する。
[テストストラクチャ]
図2Aは、集積回路及び/またはウェーハー内に組み立て可能な、例示的なテストストラクチャ200の斜視図を示している。
テストストラクチャ200は、実質的に対称な1対のフィンガー(あるいはワイヤ)201と202、上部プレート203と底部プレート204を含んでいる。
フィンガー201と202は、上部プレート203と底部プレート204の間に設けられている。フィンガー201と202、上部プレート203と底部プレート204は、銅のような伝導材料によって構成可能である。上部プレート203と底部プレート204は、固体プレート、スロットが形成されたプレートあるいは非常に接近して配置されている一連の平行線により構成されるプレートであってもよい。
フィンガー201と202は、互いに電気的に絶縁されているとともに、誘電材料(図示せず)を介して上部及び底部プレート203、204と電気的に絶縁されている。
テストストラクチャ200は、櫛形状を有するフィンガー201と202を備えて示されているが、迷路形状や蛇行形状等の他の種々の形状が使用可能であることが認められるべきであることに注意する。
フィンガー201と202の長さやフィンガー201と202の数は、好ましくない寄生容量効果が最小化され、また、結合容量がフィールドソルバ(以下で説明)によって正確に計算できるように設計される。フィンガー201と202の幅や間隔は、設計規則や、プロセスパラメータが得られる実験点の設計に応じて選択可能である。
図2Bは、例示的なテストストラクチャ200の断面図を示している。
フィンガー201と202の厚さは、Tで示されている。フィンガー201の上面とフィンガー202の上面の間の間隔は、Stで示されている。フィンガー201の底面とフィンガー202の底面の間の間隔は、Sbで示されている。フィンガー201及び/または202の上面の幅は、Wtで示されている。フィンガー201及び/または202の底面の幅は、Wbで示されている。フィンガー201及び/または202と上部プレート203との間の距離は、Htで示されている。フィンガー201及び/または202と底部プレート204との間の距離は、Hbで示されている。
テストストラクチャ200と関連する3つの結合容量Ct、Cb及びCcが存在する。Ctは、フィンガー201あるいはフィンガー202と上部プレート203との間の結合容量である。Cbは、フィンガー201あるいはフィンガー202と底部プレート204との間の結合容量である。Ccは、フィンガー201とフィンガー202の間の結合容量である。
結合容量Cc、Ct及びCbの値は、相互接続のプロセスパラメータに依存する。
典型的な集積回路設計は、典型的には、種々の線幅及び線間隔を有する相互接続線を有している。異なる幅及び/または間隔を有する各線は、それ自身のユニークなプロセスパラメータを有する。したがって、これらの異なる線それぞれに対応するテストストラクチャが集積回路内に組み立てられることが認識される。これらのテストストラクチャのそれぞれは、本発明により測定可能な、それぞれ自身のユニークなプロセスパラメータを有する。
[結合容量の決定]
結合容量Ct、Cb及びCcの値は、適切な受動あるいは能動の容量測定技術を用いて決定可能である。図3は、LCRメータ(受動測定技術)を用いて結合容量Ct、Cb及びCcを決定可能なテストストラクチャ300を示している。
テストストラクチャ300は、フィンガー301と302、上部プレート303及び底部プレート304を含んでいる。検査パッド311、312、313及び314は、集積回路内に組み立てられ、それぞれフィンガー301と302、上部プレート303及び底部プレート304と電気的に接続されて配置されている。
結合容量Ct、Cb及びCcを決定するために、先ず、LCRメータが、7つの容量C1、C2、C3、C4、C5、C6及びC7を測定するために用いられる。
容量C1は、フィンガー301の総容量であり、グランド(接地)305に対する寄生容量に加えて、フィンガー302に対する結合容量、上部プレート303及び底部プレート304に関する結合容量を含んでいる。C2は、フィンガー302の総容量であり、グランド305に対する寄生容量に加えて、フィンガー301に対する結合容量、上部プレート303及び底部プレート304に関する結合容量を含んでいる。C3は、一緒に接続されるフィンガー301及び302により構成されるストラクチャ(構造)の総容量であり、上部プレート303及び底部プレート304に関して、グランド305に対するストラクチャ(一緒に接続されるフィンガー301と302)の寄生容量に加えられる。C4は、底部プレート304の総容量であり、グランド305に対するストラクチャの寄生容量に加えて、フィンガー301に対する結合容量、フィンガー302及び上部プレート303に関する結合容量を含んでいる。C5は、一緒に接続されるフィンガー301及び底部プレート304により構成されるストラクチャの結合容量であり、フィンガー302及び上部プレート301に関して、グランド305に対するストラクチャ(一緒に接続されるフィンガー301及びプレート304)の寄生容量に加えられる。C6は、上部プレート303の総容量であり、グランド305に対する寄生容量に加えて、301に対する結合容量、フィンガー302及び底部プレート304に関する結合容量を含んでいる。C7は、一緒に接続されるフィンガー301及び上部プレート303により構成されるストラクチャの総容量であり、フィンガー302及び底部プレート304に関して、グランド305に対するストラクチャ(一緒に接続されるフィンガー301及びプレート303)の寄生容量に加えられる。
当業者は、LCRメータを用いてC1、C2、C3、C4、C5、C6及びC7を測定する方法を認識する。また、測定技術は、以下に、図4を参照して説明されている。
容量C1、C2、C3、C4、C5、C6及びC7が測定されると、結合容量Ct、Cb及びCcは、以下の式を用いて計算される。
Ct=(C1+C4−C5)÷2 (式1)
Cb=(C1+C6−C7)÷2 (式2)
Cc=(C1+C2−C3)÷2 (式3)
検査パッドと検索パッドからプレート及びフィンガーへの接続は、寄生容量を導入するため、参照ストラクチャ(図示していない)が、寄生容量の決定が可能となるように組み立てられて測定される。参照ストラクチャは、フィンガー301及び302を含んでいないことを除いて、テストストラクチャ300と同様である。
したがって、参照ストラクチャは、第1パッド及び第1パッドから上部プレートへの接続、第2パッド及び第2パッドから底部プレートへの接続、第3パッド及び第3パッドから第1フィンガーが配置される領域への接続、第4パッド及び第4パッドから第2フィンガーが配置される領域への接続を含んでいる。
図4は、本発明のいくつかの実施の形態に対応する能動測定技術を用いて結合容量Ct、Cb及びCcが測定可能なテストストラクチャ400を示している。
能動測定技術は、測定回路のいくつかが集積回路上に組み立てられていることを除いて、受動測定技術と同様である。能動測定技術は、一般的には、受動測定技術よりも正確であり、したがって、結合容量がアト(10−18)ファラッドである場合に使用すべきである。
テストストラクチャ400は、チャージベース(charge-based)容量測定(CBCM)回路451及びマルチプレクサ回路460〜467と電気的に接続されている。
CBCM方法を用いた結合容量の測定は、“An On-Chip,Interconnect
Capacitance Characterization Method with Sub-Femto-Farad Resolution”
(IEEE Transactions On Semiconductor Manufacturing,Vol.11,No.2,204-210,
May 1998)により詳しく説明されている。
図4を参照すると、HP8110A等の外部2重パルス発生器が、2段階バッファを介して、それぞれP装置452及びN装置453のSig_P及びSig_Nに接続可能である。2重パルス発生器のオーバーラップしない波形は、漏れに対するものを除いて、VddとVss(グランド)の間に電流通路が存在しないということを保証する。ポイントPでの総容量は、以下の式で表される。
C=Iavg÷(Vdd×f) (式4)
ここで、Iavgは、VddからVss(グランド)に流れる電流であり、Vddは、供給電圧であり、fは、パルスの周波数である。Iavgは、Vddに直列に接続された電流メータを用いて測定することができる。
結合容量Ct、Cb及びCcを決定するために、CBCM回路451及びマルチプレクサ回路460〜467は、最初に、7つの容量C1、C2、C3、C4、C5、C6及びC7を測定するために用いられる。容量C1、C2、C3、C4、C5、C6及びC7は、前述したように定義され、ここでは再度定義しない。
容量C1を決定するために、GS1が選択され(Vddに接続される)、一方、GS2、GS3、GS4は非選択される(Vss(グランド)に接続される)。これにより、フィンガー401が、CBCM回路451と電気的に接続される。そして、電流Iavgを測定し、(式4)を用いることによって、容量C1が決定される。
容量C2を決定するために、GS3が選択され、GS1、GS2及びGS4が非選択される。これにより、フィンガー402が、CBCM回路451に電気的に接続される。そして、電流Iavgを測定し、(式4)を用いることによって、容量C2が決定される。
容量C3を決定するために、GS1とGS3が選択され、GS2とGS4が非選択される。これにより、フィンガー401と402が、CBCM回路451に電気的に接続される。そして、電流Iavgを測定し、(式4)を用いることによって、容量C3が決定される。
容量C4を決定するために、GS2が選択され、GS1、GS3及びGS4が非選択される。これにより、上部プレート403がCBCM回路451に電気的に接続される。そして、電流Iavgを測定し、(式4)を用いることによって、容量C4が決定される。
容量C5を決定するために、GS1とGS3が選択され、GS3とGS4が非選択される。これにより、フィンガー401と上部プレート403がCBCM回路451に電気的に接続される。そして、電流Iavgを測定し、(式4)を用いることによって、容量C5が決定される。
容量C6を決定するために、GS4が選択され、GS1、GS2及びGS3が非選択される。これにより、底部プレート404がCBCM回路451に電気的に接続される。そして、電流Iavgを測定し、(式4)を用いることによって、容量C6が決定される。
容量C7を決定するために、GS1とGS4が選択され、GS2とGS3が非選択される。これにより、フィンガー401と底部プレート404がCBCM回路451に電気的に接続される。そして、電流Iavgを測定し、(式4)を用いることによって、容量C7が決定される。
容量C1、C2、C3、C4、C5、C6及びC7が測定されると、結合容量Ct、Cb及びCcは、前述した(式1)〜(式3)を用いて計算される。
当業者は、本発明の結合容量Ct、Cb及びCcを測定するために使用可能な多くの技術が存在することを認識する。例えば、“Method Of Measuring Interconnect
Coupling Capacitance In An IC Chip”という名称を有し、N.D.Aroraに特許された、米国特許第5999010号に開示されている技術を用いることができる。米国特許第5999010号は、その全てを参照として組み入れられている。
[結合容量の計算]
初期結合容量は、図1のステップ120として示されている、初期プロセスパラメータをフィールドソルバ(あるいは、初期プロセスパラメータから結合容量を決定可能な他の数学的ツール)に入力することによって計算される。
初期プロセスパラメータは、集積回路設計者が集積回路を生成する時に用いたパラメータである。前述したように、初期プロセスパラメータは、典型的には、相互接続の厚さと相互接続の幅を含んでいる。
当技術分野でよく知られているフィールドソルバは、ラプラス式を解くことによって、種々の境界状態のもとでの金属線の電磁界を決定するソフトウェアツールである。異なるタイプのフィールドソルバは、異なる式を代数式に変換し、そして、金属線の電磁界に対して解明するための有限要素法、有限差分法、境界要素法等の異なる数学技術を用いる。
[最適化]
フィールドソルバが初期結合容量を計算した後、初期結合容量は、図1のステップ130〜135に示されているように、測定された結合容量と比較される。
初期結合容量と測定された結合容量との差が十分に小さい(すなわち、収束が発生している)場合には、システムは、物理的な(実際の)プロセスパラメータが初期プロセスパラメータと等しいことを決定する。したがって、システムは、最終プロセスパラメータを出力する。一方、初期結合容量と測定された結合容量との間の差が十分に小さくない場合には、システムは、正確な最終プロセスパラメータを得るためにオプティマイザ(最適化手段)を用いる。図1のステップ145〜165を参照。
オプティマイザは、修正されたプロセスパラメータを生成するために反復の数学技術を用いる。
各繰り返しの期間中、修正されたプロセスパラメータは、フィールドソルバに入力される。フィールドソルバは、修正された結合容量を計算する。そして、修正された修正容量は、測定された結合容量と比較される。
オプティマイザは、修正された結合容量と測定された結合容量との間の差が、繰り返しの間で増加しているか減少しているかを認識する。
結果的に、物理的な(実際の)プロセスパラメータと実質的に等しい、修正されたプロセスパラメータが、この処理によって得られる。そして、これらのパラメータは、最終プロセスパラメータとして出力される。
オプティマイザの1つの実施の形態では、応答面が、フィールドソルバを用いて生成される。応答面は、結合容量を相互接続パラメータに関係付ける関数である。結合容量は、2次多項式によって表される。そして、相互接続パラメータは、容量測定値に基づいて、応答面から決定される。
オプティマイザの他の実施の形態では、ルックアップテーブルが、フィールドソルバを用いて生成される。ルックアップテーブルは、相互接続パラメータの関数としての結合容量により構成されている。相互接続パラメータは、テーブルから決定される。
オプティマイザの他の実施の形態では、神経ネットワーク解決法が使用可能である。トレーニングデータ(訓練データ)のセットが、フィールドソルバを用いて生成され、ネットワークは、容量測定値に基づいてプロセスパラメータを予測するように訓練される。
オプティマイザの他の実施の形態では、遺伝子アルゴリズムが使用可能である。任意の測定された結合容量に対する相互接続パラメータの決定は、遺伝子の法則に緩やかに基づいている、案内される確率調査手順のセットを用いて決定される。
オプティマイザの他の実施の形態では、結合容量が相互接続パラメータ(例えば、T、St、Sb、Wt、Wb、Ht及びHb)に比例するという事実を利用する方法が用いられている。この方法は、以下の式を参照して説明される。
Cc∝T/S;
Ci∝Wi/Hi;i=t,b
金属ピッチ(Wi+Si)と積み重ね高さ(Ht+T+Hb)が一定であると仮定すると、グラディエント(変化率)は以下のように算出される。
dT=
[(1−Cc−C0)(4W−2C0H)dCc−2Cc(CcW+H−2CcC0H)dCc]
÷(2−CcC0)
dWi=
[−(2CiW+CiC0H−2CiC0CcW)dCc−
CiCc(H−CcW)dCc+(2−CcC0)(H−CcW)dCi]
÷(2−CcC0)
ここで、[C0=(Ct+Cb)÷2]、[W=Wi+Si]、[H=Ht+T+Hb]である。
金属ピッチWは、設計ピッチと等しいと仮定されている。しかしながら、CMP(化学機械研磨機)のディッシング(平坦性)のために、全体の高さHは、設計積み重ね高さより大きく、△Hだけ補償される。これは、上部及び底部プレートの結合容量の値とフィールドソルバから決定可能である。
オプティマイザの他の実施の形態では、容量の応答面は、線形回帰を用いて構成される。フィンガーの2つのセットの間の容量Cc、フィンガーと上部及び底部プレートとの間の容量Ct及びCbを含む結合容量は、金属の厚さt、中間誘電体(“ILD”)の厚さtt及びtb、金属の幅Wt及びWb、金属の間隔St及びSb、イントラレイヤ(内層)及びインターレイヤ(埋め込み層)の誘電体定数k1及びk2(ここでは、簡単化のために2つの誘電体のみが用いられている)を含むプロセスパラメータの関数として表される。
式1
Figure 2005317961
ここで、2次項は無視され、σは誤差項である。訂正パラメータa,b,c,d,e,f,g,h,lは、線形回帰法を用いて、種々の金属の幅と間隔に対する実験の設計を用いて、テストストラクチャのセット上の多数の結合容量の値Cc、Ct及びCbから決定される。全ての訂正パラメータa,b,c,d,e,f,g,h,lが決定されると、物理的なパラメータの関数としての結合容量の応答面が確立される。前述した式を用いることによって、プロセスパラメータは、任意の測定された結合容量に対して解明される。しかしながら、感応分析に基づいて、訂正パラメータの数を減少可能である。
[利点]
ここに開示されている実施の形態は、多くの利点を提供する。第1及び主要なものは、台形形状を有する銅の相互接続のような、非理想形状を有する相互接続が、ウェーハーを破壊することなく、簡単に、抵コストで比較的速くプロファイル可能である。得られる相互接続プロセスパラメータは、上部面及び底部面双方における金属線の厚さだけでなく、金属線の幅を含んでいる。また、相互接続システムのILDの厚さを決定することができる。初期プロセスパラメータと実際のプロセスパラメータとの間の不一致を知ることによって、寄生抽出あるいは回路遅延シミュレーションは、より正確に実行され、また、集積回路の性能は、より小さな設計保護マージンで高められることができる。他の利点は、通常の検査装置のみが測定を行うために必要とされることである。
他の利点は、測定が、インラインあるいはオフラインで実行可能なことである。インラインは、ウェーハーが処理ラインに存在することを意味し、オフラインは、ウェーハーが処理ラインに存在しないことを意味する。インライン及びオフライン測定は、プロセス制御及び生産増大に対して使用可能である。測定がインラインで実行される場合には、相互接続の実際の(物理的な)プロセスパラメータの情報は、プロセス制御及び生産増大のために使用可能である。
また、インライン及びオフライン測定は、相互接続プロセスパラメータのための正確なモデルを生成するために使用可能である。前述したように、生成される集積回路に用いられるソフトウェア設計ツールは、相互接続の物理的な特性を正確にモデル化することが重要である。正確な物理的モデル化は、特別な設計が、それが組み立てられた最初の時に適切に機能する機会を著しく増大する。ここに開示されている実施の形態を用いることにより、相互接続プロセスパラメータは、組み立てられたウェーハーから測定され、そして、ソフトウェ設計ツールに入力可能である。これにより、その後の設計は、理論値よりも、物理的な(実際の)プロセスパラメータを有して生成される。
ここに開示されている実施の形態のさらに他の利点は、設計された相互接続を、サブミクロン領域(すなわち、100nm以下)において測定可能とすることである。
[代替の実施の形態]
以上のように、本明細書に開示されている実施の形態は、特別の実施の形態を参照にして説明されている。しかしながら、種々の修正及び変更が、開示されているより広い精神及び概念から逸脱しない範囲内で可能である。例えば、読者は、ここに開示されている処理フロー図に示されている処理動作の特別な順序及び組み合わせは単に例示であり、本発明は、異なるあるいは追加の処理動作、または、処理動作の異なる組み合わせあるいは順序を用いて実行可能であることを理解する。したがって、明細書及び図面は、制限的な意味よりも例示的な意味において眺められるべきであり、また、本発明は、添付の請求の範囲及びその法律上の均等の範囲に対応する部分を除いて限定あるいは制限されるべきでない。当業者は、幅広い様々のテストストラクチャが使用可能であり、フィールドソルバに代わる異なる数学技術、異なる受動及び能動技術が、結合容量を測定するために使用可能であり、また、異なる最適化方法が、最終プロセスパラメータを決定するために使用可能であることを認識する。また、当業者は、ここに開示されている実施の形態は、底部面の幅よりも小さい上部面の幅を有する相互接続を含む、非理想形状を有する相互接続を測定するために使用可能であることを認識する。最後に、ここに開示されている測定技術は、あらゆる伝導材料によって構成されている相互接続に適用することができる。
明細書に開示されている実施の形態に対応する相互接続測定処理を説明するフローチャートである。 明細書に開示されている実施の形態に対応するテストストラクチャの斜視図を示している。 明細書に開示されている実施の形態に対応するテストストラクチャの部分断面図を示している。 明細書に開示されている実施の形態に対応する受動測定技術を用いて結合容量Ct、Cb及びCcが測定されるテストストラクチャを示している。 明細書に開示されている実施の形態に対応する能動測定技術を用いて結合容量Ct、Cb及びCcが測定されるテストストラクチャを示している。

Claims (30)

  1. 集積回路の相互接続のプロセスパラメータを決定する方法であって、
    テストストラクチャと関連する結合容量の第1のセットを決定すること、
    テストストラクチャと関連する結合容量の第2のセットを計算すること、
    結合容量の第1のセットと結合容量の第2のセットを用いて集積回路のプロセスパラメータを決定すること、
    により構成されている。
  2. 請求項1の方法であって、テストストラクチャと関連する結合容量の第1のセットの決定は、
    第1のプレートと第1の伝導体あるいは第2の伝導体との間の第1の容量を決定すること、
    第2のプレートと第1あるいは第2の伝導体との間の第2の容量を決定すること、
    により構成されている。
  3. 請求項1の方法であって、テストストラクチャと関連する結合容量の第2のセットの算出は、
    初期プロセスパラメータをフィールドソルバに入力すること、
    フィールドソルバを用いて結合容量の第2のセットを計算すること、
    により構成されている。
  4. 請求項1の方法であって、結合容量の第1のセットと結合容量の第2のセットを用いる、集積回路のプロセスパラメータの決定は、
    結合容量の第1のセットと結合容量の第2のセットを比較すること、
    結合容量の第1のセットと結合容量の第2のセットとの間の差が設定値以下の時に、物理的なプロセスパラメータが初期プロセスパラメータと等しいことを決定すること、
    により構成されている。
  5. 請求項1の方法であって、結合容量の第1のセットと結合容量の第2のセットを用いる、集積回路のプロセスパラメータの決定は、
    結合容量の第1のセットと結合容量の第2のセットと比較すること、
    結合容量の第1のセットと結合容量の第2のセットとの間の差が設定値以上の時に、修正されたプロセスパラメータを生成すること、
    により構成されている。
  6. 請求項1の方法であって、テストストラクチャと関連する結合容量の第1のセットの決定は、
    第1のプレートと第1の伝導体あるいは第2の伝導体との間の第1の容量を決定すること、
    第2のプレートと第1の伝導体あるいは第2の伝導体との間の第2の容量を決定すること、
    第1の伝導体と第2の伝導体との間の第3の容量を決定すること、
    により構成されている。
  7. 請求項6の方法であって、第1のプレート、第2のプレート、第1の伝導体及び第2の伝導体は、銅により形成されている。
  8. 請求項1の方法であって、テストストラクチャは、銅により形成されている。
  9. 請求項1の方法であって、テストストラクチャは、チャージベース容量測定(CBCM)回路と電気的に接続されている。
  10. 請求項1の方法であって、テストストラクチャの断面は、非長方形である。
  11. 集積回路内のテストストラクチャの結合容量を決定する方法であって、テストストラクチャは、第1のプレート、第2のプレート、第1のプレートと第2のプレートの間に設けられている第1の伝導体、第1のプレートと第2のプレートとの間に設けられている第2の伝導体を備えており、
    第1のプレートと第1の伝導体あるいは第2の伝導体との間の第1の容量を決定すること、
    第2のプレートと第1あるいは第2の伝導体との間の第2の容量を決定すること、
    第1の伝導体と第2の伝導体との間の第3の容量を決定すること、
    により構成されている。
  12. 請求項11の方法であって、第1のプレート、第1の伝導体、第2の伝導体及び第2のプレートは、銅により形成されている。
  13. 請求項11の方法であって、第1の伝導体と第2の伝導体の形状は、対称である。
  14. 請求項11の方法であって、第1の伝導体と第2の伝導体の双方は、櫛形状を有している。
  15. 請求項11の方法であって、第1の伝導体と第2の伝導体の双方は、迷路形状を有している。
  16. 請求項11の方法であって、第1の伝導体と第2の伝導体の双方は、蛇行形状を有している。
  17. 請求項11の方法であって、第1のプレートと第2のプレートの双方は、固定プレートである。
  18. 請求項11の方法であって、第1のプレートと第2のプレートの双方は、スロットが形成されたプレートである。
  19. 請求項11の方法であって、第1のプレートは、第1の検査パッドに電気的に接続され、第1の伝導体は、第2の検査パッドに電気的に接続され、第2の伝導体は、第3の検査パッドに電気的に接続され、第2のプレートは、第4の検査パッドに電気的に接続されている。
  20. 請求項11の方法であって、第1のプレートは、第1のマルチプレクサに電気的に接続され、第1の伝導体は、第2のマルチプレクサに電気的に接続され、第2の伝導体は、第3のマルチプレクサに電気的に接続され、第2のプレートは、第4のマルチプレクサに電気的に接続され、第1のプレート、第1のマルチプレクサ、第1の伝導体、第2のマルチプレクサ、第2の伝導体、第3のマルチプレクサ、第2のプレート及び第4のマルチプレクサは、1つの集積回路内に含まれている。
  21. 請求項11の方法であって、第1の伝導体の断面は、非長方形であり、第2の伝導体の断面は、非長方形である。
  22. 少なくとも1つのテストストラクチャを含む集積回路であって、テストストラクチャは、
    第1の伝導プレートと、
    第2の伝導プレートと、
    第1の伝導プレートと第2の伝導プレートとの間に設けられている第1の伝導体と、
    第1の伝導プレートと第2の伝導プレートとの間に設けられている第2の伝導体と、
    第1の伝導プレート、第2の伝導プレート、第1の伝導体及び第2の伝導体に電気的に接続されている電流測定回路、
    を備えている。
  23. 請求項22の集積回路であって、第1の伝導プレート、第1の伝導体、第2の伝導体及び第2の伝導プレートは、銅により形成されている。
  24. 請求項22の集積回路であって、第1の伝導体と第2の伝導体の形状は、対称である。
  25. 請求項22の集積回路であって、第1の伝導体と第2の伝導体の双方は、櫛形状を有している。
  26. 請求項22の集積回路であって、第1の伝導体と第2の伝導体の双方は、迷路形状を有している。
  27. 請求項22の集積回路であって、第1の伝導体と第2の伝導体の双方は、蛇行形状を有している。
  28. 請求項22の集積回路であって、第1の伝導プレートと第2の伝導プレートの双方は、固体プレートである。
  29. 請求項22の集積回路であって、第1の伝導プレートと第2の伝導プレートの双方は、スロットが形成されたプレートである。
  30. 請求項22の集積回路であって、第1の伝導体の断面は非長方形であり、第2の伝導体の断面は非長方形である。
JP2005121538A 2004-03-22 2005-03-22 集積回路の相互接続プロセスパラメータの測定 Pending JP2005317961A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/806,680 US7089516B2 (en) 2004-03-22 2004-03-22 Measurement of integrated circuit interconnect process parameters

Publications (1)

Publication Number Publication Date
JP2005317961A true JP2005317961A (ja) 2005-11-10

Family

ID=34985596

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005121538A Pending JP2005317961A (ja) 2004-03-22 2005-03-22 集積回路の相互接続プロセスパラメータの測定

Country Status (3)

Country Link
US (1) US7089516B2 (ja)
JP (1) JP2005317961A (ja)
TW (1) TW200603317A (ja)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4194965B2 (ja) * 2004-03-19 2008-12-10 矢崎総業株式会社 線条構造物の配線設計支援方法、その装置及びそのプログラム
US8818784B1 (en) * 2004-06-23 2014-08-26 Cypress Semiconductor Corporation Hardware description language (HDL) incorporating statistically derived data and related methods
US7480605B2 (en) * 2005-01-18 2009-01-20 International Business Machines Corporation Techniques for determining parameter variability for interconnects in the presence of manufacturing uncertainty
US20090001370A1 (en) * 2007-06-28 2009-01-01 Lin Wallace W Method and apparatus for extracting properties of interconnect wires and dielectrics undergoing planarization process
US7818698B2 (en) * 2007-06-29 2010-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Accurate parasitic capacitance extraction for ultra large scale integrated circuits
US8136068B2 (en) * 2008-09-30 2012-03-13 Cadence Design Systems, Inc. Methods, systems, and computer program products for implementing compact manufacturing models in electronic design automation
WO2010056343A2 (en) * 2008-11-14 2010-05-20 Teradyne, Inc. Fast open circuit detection for open power and ground pins
KR20100078433A (ko) * 2008-12-30 2010-07-08 주식회사 동부하이텍 사다리꼴 금속배선의 시뮬레이션을 위한 모델링 구조
US8302052B2 (en) * 2009-06-23 2012-10-30 Cadence Design Systems, Inc. Methods, systems, and computer program product for implementing hotspot detection, repair, and optimization of an electronic circuit design
TWI403743B (zh) * 2010-05-25 2013-08-01 Realtek Semiconductor Corp 電路模型萃取方法
US9157980B2 (en) 2012-11-19 2015-10-13 International Business Machines Corporation Measuring metal line spacing in semiconductor devices
US11138358B2 (en) * 2017-09-29 2021-10-05 Texas Instruments Incorporated Simulation and analysis of circuit designs
US11107880B2 (en) 2019-05-10 2021-08-31 Globalfoundries U.S. Inc. Capacitor structure for integrated circuit, and related methods
US11348867B2 (en) 2020-11-05 2022-05-31 Globalfoundries U.S. Inc. Capacitor structure for integrated circuit and related methods
US11699650B2 (en) 2021-01-18 2023-07-11 Globalfoundries U.S. Inc. Integrated circuit structure with capacitor electrodes in different ILD layers, and related methods

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003092321A (ja) * 2001-09-18 2003-03-28 Handotai Rikougaku Kenkyu Center:Kk プロセス変数同定方法、プロセス変数同定装置、及び評価用試料

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6057171A (en) 1997-09-25 2000-05-02 Frequency Technology, Inc. Methods for determining on-chip interconnect process parameters
US5999010A (en) 1997-12-08 1999-12-07 Simplex Solutions, Inc. Method of measuring interconnect coupling capacitance in an IC chip
US6430729B1 (en) * 2000-01-31 2002-08-06 International Business Machines Corporation Process and system for maintaining 3 sigma process tolerance for parasitic extraction with on-the-fly biasing
US6424141B1 (en) * 2000-07-13 2002-07-23 The Micromanipulator Company, Inc. Wafer probe station

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003092321A (ja) * 2001-09-18 2003-03-28 Handotai Rikougaku Kenkyu Center:Kk プロセス変数同定方法、プロセス変数同定装置、及び評価用試料

Also Published As

Publication number Publication date
US7089516B2 (en) 2006-08-08
TW200603317A (en) 2006-01-16
US20050206394A1 (en) 2005-09-22

Similar Documents

Publication Publication Date Title
JP2005317961A (ja) 集積回路の相互接続プロセスパラメータの測定
US8572537B2 (en) Accurate parasitic capacitance extraction for ultra large scale integrated circuits
Bansal et al. An analytical fringe capacitance model for interconnects using conformal mapping
US9021412B2 (en) RC extraction methodology for floating silicon substrate with TSV
US10169506B2 (en) Circuit design method and system
JP3926148B2 (ja) 電子回路設計シミュレータ
KR101365767B1 (ko) 디-임베딩을 위한 방법 및 장치
JP4711801B2 (ja) 回路設計システム及び回路設計プログラム
US9886542B2 (en) Modeling TSV interposer considering depletion capacitance and substrate effects
CN110674612B (zh) 集成电路工艺后道互连寄生电容电阻的建模方法
US20050114054A1 (en) Method for analyzing power supply noise of semiconductor integrated circuit
WO2010011448A2 (en) Predictive modeling of interconnect modules for advanced on-chip interconnect technology
US8495532B2 (en) Systems and methods for creating frequency-dependent RC extraction netlist
JP2009231841A (ja) Mos容量テスト構造と、それに関連した、電圧の関数として容量曲線を測定するための方法
KR20100118934A (ko) T-커넥션, t-커넥션의 설계 방법 및 t-커넥션의 콤팩트 모델링
US10013523B2 (en) Full-chip assessment of time-dependent dielectric breakdown
Abouelatta-Ebrahim et al. Modelling of through silicon via and devices electromagnetic coupling
JP5144084B2 (ja) 素子特性測定回路及び半導体装置
US6763503B1 (en) Accurate wire load model
JP2004086318A (ja) シミュレーション用等価回路モデル生成装置、回路シミュレーションシステム、シミュレーション用等価回路モデル生成方法、制御プログラムおよび可読記録媒体
Wee et al. Measurement and characterization of multilayered interconnect capacitance for deep-submicron VLSI technology
US8271913B2 (en) Method and system for design and modeling of transmission lines
Arora Challenges of modeling VLSI interconnects in the DSM Era
Tan et al. Enhanced on-die rc characterization methodology
Morey-Chaisemartin et al. CMP monitoring and prediction based metal fill

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071220

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101104

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101203

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110302

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110308

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110401

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110414

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110428

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110512

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110920