JP2005167280A - Semiconductor device, active matrix substrate, and electronic equipment - Google Patents

Semiconductor device, active matrix substrate, and electronic equipment Download PDF

Info

Publication number
JP2005167280A
JP2005167280A JP2005031152A JP2005031152A JP2005167280A JP 2005167280 A JP2005167280 A JP 2005167280A JP 2005031152 A JP2005031152 A JP 2005031152A JP 2005031152 A JP2005031152 A JP 2005031152A JP 2005167280 A JP2005167280 A JP 2005167280A
Authority
JP
Japan
Prior art keywords
film
semiconductor
semiconductor device
thin film
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005031152A
Other languages
Japanese (ja)
Inventor
Mitsutoshi Miyasaka
光敏 宮坂
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Seiko Epson Corp
Original Assignee
Seiko Epson Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Seiko Epson Corp filed Critical Seiko Epson Corp
Priority to JP2005031152A priority Critical patent/JP2005167280A/en
Publication of JP2005167280A publication Critical patent/JP2005167280A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Thin Film Transistor (AREA)
  • Recrystallisation Techniques (AREA)
  • Liquid Crystal (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To manufacture an improved polycrystalline thin-film semiconductor device at relatively low temperature. <P>SOLUTION: A method for manufacturing a semiconductor device for using a semiconductor film formed on a substrate as an active layer comprises a process for depositing an amorphous semiconductor film by using a material gas containing high-order silane while deposition temperature is less than 430°C and a deposition speed is equal to or higher than 0.5 nm/min in a low-pressure chemical vapor phase deposition method, a process for forming a crystalline semiconductor film by crystallizing the amorphous semiconductor film in a solid phase, and a process for melting one portion of the crystalline semiconductor film. <P>COPYRIGHT: (C)2005,JPO&NCIPI

Description

本発明はアクティブマトリックス液晶ディスプレイ等に適用される薄膜半導体装置の製造方法、薄膜半導体装置、液晶表示装置及び電子機器に関する。 The present invention relates to a method for manufacturing a thin film semiconductor device applied to an active matrix liquid crystal display or the like, a thin film semiconductor device, a liquid crystal display device, and an electronic apparatus.

近年、液晶ディスプレイ(LCD)の大画面化、高解像度化に伴い、その駆動方式は単純マトリックス方式からアクティブマトリックス方式へ移行し、大容量の情報を表示できるようになりつつある。アクティブマトリックス方式は数十万を越える画素を有する液晶ディスプレイが可能であり、各画素毎にスイッチングトランジスタを形成するものである。各種液晶ディスプレイの基板としては、透過型ディスプレイを可能ならしめる溶融石英板やガラスなどの透明絶縁基板が使用されている。 In recent years, with the increase in screen size and resolution of a liquid crystal display (LCD), the driving method has shifted from the simple matrix method to the active matrix method, and a large amount of information can be displayed. The active matrix method enables a liquid crystal display having more than several hundred thousand pixels, and forms a switching transistor for each pixel. As a substrate for various liquid crystal displays, a transparent insulating substrate such as a fused quartz plate or glass that enables a transmissive display is used.

薄膜トランジスタ(TFT)の能動層としては、通常アモルファスシリコンや多結晶シリコンなどの半導体膜が用いられるが、駆動回路まで一体化して薄膜トランジスタで形成しようとする場合には動作速度の速い多結晶シリコンを用いるのが有利である。多結晶シリコン膜を能動層とする場合は溶融石英板を基板として用い、通常は工程最高温度が1000℃を越える高温プロセスと呼ばれる製造方法にてTFTが作成されている。一方アモルファスシリコン膜を能動層とする場合には通常のガラス基板が用いられている。
LCDの表示画面の拡大化や低価格化を進める場合にはこのように絶縁基板として安価な通常ガラスを使用するのが必要不可欠である。
As the active layer of the thin film transistor (TFT), a semiconductor film such as amorphous silicon or polycrystalline silicon is usually used. However, when the drive circuit is integrated with the thin film transistor, polycrystalline silicon having a high operation speed is used. Is advantageous. When a polycrystalline silicon film is used as an active layer, a fused quartz plate is used as a substrate, and a TFT is usually produced by a manufacturing method called a high-temperature process in which the maximum process temperature exceeds 1000 ° C. On the other hand, when an amorphous silicon film is used as an active layer, a normal glass substrate is used.
In order to expand the LCD display screen and reduce the price, it is indispensable to use inexpensive ordinary glass as the insulating substrate.

しかしながら、前述の如くアモルファスシリコン膜は電気特性が多結晶シリコン膜に比べ著しく劣り動作速度が遅い等の問題を内有している。また、高温プロセスの多結晶シリコンTFTは溶融石英板を用いているため、LCDの大型化や低価格化が困難との問題を有している。結局、通常のガラス基板上に多結晶シリコン膜等の半導体膜を能動層とする薄膜半導体装置を作成する技術が強く求められているのである。   However, as described above, the amorphous silicon film has problems such as significantly lower electrical characteristics than the polycrystalline silicon film and a low operation speed. In addition, since the polycrystalline silicon TFT of the high temperature process uses a fused quartz plate, there is a problem that it is difficult to increase the size and cost of the LCD. As a result, there is a strong demand for a technique for producing a thin film semiconductor device having a semiconductor film such as a polycrystalline silicon film as an active layer on a normal glass substrate.

然るに量産性に富む大型の通常ガラス基板を用いる際には、基板の変形を避けるべく工程最高温度を約570℃程度以下とする大きな制約がある。すなわち斯様な制約下にて液晶ディスプレイを動作し得る薄膜トランジスタと、駆動回路を高速作動し得る薄膜トランジスタの能動層を形成する技術が望まれている。これらは現在低温プロセスpoly−Si TFTと称されている。   However, when using a large normal glass substrate that is rich in mass productivity, there is a great restriction that the maximum process temperature is about 570 ° C. or less in order to avoid deformation of the substrate. That is, a technique for forming a thin film transistor capable of operating a liquid crystal display under such restrictions and an active layer of a thin film transistor capable of operating a driving circuit at high speed is desired. These are now called low-temperature process poly-Si TFTs.

従来の低温プロセスpoly−Si TFTは、非特許文献1に示されている。それによると、まずLPCVD法で原料気体としてモノシランを(SiH4)を用い、堆積温度550℃にて50nmのアモルファスシリコン(a−Si)膜を堆積し、このa−Si膜にレーサー照射を施し、a−Si膜をpoly−Si膜へと改質する。poly−Si膜のバターニング後、ゲート絶縁膜であるSiO2膜をECR−PECVD法で基板温度を100℃として堆積する。ゲート絶縁膜上にタンタル(Ta)にてゲート電極を形成した後、ゲート電極をマスクとしてドナー又はアクセプター不純物をシリコン膜にイオン注入してトランジスタのソース・ドレインを自己整合的(セルフ・アライン)に形成する。この時イオン注入はイオン・ドーピング法と呼ばれる質量非分離型の注入装置を用い、水素希釈されたフォスフィン(PH3)やジボラン(B26)を原料気体として用いている。 A conventional low-temperature process poly-Si TFT is disclosed in Non-Patent Document 1. According to this, first, monosilane (SiH 4 ) is used as a raw material gas by LPCVD, an amorphous silicon (a-Si) film having a thickness of 50 nm is deposited at a deposition temperature of 550 ° C., and this a-Si film is irradiated with a laser. The a-Si film is modified to a poly-Si film. After buttering the poly-Si film, a SiO 2 film as a gate insulating film is deposited at a substrate temperature of 100 ° C. by ECR-PECVD. After forming a gate electrode from tantalum (Ta) on the gate insulating film, donor or acceptor impurities are ion-implanted into the silicon film using the gate electrode as a mask to make the source / drain of the transistor self-aligned (self-aligned) Form. At this time, ion implantation uses a mass non-separation type implantation apparatus called ion doping method, and phosphine (PH 3 ) or diborane (B 2 H 6 ) diluted with hydrogen is used as a source gas.

注入イオンの活性化は300℃である。その後層間絶縁膜を堆積し、インジウム錫酸化物(ITO)やアルミニウム(Al)で電極や配線を作成し、薄膜半導体装置は完成する。   The activation of the implanted ions is 300 ° C. Thereafter, an interlayer insulating film is deposited, and electrodes and wirings are made of indium tin oxide (ITO) or aluminum (Al), thereby completing the thin film semiconductor device.

SID(Society for Information Display)´93ダイジェストP.387(1993)SID (Society for Information Display) '93 digest P.I. 387 (1993)

しかしながら、前述の従来技術に則る低温プロセスpoly−Si TFTには次のような問題が内在しており、これらが量産化の阻害要因となっている。   However, the following problems are inherent in the low-temperature process poly-Si TFT according to the above-described conventional technology, and these are obstructive factors for mass production.

課題1).工程温度が550℃と高いため、安価なガラスを使用できず、製品価格の高騰を招く。加えてガラス自身の自重によるゆがみが大型化と共に大きくなり、液晶表示装置(LCD)を大型化し得ない。 Problem 1). Since the process temperature is as high as 550 ° C., an inexpensive glass cannot be used, resulting in an increase in product price. In addition, distortion due to the weight of the glass itself increases as the size of the glass increases, and the liquid crystal display (LCD) cannot be increased in size.

課題2).基板全体に渡る均一なレーザー照射を行う適正照射条件が厳しく、適用範囲が狭い。そのため、結晶化がロット毎に均一であったり不均一であったりと変動し、安定的な生産ができない。 Problem 2). Appropriate irradiation conditions for uniform laser irradiation over the entire substrate are strict and the application range is narrow. Therefore, crystallization varies from lot to lot and is not uniform, and stable production is not possible.

課題3).ゲート電極に対してソース・ドレインが自己整合するセルフ・アラインTFTをイオン・ドーピング法及びそれに引き続き300℃〜350℃程度の低温で活性化する際、時々活性化ができないとの問題が生ずる。すなわちソース・ドレインの抵抗が数ギガΩになってしまう。この問題はライトリー・ドープド・ドレイン(LDD)TFTを作成しようとするときより深刻となり、著しい歩留まりの低下原因となっている。 Problem 3). When a self-aligned TFT in which the source and drain are self-aligned with the gate electrode is activated at an ion doping method and subsequently at a low temperature of about 300 ° C. to 350 ° C., there is a problem that it cannot be activated occasionally. That is, the source / drain resistance becomes several gigaΩ. This problem is more serious when trying to make lightly doped drain (LDD) TFTs, which causes a significant drop in yield.

課題4).低温プロセスpoly−Si TFTではECR−PECVD法にて作成したSiO2しか良好なトランジスタ特性を示さないが、ECR−PECVD装置はECR源の大型化が困難でLCDの大型化に適さない。また、スループットがきわめて悪い。従って大型基板に適用可能で量産性に富む実用的なゲート酸化膜製造装置が得られていない。 Problem 4). The low-temperature process poly-Si TFT shows only good transistor characteristics with SiO 2 produced by ECR-PECVD, but the ECR-PECVD apparatus is difficult to increase the size of the ECR source and is not suitable for increasing the size of the LCD. Also, the throughput is very bad. Therefore, a practical gate oxide film manufacturing apparatus that can be applied to a large substrate and has high mass productivity has not been obtained.

課題5).シリコンなどの半導体膜をレーザー照射等の熔融結晶化によって形成する際に部分凝集が生じ、そのために半導体膜の電気特性に基板内で大きな変動が生じたり、半導体膜表面が粗くなりゲー卜・ソース間やゲート・ドレイン間の電気耐圧が低くなる。 Problem 5). When a semiconductor film such as silicon is formed by melt crystallization such as laser irradiation, partial agglomeration occurs, which causes large fluctuations in the electrical characteristics of the semiconductor film within the substrate, and the semiconductor film surface becomes rough, resulting in a gate / source. The electric withstand voltage between the gate and the drain becomes low.

課題6).基板に廉価な汎用ガラスなどを用いたとき、基板から半導体膜への不純物混入を効果的に防ぐ下地保護膜が、最良な電気特性を示す薄膜半導体装置の下地保護膜ではなかった。すなわち不純物混入を防ぐために下地保護膜を厚くすると、下地保護膜からのストレスに起因して薄膜半導体装置の電気特性が悪化したり、或いは薄膜半導体装置にひび割れ(クラック)が発生していた。 Problem 6). When inexpensive general-purpose glass or the like is used for the substrate, the base protective film that effectively prevents impurities from entering the semiconductor film from the substrate is not the base protective film of the thin film semiconductor device exhibiting the best electrical characteristics. That is, if the base protective film is thickened to prevent contamination of impurities, the electrical characteristics of the thin film semiconductor device are deteriorated due to stress from the base protective film, or cracks are generated in the thin film semiconductor device.

課題7).半導体膜をプラズマ化学気相堆積法(PECVD法)にて形成する場合、成膜室内を洗浄するとフッ素(F)や炭素(C)等の洗浄気体の構成元素が成膜室内に残留して次に半導体膜を堆積する際に不純物として半導体膜中に混入する。その結果基板間で不純物混入量が異なることとなり、優良な薄膜半導体装置を安定的に製造し得ない。 Problem 7). In the case where a semiconductor film is formed by plasma enhanced chemical vapor deposition (PECVD), cleaning gas components such as fluorine (F) and carbon (C) remain in the film formation chamber after the film formation chamber is cleaned. When the semiconductor film is deposited, it is mixed into the semiconductor film as an impurity. As a result, the amount of mixed impurities differs between the substrates, and an excellent thin film semiconductor device cannot be manufactured stably.

課題8).低圧化学気相堆積法(LPCVD法)にて半導体膜を堆積する場合、堆積温度が低くなるにつれて基板内での均一性と堆積速度の両立が困難となる。すなわち堆積温度を下げると堆積速度が低下するため、これを補償すべく圧力を上げると基板内での均一性が著しく悪化してしまう。この傾向は基板が大きくなるに従って顕著と化し、大型LCD量産の大きな障害となっている。 Problem 8). When a semiconductor film is deposited by a low pressure chemical vapor deposition method (LPCVD method), it becomes difficult to achieve both uniformity and deposition rate in the substrate as the deposition temperature decreases. That is, when the deposition temperature is lowered, the deposition rate is lowered. Therefore, when the pressure is increased to compensate for this, the uniformity in the substrate is remarkably deteriorated. This tendency becomes prominent as the substrate becomes larger, and is a major obstacle to mass production of large LCDs.

課題9).薄膜半導体装置の電気特性のばらつきには基板内のばらつきの他に、同一ロット内での基板間のばらつきとロット間でのばらつきの三種類のばらつきが認められる。従来技術の薄膜半導体装置やその製造方法ではこれら三種類のばらつきの制御ができず、とりわけロット間でのばらつきに対しては殆ど何の考慮も払われていなかった。 Problem 9). In addition to variations in substrates, variations in electrical characteristics of thin film semiconductor devices include three types of variations: variations between substrates in the same lot and variations between lots. The thin film semiconductor device of the prior art and its manufacturing method cannot control these three types of variations, and in particular, no consideration has been given to the variation between lots.

課題10).PECVD法にて半導体膜を成膜する際に半導体膜と下地保護膜との密着性が悪く、半導体膜にクレーター状の穴が無数に発生したり、おびただしきは膜の剥がれが生じてしまう。 Problem 10). When a semiconductor film is formed by PECVD, the adhesion between the semiconductor film and the undercoat protective film is poor, and numerous crater-like holes are generated in the semiconductor film, or the film is peeled off excessively. .

そこで本発明は上述のような諸課題の解決を目指し、その目的は良好な薄膜半導体装置を現実的な簡便な手段で、通常の大型ガラス基板を使用し得る工程温度で、安定的に製造する方法を提供することにある。   Accordingly, the present invention aims to solve the above-mentioned problems, and its purpose is to stably manufacture a good thin film semiconductor device by a practical simple means at a process temperature at which a normal large glass substrate can be used. It is to provide a method.

本発明の薄膜半導体装置は、少なくとも基板表面の一部に絶縁性物質である下地保護膜が設けられた基板と、該基板の下地保護膜上に形成されトランジスタの能動層をなしている半導体膜と、を有する薄膜半導体装置に於いて、 前記下地保護膜はその表面粗さが中心線平均粗さで3.0nm以下であることを特徴とする。   The thin film semiconductor device of the present invention includes a substrate provided with a base protective film that is an insulating material on at least a part of the substrate surface, and a semiconductor film that is formed on the base protective film of the substrate and forms an active layer of a transistor The base protective film has a surface roughness of 3.0 nm or less in terms of center line average roughness.

本発明の薄膜半導体装置は、前記下地保護膜はその表面粗さが中心線平均粗さで1.5nm以下であることを特徴とする。   In the thin film semiconductor device of the present invention, the base protective film has a surface roughness of 1.5 nm or less in terms of center line average roughness.

本発明の薄膜半導体装置の製造方法は、少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 表面粗さが中心線平均粗さで1.5nm以下である下地保護膜上に半導体膜を成膜する第1の工程と、該半導体膜を熔融結晶化させる第2工程と、を有する工程を有することを特徴とする。   In the method for manufacturing a thin film semiconductor device of the present invention, a base protective film that is an insulating material is provided on at least a part of the substrate surface, a semiconductor film is further formed on the base protective film, and the semiconductor film is formed as an active layer of a transistor. A first step of forming a semiconductor film on a base protective film having a surface roughness of 1.5 nm or less as a center line average roughness, and melting the semiconductor film And a second step of crystallizing.

本発明の薄膜半導体装置は、少なくとも基板表面の一部に絶縁性物質である下地保護膜が設けられた基板と、該基板の下地保護膜上に形成されトランジスタの能動層をなしている半導体膜と、を有する薄膜半導体装置に於いて、 前記下地保護膜は少なくとも二種類の異なった膜が積層された積層膜であり、該二種類の異なった膜のうち最上層をなす膜が酸化硅素(SiOx、0<x≦2)膜であることを特徴とする。 The thin film semiconductor device of the present invention includes a substrate provided with a base protective film that is an insulating material on at least a part of the substrate surface, and a semiconductor film that is formed on the base protective film of the substrate and forms an active layer of a transistor And the base protective film is a laminated film in which at least two kinds of different films are laminated, and the uppermost film of the two kinds of different films is silicon oxide ( It is characterized by being a SiO x , 0 <x ≦ 2) film.

本発明の薄膜半導体装置は、前記二種類の異なった膜のうち下層をなす膜が窒化硅素(Si3x、0<x≦4)膜であることを特徴とする
本発明の薄膜半導体装置は、前記酸化硅素膜の膜厚が100nmから500nmの間にあり、前記窒化硅素膜の膜厚が50nmから500nmの間にあることを特徴とする
本発明の薄膜半導体装置は、少なくとも基板表面の一部に絶縁性物質である下地保護膜が設けられた基板と、該基板の下地保護膜上に形成された半導体膜とゲート絶縁膜とゲート電極とを有する電界効果トランジスタと、該電界効果トランジスタの配線間の電気的絶縁性をとる層間絶縁膜と、を有する薄膜半導体装置に於いて、 前記下地保護膜の膜厚と前記ゲート絶縁膜の膜厚と前記層間絶縁膜の膜厚との和が2μm以下であることを特徴とする。
The thin film semiconductor device of the present invention is characterized in that the lower layer of the two different types of films is a silicon nitride (Si 3 N x , 0 <x ≦ 4) film. The film thickness of the silicon oxide film is between 100 nm and 500 nm, and the film thickness of the silicon nitride film is between 50 nm and 500 nm. A field effect transistor having a substrate partially provided with a base protective film that is an insulating material, a semiconductor film formed on the base protective film of the substrate, a gate insulating film, and a gate electrode, and the field effect transistor In a thin film semiconductor device having an interlayer insulating film that provides electrical insulation between the wirings, the sum of the thickness of the base protective film, the thickness of the gate insulating film, and the thickness of the interlayer insulating film Is less than 2μm And features.

本発明の薄膜半導体装置の製造方法は、少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 一台のPECVD装置により該下地保護膜と該半導体膜とを連続的に成膜する成膜工程であって、 該PECVD装置の成膜室内に付着したる薄膜を取り除く第1工程と、 該成膜室にパッシベーション膜を成膜する第2工程と、 該成膜室内に基板を設置する第3工程と、 該基板上に下地保護膜を成膜する第4工程と、 該下地保護膜上に半導体膜を成膜する第5工程と、 該成膜室内から該基板を取り出す第6工程と、を有する成膜工程を有することを特徴とする。   In the method for manufacturing a thin film semiconductor device of the present invention, a base protective film that is an insulating material is provided on at least a part of the substrate surface, a semiconductor film is further formed on the base protective film, and the semiconductor film is formed as an active layer of a transistor. In the method of manufacturing a thin film semiconductor device, a film forming step of continuously forming the base protective film and the semiconductor film with a single PECVD apparatus, which adheres to the film forming chamber of the PECVD apparatus A first step of removing the thin film, a second step of forming a passivation film in the film forming chamber, a third step of installing a substrate in the film forming chamber, and forming a base protective film on the substrate And a fourth step of forming a semiconductor film over the base protective film, and a sixth step of taking out the substrate from the film formation chamber.

本発明の薄膜半導体装置の製造方法は、基板面積(S)が90000mm2以上である基板の少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 複数の基板をLPCVD装置の成膜室内に設置して該半導体膜をLPCVD法により成膜する際、LPCVD装置成膜室内の基板間隔を(d(mm))としたとき、 d≧0.02×S1/2の関係式を満たす条件下にて半導体膜を成膜する工程を有することを特徴とする。 According to the method of manufacturing a thin film semiconductor device of the present invention, a base protective film that is an insulating material is provided on at least a part of a substrate surface of a substrate having a substrate area (S) of 90000 mm 2 or more, and a semiconductor is further formed on the base protective film. In a method of manufacturing a thin film semiconductor device in which a film is formed and the semiconductor film is used as an active layer of a transistor, a plurality of substrates are placed in a film formation chamber of an LPCVD apparatus and the semiconductor film is formed by LPCVD And a step of depositing the semiconductor film under conditions satisfying the relational expression of d ≧ 0.02 × S 1/2 , where (d (mm)) is the substrate interval in the LPCVD apparatus deposition chamber. Features.

本発明の薄膜半導体装置の製造方法は、少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上にシリコンを含有する半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 高次シラン(Sin2n+2:nは2以上の整数)を原料気体としてLPCVD法により該半導体膜を成膜し、単位面積当たりの高次シラン流量(R)が1.13×10-3sccm/cm2以上の条件下にて半導体膜を成膜する工程を有することを特徴とする。 In the method for manufacturing a thin film semiconductor device of the present invention, a base protective film that is an insulating material is provided on at least a part of the substrate surface, a semiconductor film containing silicon is further formed on the base protective film, and the semiconductor film is formed. In a method of manufacturing a thin film semiconductor device as an active layer of a transistor, a semiconductor film is formed by LPCVD using high order silane (Si n H 2n + 2, n is an integer of 2 or more) as a source gas, It is characterized by having a step of forming a semiconductor film under the condition that the higher order silane flow rate (R) per area is 1.13 × 10 −3 sccm / cm 2 or more.

本発明の薄膜半導体装置の製造方法は、Rが2.27×10-3sccm/cm2以上の条件下にて半導体膜を成膜する工程を有することを特徴とする。 The method for manufacturing a thin film semiconductor device of the present invention is characterized in that it includes a step of forming a semiconductor film under a condition that R is 2.27 × 10 −3 sccm / cm 2 or more.

本発明の薄膜半導体装置の製造方法は、少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上にシリコンを含有する半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 堆積温度が450℃未満で高次シラン(Sin2n+2:nは2以上の整数)を原料気体の少なくとも一種として使用するLPCVD法により前記半導体膜を成膜し、その際に半導体膜の堆積速度(DR)が0.20nm/min以上の条件下にて半導体膜を成膜する工程を有することを特徴とする。 In the method for manufacturing a thin film semiconductor device of the present invention, a base protective film that is an insulating material is provided on at least a part of the substrate surface, a semiconductor film containing silicon is further formed on the base protective film, and the semiconductor film is formed. In a method of manufacturing a thin film semiconductor device as an active layer of a transistor, a deposition temperature is less than 450 ° C. and higher order silane (Si n H 2n + 2, n is an integer of 2 or more) is used as at least one kind of source gas. The semiconductor film is formed by LPCVD, and the semiconductor film is formed under the condition that the deposition rate (DR) of the semiconductor film is 0.20 nm / min or more.

本発明の薄膜半導体装置の製造方法は、DRが0.60nm/min以上の条件下にて半導体膜を成膜する工程を有することを特徴とする。   The method for manufacturing a thin film semiconductor device according to the present invention includes a step of forming a semiconductor film under a condition where DR is 0.60 nm / min or more.

本発明の薄膜半導体装置は、少なくとも基板表面の一部に絶縁性物質である下地保護膜が設けられた基板と、該基板の下地保護膜上に形成されトランジスタの能動層をなしている半導体膜と、を有する薄膜半導体装置に於いて、 前記半導体膜は堆積温度が450℃未満のLPCVD法にて成膜された後に結晶化されることにより形成された半導体膜であって、膜厚が10nm以上140nm以下である半導体膜であることを特徴とする。   The thin film semiconductor device of the present invention includes a substrate provided with a base protective film that is an insulating material on at least a part of the substrate surface, and a semiconductor film that is formed on the base protective film of the substrate and forms an active layer of a transistor The semiconductor film is a semiconductor film formed by being crystallized after being deposited by LPCVD with a deposition temperature of less than 450 ° C. and having a thickness of 10 nm. The semiconductor film has a thickness of 140 nm or less.

本発明の薄膜半導体装置の製造方法は、少なくともガラス基板表面に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 ホット・ウォール型縦型LPCVD装置により前記半導体膜を成膜し、 その際に該ホット・ウオール型縦型LPCVD装置内に異なった歪点を有する少なくとも二種類以上の複数のガラス基板を二枚一組として裏面同士を合わせて略水平に設置し、 該二枚一組のガラス基板のうち歪点の大きい方のガラス基板を下側とした状態にて半導体膜を堆積する成膜工程を有することを特徴とする。   A method for manufacturing a thin film semiconductor device according to the present invention is a method for manufacturing a thin film semiconductor device in which a semiconductor film is formed at least on the surface of a glass substrate, and the semiconductor film is used as an active layer of a transistor. The semiconductor film is formed by the above method, and at that time, at least two or more kinds of glass substrates having different strain points in the hot wall type vertical LPCVD apparatus are used as a set of two sheets, and the back surfaces are substantially aligned. It is characterized in that it has a film forming step of depositing a semiconductor film in a state where it is placed horizontally and the glass substrate having the larger strain point of the pair of glass substrates is placed on the lower side.

本発明の薄膜半導体装置の製造方法は、少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 PECVD装置により前記半導体膜を成膜し、 その際、下地保護膜に酸素プラズマを照射する第1工程と、 真空を破ることなく連続して該下地保護膜上に半導体膜を成膜する第2工程と、を有する成膜工程を有することを特徴とする。   In the method for manufacturing a thin film semiconductor device of the present invention, a base protective film that is an insulating material is provided on at least a part of the substrate surface, a semiconductor film is further formed on the base protective film, and the semiconductor film is formed as an active layer of a transistor. In the method of manufacturing a thin film semiconductor device, the semiconductor film is formed by a PECVD apparatus, and at that time, a first step of irradiating the base protective film with oxygen plasma, and the base layer continuously without breaking the vacuum And a second step of forming a semiconductor film over the protective film.

本発明の薄膜半導体装置の製造方法は、前記第1工程と前記第2工程との間に成膜室の真空引きを行うことを特徴とする。   The method for manufacturing a thin film semiconductor device of the present invention is characterized in that the film forming chamber is evacuated between the first step and the second step.

本発明の薄膜半導体装置の製造方法は、少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 PECVD装置により前記半導体膜を成膜し、 その際、下地保護膜に水素プラズマを照射する第1工程と、 真空を破ることなく連続して該下地保護膜上に半導体膜を成膜する第2工程と、を有する成膜工程を有することを特徴とする。   In the method for manufacturing a thin film semiconductor device of the present invention, a base protective film that is an insulating material is provided on at least a part of the substrate surface, a semiconductor film is further formed on the base protective film, and the semiconductor film is formed as an active layer of a transistor. In the method of manufacturing a thin film semiconductor device, the semiconductor film is formed by a PECVD apparatus, and at that time, a first step of irradiating the base protective film with hydrogen plasma and the base layer continuously without breaking the vacuum And a second step of forming a semiconductor film over the protective film.

本発明の薄膜半導体装置の製造方法は、少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 PECVD装置により該半導体膜を成膜し、 その際、下地保護膜に酸素プラズマを照射する第1工程と、 真空を破ることなく連続して下地保護膜に水素プラズマを照射する第2工程と、 さらに真空を破ることなく連続して該下地保護膜上に半導体膜を成膜する第3工程と、を有する成膜工程を有することを特徴とする。   In the method for manufacturing a thin film semiconductor device of the present invention, a base protective film that is an insulating material is provided on at least a part of the substrate surface, a semiconductor film is further formed on the base protective film, and the semiconductor film is formed as an active layer of a transistor. In the manufacturing method of a thin film semiconductor device, the semiconductor film is formed by a PECVD apparatus, and at that time, the first step of irradiating the base protective film with oxygen plasma, and the base protection continuously without breaking the vacuum A film forming process comprising: a second process of irradiating the film with hydrogen plasma; and a third process of continuously forming a semiconductor film on the base protective film without breaking the vacuum. .

本発明の薄膜半導体装置の製造方法は、前記第1工程と前記第2工程との間に成膜室の真空引きを行うことを特徴とする。   The method for manufacturing a thin film semiconductor device of the present invention is characterized in that the film forming chamber is evacuated between the first step and the second step.

本発明の薄膜半導体装置の製造方法は、少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜土に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 PECVD装置により前記半導体膜を成膜し、 その際、該下地保護膜土に半導体膜を成膜する第1工程と、 真空を破ることなく連続して該半導体膜に水素プラズマを照射する第2工程と、を有する成膜工程を有することを特徴とする。   In the method for manufacturing a thin film semiconductor device of the present invention, a base protective film that is an insulating material is provided on at least a part of the substrate surface, a semiconductor film is further formed on the base protective film soil, and the semiconductor film is used as an active layer of a transistor. In the thin film semiconductor device manufacturing method, the semiconductor film is formed by a PECVD apparatus, and at that time, the first step of forming the semiconductor film on the base protective film soil is continuously performed without breaking the vacuum. And a second step of irradiating the semiconductor film with hydrogen plasma.

本発明の薄膜半導体装置の製造方法は、少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜土に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 PECVD装置により前記半導体膜を成膜し、 その際、該下地保護膜上に半導体膜を成膜する第1工程と、 真空を破ることなく連続して該半導体膜に酸素プラズマを照射する第2工程と、を有する成膜工程を有することを特徴とする。   In the method for manufacturing a thin film semiconductor device of the present invention, a base protective film that is an insulating material is provided on at least a part of the substrate surface, a semiconductor film is further formed on the base protective film soil, and the semiconductor film is used as an active layer of a transistor. In the method of manufacturing a thin film semiconductor device, the semiconductor film is formed by a PECVD apparatus, and at that time, the first step of forming the semiconductor film on the base protective film is continuously performed without breaking the vacuum. And a second step of irradiating the semiconductor film with oxygen plasma.

本発明の薄膜半導体装置の製造方法は、少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 PECVD装置により前記半導体膜を成膜し、 その際、該下地保護膜上に半導体膜を成膜する第1工程と、 真空を破ることなく連続して該半導体膜に水素プラズマを照射する第2工程と、 さらに真空を破ることなく連続して該半導体膜に酸素プラズマを照射する第3工程と、を有する成膜工程を有することを特徴とする。   In the method for manufacturing a thin film semiconductor device of the present invention, a base protective film that is an insulating material is provided on at least a part of the substrate surface, a semiconductor film is further formed on the base protective film, and the semiconductor film is formed as an active layer of a transistor. In the method of manufacturing a thin film semiconductor device, the semiconductor film is formed by a PECVD apparatus, and at that time, the first step of forming the semiconductor film on the base protective film is continuously performed without breaking the vacuum. And a second step of irradiating the semiconductor film with hydrogen plasma and a third step of continuously irradiating the semiconductor film with oxygen plasma without breaking the vacuum. .

本発明の薄膜半導体装置の製造方法は、少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 下地保護膜上に半導体膜を成膜する第1工程と、 該半導体膜膜表面から酸化膜を除去する第2工程と、 酸化膜除去後直ちに該半導体膜を熔融結晶化させる第3工程と、を有することを特徴とする。   In the method for manufacturing a thin film semiconductor device of the present invention, a base protective film that is an insulating material is provided on at least a part of the substrate surface, a semiconductor film is further formed on the base protective film, and the semiconductor film is formed as an active layer of a transistor. In the method of manufacturing a thin film semiconductor device, a first step of forming a semiconductor film on a base protective film, a second step of removing the oxide film from the surface of the semiconductor film, and immediately after removing the oxide film, And a third step of melt crystallization of the semiconductor film.

本発明の薄膜半導体装置の製造方法は、少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 PECVD法により堆積速度が0.1nm/s程度以上の条件下にて混晶質の半導体膜を成膜する第1工程と、 該半導体膜を熔融結晶化させる第2工程と、を有することを特徴とする。   In the method for manufacturing a thin film semiconductor device of the present invention, a base protective film that is an insulating material is provided on at least a part of the substrate surface, a semiconductor film is further formed on the base protective film, and the semiconductor film is formed as an active layer of a transistor. In the method for manufacturing a thin film semiconductor device, a first step of forming a mixed crystal semiconductor film by PECVD under a condition that the deposition rate is about 0.1 nm / s or more, and melting the semiconductor film And a second step of crystallizing.

本発明の薄膜半導体装置の製造方法は、前記第1工程が堆積速度が3.7nm/s程度以上の条件下にて混晶質の半導体膜を成膜する工程であることを特徴とする。   In the method of manufacturing a thin film semiconductor device according to the present invention, the first step is a step of forming a mixed crystal semiconductor film under a condition that a deposition rate is about 3.7 nm / s or more.

本発明の薄膜半導体装置の製造方法は、少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 該半導体膜の構成元素を含有する化学物質と不活性気体を原料気体とし、不活性気体のガスの流量に対する半導体膜の構成元素を含有する化学物質のガスの流量の流量比を1/33未満とした条件下にてPECVD法により混晶質の半導体膜を成膜する第1工程と、 該半導体膜を熔融結晶化させる第2工程と、を有することを特徴とする。   In the method for manufacturing a thin film semiconductor device of the present invention, a base protective film that is an insulating material is provided on at least a part of the substrate surface, a semiconductor film is further formed on the base protective film, and the semiconductor film is formed as an active layer of a transistor. In the method for manufacturing a thin film semiconductor device, a chemical substance containing a constituent element of the semiconductor film and a chemical substance containing the constituent element of the semiconductor film and an inert gas as a raw material gas and a flow rate of the gas of the inert gas are contained. A first step of forming a mixed crystal semiconductor film by PECVD under a condition where the flow rate ratio of the gas flow is less than 1/33, and a second step of melt crystallization of the semiconductor film. It is characterized by having.

本発明の薄膜半導体装置の製造方法は、前記第1工程が前記流量比を1/124から40.67/1の間とした条件下にてPECVD法により混晶質の半導体膜を成膜する工程であることを特徴とする。   In the method of manufacturing a thin film semiconductor device of the present invention, a mixed crystal semiconductor film is formed by PECVD under the condition that the first step is performed with the flow rate ratio being between 1/124 and 40.67 / 1. It is a process.

本発明の薄膜半導体装置は、少なくとも基板表面の一部に絶縁性物質である下地保護膜が設けられた基板と、該基板の下地保護膜上に形成されトランジスタの能動層をなしている半導体膜と、を有する薄膜半導体装置に於いて、 前記半導体膜はPECVD法にて成膜された後に結晶化されることにより形成された半導体膜であって、膜厚が9nm以上135nm以下の半導体膜であることを特徴とする。   The thin film semiconductor device of the present invention includes a substrate provided with a base protective film that is an insulating material on at least a part of the substrate surface, and a semiconductor film that is formed on the base protective film of the substrate and forms an active layer of a transistor The semiconductor film is a semiconductor film formed by being crystallized after being formed by PECVD, and is a semiconductor film having a thickness of 9 nm to 135 nm. It is characterized by being.

本発明の薄膜半導体装置の製造方法は、少なくとも表面の一部が絶縁性物質である基板の該絶縁性物質上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 減圧化学気相堆積法(LPCVD法)により堆積温度450℃未満の温度で半導体膜を堆積する第1工程と、 該半導体膜に光学エネルギー又は電磁波エネルギー照射を施す第2工程と、を有し、 かつ、該第2工程の終了以降の工程最高温度が350℃以下であることを特徴とする。   The method of manufacturing a thin film semiconductor device according to the present invention is a method for forming a thin film semiconductor device in which a semiconductor film is formed on the insulating material of a substrate having at least a part of the surface being an insulating material, and the semiconductor film serves as an active layer of a transistor In the manufacturing method, a first step of depositing a semiconductor film at a deposition temperature of less than 450 ° C. by low pressure chemical vapor deposition (LPCVD), and a second step of irradiating the semiconductor film with optical energy or electromagnetic energy And the maximum process temperature after the end of the second process is 350 ° C. or lower.

本発明の薄膜半導体装置の製造方法は、前記第1工程が堆積温度430℃以下の温度で半導体膜を堆積する工程であることを特徴とする。   In the method of manufacturing a thin film semiconductor device according to the present invention, the first step is a step of depositing a semiconductor film at a deposition temperature of 430 ° C. or lower.

本発明の薄膜半導体装置の製造方法は、少なくとも表面の一部が絶縁性物質である基板の該絶縁性物質上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、堆積温度350℃以下で半導体膜を形成する第1工程と、該半導体膜に光学エネルキー又は電磁波エネルギー照射を施す第2工程と、を有し、かつ、該第2工程の終了以降の工程最高温度が350℃以下であることを特徴とする。   The method of manufacturing a thin film semiconductor device according to the present invention is a method for forming a thin film semiconductor device in which a semiconductor film is formed on the insulating material of a substrate having at least a part of the surface being an insulating material, and the semiconductor film is used as an active layer of a transistor. The manufacturing method includes a first step of forming a semiconductor film at a deposition temperature of 350 ° C. or less, and a second step of irradiating the semiconductor film with optical energy or electromagnetic energy, and the second step The maximum process temperature after the end is 350 ° C. or less.

本発明の薄膜半導体装置の製造方法は、第1工程がプラズマ化学気相堆積法(PECVD法)により行われることを特徴とする。   The thin film semiconductor device manufacturing method of the present invention is characterized in that the first step is performed by a plasma enhanced chemical vapor deposition method (PECVD method).

本発明の薄膜半導体装置の製造方法は、第1工程がスパッター法により行われることを特徴とする。   The method for manufacturing a thin film semiconductor device according to the present invention is characterized in that the first step is performed by a sputtering method.

本発明の薄膜半導体装置の製造方法は、少なくとも表面の一部が絶縁性物質である基板の該絶縁性物質上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 VHFプラズマ化学気相堆積法(VHF−PECVD法)により半導体膜を形成する第1工程を有し、かつ、該第1工程の終了以降の工程最高温度が350℃以下であることを特徴とする。   The method of manufacturing a thin film semiconductor device according to the present invention is a method for forming a thin film semiconductor device in which a semiconductor film is formed on the insulating material of a substrate having at least a part of the surface being an insulating material, and the semiconductor film is used as an active layer of a transistor. The manufacturing method includes a first step of forming a semiconductor film by a VHF plasma chemical vapor deposition method (VHF-PECVD method), and a maximum process temperature after the end of the first step is 350 ° C. or less. It is characterized by being.

本発明の薄膜半導体装置の製造方法は、前記第1工程にて半導体膜を形成する際、該半導体膜の膜厚を20nmから150nmの間とすることを特徴とする。   The method for manufacturing a thin film semiconductor device according to the present invention is characterized in that when the semiconductor film is formed in the first step, the thickness of the semiconductor film is between 20 nm and 150 nm.

本発明の薄膜半導体装置の製造方法は、前記第1工程により半導体膜を形成する際、該半導体膜の構成元素を含有する化学物質を原料気体とし、さらに追加気体として希ガス族元素を用いることを特徴とする。   In the method of manufacturing a thin film semiconductor device of the present invention, when forming a semiconductor film by the first step, a chemical substance containing a constituent element of the semiconductor film is used as a source gas, and a rare gas group element is used as an additional gas. It is characterized by.

本発明の薄膜半導体装置の製造方法は、前記半導体膜の構成元素を含有する化学物質がシラン(SiH4、Si26,Si38)であることを特徴とする。 The method for manufacturing a thin film semiconductor device according to the present invention is characterized in that the chemical substance containing the constituent element of the semiconductor film is silane (SiH 4 , Si 2 H 6 , Si 3 H 8 ).

本発明の薄膜半導体装置の製造方法は、前記希ガス族元素がヘリウム(He)であることを特徴とする。   The method for manufacturing a thin film semiconductor device according to the present invention is characterized in that the rare gas group element is helium (He).

本発明の薄膜半導体装置の製造方法は、前記希ガス族元素がネオン(Ne)であることを特徴とする。
本発明の薄膜半導体装置の製造方法は、前記希ガス族元素がアルゴン(Ar)であることを特徴とする。
The method for manufacturing a thin film semiconductor device according to the present invention is characterized in that the rare gas group element is neon (Ne).
The method for manufacturing a thin film semiconductor device according to the present invention is characterized in that the rare gas group element is argon (Ar).

本発明の薄膜半導体装置の製造方法は、少なくとも表面の一部が絶縁性物質である基板の該絶縁性物質上に結晶性半導体膜を形成し、該結晶性半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 マイクロ波プラズマ化学気相堆積法(マイクロ波−PECVD法)により結晶性半導体膜を形成する第1工程を有し、かつ、該第1工程以後の工程最高温度が350℃以下であることを特徴とする。   In the method for manufacturing a thin film semiconductor device of the present invention, a crystalline semiconductor film is formed on the insulating material of a substrate whose surface is at least part of an insulating material, and the crystalline semiconductor film is used as an active layer of a transistor. A method for manufacturing a thin film semiconductor device includes a first step of forming a crystalline semiconductor film by a microwave plasma chemical vapor deposition method (microwave-PECVD method), and the highest step after the first step. The temperature is 350 ° C. or lower.

本発明の薄膜半導体装置の製造方法は、前記第1工程により結晶性半導体膜を形成する際、該結晶性半導体膜の膜厚を20nmから150nmの間とすることを特徴とする。   The thin film semiconductor device manufacturing method of the present invention is characterized in that when the crystalline semiconductor film is formed in the first step, the thickness of the crystalline semiconductor film is between 20 nm and 150 nm.

本発明の薄膜半導体装置の製造方法は、前記第1工程にて結晶性半導体膜を形成する際、該結晶性半導体膜の構成元素を含有する化学物質を原料気体とし、さらに追加気体として希ガス族元素を用いることを特徴とする。   In the method for manufacturing a thin film semiconductor device of the present invention, when the crystalline semiconductor film is formed in the first step, a chemical substance containing a constituent element of the crystalline semiconductor film is used as a source gas, and a rare gas is used as an additional gas. It is characterized by using a group element.

本発明の薄膜半導体装置の製造方法は、前記結晶性半導体膜の構成元素を含有する化学物質がシラン(SiH4、Si26,Si38)であることを特徴とする。 In the method for manufacturing a thin film semiconductor device according to the present invention, the chemical substance containing the constituent element of the crystalline semiconductor film is silane (SiH 4 , Si 2 H 6 , Si 3 H 8 ).

本発明の薄膜半導体装置の製造方法は、前記希ガス族元素がヘリウム(He)であることを特徴とする。   The method for manufacturing a thin film semiconductor device according to the present invention is characterized in that the rare gas group element is helium (He).

本発明の薄膜半導体装置の製造方法は、前記希ガス族元素がネオン(Ne)であることを特徴とする。   The method for manufacturing a thin film semiconductor device according to the present invention is characterized in that the rare gas group element is neon (Ne).

本発明の薄膜半導体装置の製造方法は、前記希ガス族元素がアルゴン(Ar)であることを特徴とする。   The method for manufacturing a thin film semiconductor device according to the present invention is characterized in that the rare gas group element is argon (Ar).

本発明の薄膜半導体装置の製造方法は、少なくとも表面の一部が絶縁性物質である基板の該絶縁性物質土に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 VHFプラズマ化学気相堆積法(VHF−PECVD法)により半導体膜を形成する第1工程と、 該半導体膜を結晶化させる第2工程とを有し、 かつ、該第2工程以後の工程最高温度が350℃以下であることを特徴とする。
本発明の薄膜半導体装置の製造方法は、前記第2工程にて結晶化された半導体膜の膜厚を10nmから150nmの間とすることを特徴とする。
The method for manufacturing a thin film semiconductor device according to the present invention is a method for forming a thin film semiconductor device in which a semiconductor film is formed on the insulating material soil of a substrate having at least a part of the surface being an insulating material, and the semiconductor film is used as an active layer of a transistor. The manufacturing method includes a first step of forming a semiconductor film by a VHF plasma chemical vapor deposition method (VHF-PECVD method), and a second step of crystallizing the semiconductor film. The maximum process temperature after the process is 350 ° C. or less.
The method for manufacturing a thin film semiconductor device according to the present invention is characterized in that the thickness of the semiconductor film crystallized in the second step is between 10 nm and 150 nm.

本発明の薄膜半導体装置の製造方法は、前記第1工程にて半導体膜を形成する際、該半導体膜の構成元素を含有する化学物質を原料気体とし、さらに追加気体として希ガス族元素を用いることを特徴とする。
本発明の薄膜半導体装置の製造方法は、前記半導体膜の構成元素を含有する化学物質がシラン(SiH4、Si26,Si38)であることを特徴とする。
In the method for manufacturing a thin film semiconductor device of the present invention, when forming a semiconductor film in the first step, a chemical substance containing a constituent element of the semiconductor film is used as a source gas, and a rare gas group element is used as an additional gas. It is characterized by that.
The method for manufacturing a thin film semiconductor device according to the present invention is characterized in that the chemical substance containing the constituent element of the semiconductor film is silane (SiH 4 , Si 2 H 6 , Si 3 H 8 ).

本発明の薄膜半導体装置の製造方法は、前記希ガス族元素がヘリウム(He)であることを特徴とする。   The method for manufacturing a thin film semiconductor device according to the present invention is characterized in that the rare gas group element is helium (He).

本発明の薄膜半導体装置の製造方法は、前記希ガス族元素がネオン(Ne)であることを特徴とする。   The method for manufacturing a thin film semiconductor device according to the present invention is characterized in that the rare gas group element is neon (Ne).

本発明の薄膜半導体装置の製造方法は、前記希ガス族元素がアルゴン(Ar)であることを特徴とする。   The method for manufacturing a thin film semiconductor device according to the present invention is characterized in that the rare gas group element is argon (Ar).

本発明の薄膜半導体装置の製造方法は、少なくとも表面の一部が絶縁性物質である基板の該絶縁性物質上に結晶性半導体膜を形成し、該結晶性半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 マイクロ波プラズマ化学気相堆積法(マイクロ波−PECVD法)により半導体膜を形成する第1工程と、 該半導体膜を結晶化させる第2工程と、を有し かつ、該第2工程以後の工程最高温度が350℃以下であることを特徴とする。   In the method for manufacturing a thin film semiconductor device of the present invention, a crystalline semiconductor film is formed on the insulating material of a substrate whose surface is at least part of an insulating material, and the crystalline semiconductor film is used as an active layer of a transistor. A method of manufacturing a thin film semiconductor device includes a first step of forming a semiconductor film by a microwave plasma chemical vapor deposition method (microwave-PECVD method) and a second step of crystallizing the semiconductor film. And the process maximum temperature after this 2nd process is 350 degrees C or less, It is characterized by the above-mentioned.

本発明の薄膜半導体装置の製造方法は、前記第2工程により結晶化された半導体膜の膜厚を10nmから150nmの間とすることを特徴とする。   The method for manufacturing a thin film semiconductor device according to the present invention is characterized in that the thickness of the semiconductor film crystallized in the second step is between 10 nm and 150 nm.

本発明の薄膜半導体装置の製造方法は、前記第1工程にて結晶性半導体膜を形成する際、該結晶性半導体膜の構成元素を含有する化学物質を原料気体とし、さらに追加気体として希ガス族元素を用いることを特徴とする。   In the method for manufacturing a thin film semiconductor device of the present invention, when the crystalline semiconductor film is formed in the first step, a chemical substance containing a constituent element of the crystalline semiconductor film is used as a source gas, and a rare gas is used as an additional gas. It is characterized by using a group element.

本発明の薄膜半導体装置の製造方法は、前記結晶性半導体膜の構成元素を含有する化学物質がシラン(SiH4、Si26,Si38)であることを特徴とする。 In the method for manufacturing a thin film semiconductor device according to the present invention, the chemical substance containing the constituent element of the crystalline semiconductor film is silane (SiH 4 , Si 2 H 6 , Si 3 H 8 ).

本発明の薄膜半導体装置の製造方法は、前記希ガス族元素がヘリウム(He)であることを特徴とする。   The method for manufacturing a thin film semiconductor device according to the present invention is characterized in that the rare gas group element is helium (He).

本発明の薄膜半導体装置の製造方法は、前記希ガス族元素がネオン(Ne)であることを特徴とする。   The method for manufacturing a thin film semiconductor device according to the present invention is characterized in that the rare gas group element is neon (Ne).

本発明の薄膜半導体装置の製造方法は、前記希ガス族元素がアルゴン(Ar)であることを特徴とする。   The method for manufacturing a thin film semiconductor device according to the present invention is characterized in that the rare gas group element is argon (Ar).

図面を参照しながら本発明の基礎原理及び作用を説明する。
図1(a)〜(d)は、MIS型電界効果トランジスタを形成する薄膜半導体装置の製造工程を断面で示した概略図である。この図を用いて低温プロセスpoly−Si TFTの製造方法の概略を述べた後に本願発明に関する詳細を各工程毎に説明する。
The basic principle and operation of the present invention will be described with reference to the drawings.
FIG. 1A to FIG. 1D are schematic views showing, in cross section, a manufacturing process of a thin film semiconductor device for forming a MIS field effect transistor. After describing the outline of the manufacturing method of the low-temperature process poly-Si TFT using this figure, the details regarding the present invention will be described for each step.

(1、本願発明の薄膜半導体装置の製造方法の概略)
本発明では基板101の一例として汎用無アルカリガラスを用いる。まず基板101上に常圧化学気相堆積法(APCVD法)やPECVD法或いはスパッター法などで絶縁性物質である下地保護膜102を形成する。次に後に薄膜半導体装置の能動層となる真性シリコン膜等の半導体膜を堆積する。半導体膜はLPCVD法やPECVD法、APCVD法等の化学気相堆積法(CVD法)、或いはスパッター法、蒸着法等の物理気相堆積法(PVD法)によって形成される。こうして得られた半導体膜にレーザー光等の光学エネルギー又は電磁波エネルギーを短時間照射して結晶化を進める。最初に堆積した半導体膜が非晶質であったり、非晶質と微結晶が混在する混晶質であれば、この工程は結晶化と呼ばれる。一方、最初に堆積した半導体膜が多結晶質であれば、この工程は再結晶化と呼ばれる。本明細書では特に断らない限り両者をまとめて単に結晶化と称する。レーザー光等のエネルキー強度が高ければ、結晶化の際に半導体膜は一度熔融し冷却固化過程を経て結晶化する。これを本願では熔融結晶化法と称する。これに対し半導体膜の結晶化を熔融せずに固相にて進める方法を固相成長法(SPC法)と称する。
(1. Outline of thin film semiconductor device manufacturing method of the present invention)
In the present invention, general-purpose non-alkali glass is used as an example of the substrate 101. First, a base protective film 102 that is an insulating material is formed on a substrate 101 by an atmospheric pressure chemical vapor deposition method (APCVD method), a PECVD method, or a sputtering method. Next, a semiconductor film such as an intrinsic silicon film, which will later become an active layer of the thin film semiconductor device, is deposited. The semiconductor film is formed by chemical vapor deposition (CVD) such as LPCVD, PECVD, APCVD, or physical vapor deposition (PVD) such as sputtering or vapor deposition. Crystallization proceeds by irradiating the semiconductor film thus obtained with optical energy such as laser light or electromagnetic energy for a short time. This process is called crystallization if the first deposited semiconductor film is amorphous or a mixed crystal containing both amorphous and microcrystals. On the other hand, if the first deposited semiconductor film is polycrystalline, this process is called recrystallization. In the present specification, unless otherwise specified, both are collectively referred to as crystallization. If the energy intensity of laser light or the like is high, the semiconductor film is once melted during crystallization and crystallized through a cooling and solidifying process. This is referred to as a melt crystallization method in the present application. On the other hand, a method of proceeding in the solid phase without melting the crystallization of the semiconductor film is called a solid phase growth method (SPC method).

固相成長法は550℃程度から650℃程度の温度で数時間から数十時間掛けて結晶化を進める熱処理法(Furnace−SPC法)と、一秒未満から一分程度の短時間で700℃から1000℃との高温で結晶化を進める急速熱処理法(RTA法)、及びレーザー光等のエネルキー強度が低いときに生ずる極短時間固相成長法(VST−SPC法)の三者に主として分類される。本願発明はこれら何れの結晶化方法をも適用可能であるが、大型基板を高い生産性で製造するとの視点に則ると熔融結晶化法やRTA法、VST−SPC法がとりわけ適している。これらの結晶化方法では照射時間が非常な短時間でありかつ照射領域も基板全体に対して局所的であるため、半導体膜の結晶化に際して基板全体が熱せられることはなく、故に基板の熱による変形や割れ等も生じないからである。その後この半導体膜をパターニングし、後にトランジスタの能動層となる半導体膜103を作成する。(図1(a))   Solid phase growth methods include a heat treatment method (Furnace-SPC method) in which crystallization is performed at a temperature of about 550 ° C. to 650 ° C. over several hours to several tens of hours, and 700 ° C. in a short time of less than one second to about one minute. It is mainly classified into three types: rapid heat treatment method (RTA method) that promotes crystallization at a high temperature of 1000 to 1000 ° C, and extremely short time solid phase growth method (VST-SPC method) that occurs when the energy intensity of laser light or the like is low. Is done. Although any of these crystallization methods can be applied to the present invention, the melt crystallization method, the RTA method, and the VST-SPC method are particularly suitable from the viewpoint of manufacturing a large substrate with high productivity. In these crystallization methods, the irradiation time is very short, and the irradiation region is also local to the entire substrate. Therefore, the entire substrate is not heated during the crystallization of the semiconductor film, and is therefore caused by the heat of the substrate. This is because no deformation or cracking occurs. Thereafter, this semiconductor film is patterned to form a semiconductor film 103 which will later become an active layer of a transistor. (Fig. 1 (a))

半導体膜形成後、CVD法やPVD法などでゲート絶縁膜104を形成する。
絶縁膜形成に当たり様々な製造方法が考えられるが、絶縁膜形成温度は350℃以下が好ましい。これはMOS界面の熱劣化やゲート絶縁膜の熱劣化を防ぐために重要である。同じことは以下の総ての工程に対しても適用される。ゲート絶縁膜形成後の総ての工程温度は350℃以下に押さえられねばならない。こうすることにより高性能な薄膜半導体装置を容易に、かつ安定的に製造できるからである。
After the semiconductor film is formed, the gate insulating film 104 is formed by a CVD method, a PVD method, or the like.
Various manufacturing methods are conceivable for forming the insulating film, but the insulating film forming temperature is preferably 350 ° C. or lower. This is important for preventing thermal degradation of the MOS interface and the gate insulating film. The same applies to all the following steps. All process temperatures after the formation of the gate insulating film must be kept below 350.degree. This is because a high performance thin film semiconductor device can be manufactured easily and stably.

引き続いてゲート電極105となる薄膜をPVD法或いはCVD法などで堆積する。通常はゲート電極とゲート配線は同一材料にて同一工程で作られるため、この材質は電気抵抗が低く、350℃程度の熱工程に対して安定であることが望まれる。ゲート電極となる薄膜を堆積後パターニングを行い、引き続いて半導体膜に不純物イオン注入106を行ってソース・ドレイン領域107及びチャンネル領域108を形成する。(図1(c))   Subsequently, a thin film to be the gate electrode 105 is deposited by a PVD method or a CVD method. Usually, since the gate electrode and the gate wiring are made of the same material and in the same process, it is desirable that this material has a low electric resistance and is stable to a heat process of about 350 ° C. After depositing a thin film to be a gate electrode, patterning is performed, and subsequently, impurity ion implantation 106 is performed on the semiconductor film to form a source / drain region 107 and a channel region 108. (Fig. 1 (c))

この時ゲート電極がイオン注入のマスクとなっているが故、チャンネルはゲート電極下のみに形成される自己整合構造となる。不純物イオン注入は質量非分離型イオン注入装置を用いて注入不純物元素の水素化物と水素を注入するイオン・ドーピング法と質量分離型イオン注入装置を用いて所望の不純物元素のみを注入するイオン打ち込み法の二種類が適用され得る。イオン・ドーピング法の原料ガスとしては水素中に希釈された濃度0.1%程度から10%程度のホスフィン(PH3)やジボラン(B26)等の注入不純物元素の水素化物を用いる。イオン打ち込み法では所望の不純物元素のみを注入した後に引き続いて水素イオン(プロトンや水素分子イオン)を注入する。前述の如くMOS界面やゲート絶縁膜を安定に保つためには、イオン・ドーピング法にしろイオン打ち込み法にしろイオン注入時の基板温度は350℃以下でなければならない。一方注入不純物の活性化を350℃以下の低温にて常に安定的に行うには、イオン注入時の基板温度は200℃以上であることが望ましい。 At this time, since the gate electrode is a mask for ion implantation, the channel has a self-aligned structure formed only under the gate electrode. Impurity ion implantation is performed by ion doping using a mass non-separable ion implanter to implant hydride and hydrogen of an implanted impurity element, and ion implantation using a mass separated ion implanter to implant only a desired impurity element. Two types of can be applied. As a source gas for the ion doping method, a hydride of an implanted impurity element such as phosphine (PH 3 ) or diborane (B 2 H 6 ) having a concentration of about 0.1% to 10% diluted in hydrogen is used. In the ion implantation method, only a desired impurity element is implanted, and then hydrogen ions (protons and hydrogen molecular ions) are implanted. As described above, in order to keep the MOS interface and the gate insulating film stable, the substrate temperature at the time of ion implantation must be 350 ° C. or lower regardless of the ion doping method or the ion implantation method. On the other hand, it is desirable that the substrate temperature at the time of ion implantation be 200 ° C. or higher in order to always stably activate the implanted impurities at a low temperature of 350 ° C. or lower.

トランジスタのしきい値電圧を調整するためにチャンネル・ドーブ行うとか、或いはLDD構造を作成するといったように低濃度に注入された不純物イオンを低温で確実に活性化するには、イオン注入時の基板温度は250℃以上であることが必要となる。このように基板温度が高い状態でイオン注入を行うと、半導体膜のイオン注入に伴う結晶壊破の際に再結晶化も同時に生じ、結果としてイオン注入部の非晶質化を防ぐことができるのである。すなわちイオン注入された領域は注入後も依然として結晶質として残り、その後の活性化温度が350℃程度以下と低温であっても注入イオンの活性化が可能になる訳である。CMOS TFTを作成するときはポリイミド樹脂等の適当なマスク材を用いてNMOS又はPMOSの一方を交互にマスクで覆い、上述の方法にてそれぞれのイオン注入を行う。イオン注入時の基板温度が300℃程度以下であれば、ポリイミド樹脂に代わって安価で保存等の取扱いが易しい汎用フォト・レジストをイオン注入に対するマスクとして使用することが可能となる。   In order to reliably activate the impurity ions implanted at a low concentration at a low temperature, such as performing channel dope to adjust the threshold voltage of the transistor or creating an LDD structure, the substrate at the time of ion implantation The temperature needs to be 250 ° C. or higher. When ion implantation is performed in such a state where the substrate temperature is high, recrystallization occurs at the same time as crystal breakage accompanying ion implantation of the semiconductor film, and as a result, it is possible to prevent the ion implantation portion from becoming amorphous. It is. That is, the ion-implanted region remains as crystalline after the implantation, and the implanted ions can be activated even when the subsequent activation temperature is as low as about 350 ° C. or less. When a CMOS TFT is formed, one of NMOS and PMOS is alternately covered with a mask using an appropriate mask material such as polyimide resin, and each ion implantation is performed by the method described above. If the substrate temperature at the time of ion implantation is about 300 ° C. or less, it is possible to use a general-purpose photoresist that is inexpensive and easy to handle as a mask for ion implantation instead of polyimide resin.

次に層間絶縁膜109をCVD法或いはPVD法で形成する。イオン注入と層間絶縁膜形成後、350℃程度以下の適当な熱環境下にて数十分から数時間の熱処理を施して注入イオンの活性化及び層間絶縁膜の焼き締めを行う。この熱処理温度は注入イオンを確実に活性化するためにも250℃程度以上が好ましい。また、層間絶縁膜を効能的に焼き締めるには300℃以上の温度が好ましい。通常ゲート絶縁膜と層間絶縁膜とではその膜品質が異なっている。そのために層間絶縁膜形成後二つの絶縁膜にコンタクトホールを開ける際、絶縁膜のエッチング速度が違っているのが普通である。斯様な条件下ではコンタクトホールの形状が下方程広い逆テーパー状になったり或いは庇が発生してしまい、その後電極形成したときに電気的な導通がうまく取れない所謂接触不良の原因となる。層間絶縁膜を効能的に焼き締めるとこうした接触不良の発生を最小限に止められるのである。層間絶縁膜形成後ソース・ドレイン上にコンタクトホールを開孔し、ソース・ドレイン取り出し電極110と配線をPVD法やCVD法などで形成して薄膜半導体装置が完成する。(図1(d))   Next, an interlayer insulating film 109 is formed by a CVD method or a PVD method. After the ion implantation and the formation of the interlayer insulating film, heat treatment is performed for several tens of minutes to several hours in an appropriate thermal environment of about 350 ° C. or less to activate the implanted ions and to bake the interlayer insulating film. The heat treatment temperature is preferably about 250 ° C. or higher in order to reliably activate the implanted ions. Further, a temperature of 300 ° C. or higher is preferable for effectively baking the interlayer insulating film. Usually, the gate insulating film and the interlayer insulating film have different film quality. Therefore, when the contact holes are opened in the two insulating films after forming the interlayer insulating film, the etching rate of the insulating films is usually different. Under such conditions, the shape of the contact hole becomes a reverse taper that is wider toward the bottom, or wrinkles are generated, and this causes a so-called poor contact in which electrical continuity cannot be obtained when the electrode is formed. If the interlayer insulating film is effectively baked, the occurrence of such a contact failure can be minimized. After the interlayer insulating film is formed, contact holes are opened on the source / drain, and the source / drain extraction electrodes 110 and wirings are formed by the PVD method, the CVD method, or the like to complete the thin film semiconductor device. (Fig. 1 (d))

(2、本願発明の薄膜半導体装置の製造方法に関する工程毎の詳細説明) (2. Detailed description for each process concerning the method of manufacturing the thin film semiconductor device of the present invention)

(2−1、本発明が適用される基板と下地保護膜)
まず本発明が適用される基板と下地保護膜について説明する。本発明を適用し得る基板としては金属等の導電性物質、シリコン・カーバイト(SiC)やアルミナ(Al23)、窒化アルミニウム(AlN)等のセラミック材料、溶融石英やガラス等の透明絶縁性物質、シリコンウエハーなどの半導体基板及びそれらを加工したLSI、サファイア(三方晶系Al23 結晶)などの結晶性絶縁物質等が用いられる。廉価な汎用ガラス基板としてはコーニングジャパン株式会社製#7059ガラスや#1737ガラス、或いは日本電気硝子株式会社製OA−2ガラス、(株)NHテクノグラス製NA35ガラス等が使用され得る。半導体膜は基板の種類に拘りなく、少なくとも基板の表面の一部が絶縁性物質で構成され、その絶縁性物質上に堆積される。この絶縁性物質を本願では下地保護膜と称する。例えば基板として溶融石英基板を用いたときは基板自身が絶縁性物質であるから、溶融石英基板土に直接半導体膜を堆積してもよい。或いは酸化硅素膜(SiOx:0<x≦2)や窒化硅素膜(Si3x:0<x≦4)などの絶縁性物質を溶融石英基板上に下地保護膜として形成した後に半導体膜を堆積してもよい。
(2-1. Substrate to which the present invention is applied and base protective film)
First, a substrate and a base protective film to which the present invention is applied will be described. Substrates to which the present invention can be applied include conductive materials such as metals, ceramic materials such as silicon carbide (SiC), alumina (Al 2 O 3 ), and aluminum nitride (AlN), and transparent insulation such as fused quartz and glass. A crystalline insulating material such as a crystalline material, a semiconductor substrate such as a silicon wafer, LSI processing the same, sapphire (trigonal Al 2 O 3 crystal), or the like is used. As an inexpensive general-purpose glass substrate, # 7059 glass or # 1737 glass manufactured by Corning Japan Co., Ltd., OA-2 glass manufactured by Nippon Electric Glass Co., Ltd., NA35 glass manufactured by NH Techno Glass Co., Ltd. or the like can be used. Regardless of the type of the substrate, at least a part of the surface of the substrate is made of an insulating material and is deposited on the insulating material. This insulating substance is referred to as a base protective film in the present application. For example, when a fused quartz substrate is used as the substrate, the substrate itself is an insulating material, so that the semiconductor film may be deposited directly on the fused quartz substrate soil. Alternatively, a semiconductor film is formed after an insulating material such as a silicon oxide film (SiO x : 0 <x ≦ 2) or a silicon nitride film (Si 3 N x : 0 <x ≦ 4) is formed as a base protective film on a fused quartz substrate. May be deposited.

基板として通常ガラスを用いる場合、半導体膜を直接絶縁性物質である通常ガラス上に堆積してもよいが、ガラス中に含まれているナトリウム(Na)などの可動イオンが半導体膜中に混入せぬように酸化硅素膜や窒化硅素膜などの絶縁性物質にてガラス基板上に下地保護膜を形成した後に半導体膜を堆積するのが好ましい。こうすることで薄膜半導体装置は長時間に渡る使用や高電圧下での使用に対して動作特性が変化することなく、安定性が増す訳である。本願ではこの安定性のことをトランジスタの信頼性と呼ぶ。サファイア等の結晶性絶縁物質を基板として用いる場合を除いて半導体膜は下地保護膜上に堆積されるのが好ましい。各種セラミック基板を基板として用いる場合、セラミック中に添加されている焼結助材原料が半導体部に拡散混入するのを防ぐ役割を下地保護膜が演じている。 また、金属材料を基板として用いるときには絶縁性を確保するために下地保護膜は必要不可欠である。さらに半導体基板やLSI素子ではトランジスタ間や配線間の層間絶縁膜等が下地保護膜の役割を担っている。基板の大きさや形状にはそれが製造工程中の熱環境に対して伸縮や歪み等の変形が生じない限り全く何の制限も加えられない。すなわち直径3インチ(76.2mm)程度の円板から560mm×720mm程度以上の長方形基板に至るまで任意である。   When normal glass is used as the substrate, the semiconductor film may be deposited directly on normal glass, which is an insulating material, but mobile ions such as sodium (Na) contained in the glass are mixed in the semiconductor film. It is preferable to deposit a semiconductor film after forming a base protective film on a glass substrate with an insulating material such as a silicon oxide film or a silicon nitride film. By doing so, the stability of the thin film semiconductor device is increased without changing its operating characteristics with respect to use over a long period of time or use under a high voltage. In the present application, this stability is referred to as transistor reliability. Except when a crystalline insulating material such as sapphire is used as the substrate, the semiconductor film is preferably deposited on the base protective film. When various ceramic substrates are used as the substrate, the base protective film plays a role of preventing the diffusion of the sintering aid material added in the ceramic into the semiconductor portion. Further, when a metal material is used as a substrate, a base protective film is indispensable in order to ensure insulation. Further, in a semiconductor substrate or LSI element, an interlayer insulating film between transistors or wirings serves as a base protective film. No limitation is imposed on the size and shape of the substrate as long as it does not undergo deformation such as expansion and contraction or distortion with respect to the thermal environment during the manufacturing process. That is, it is arbitrary from a disk having a diameter of about 3 inches (76.2 mm) to a rectangular substrate having a size of about 560 mm × 720 mm or more.

下地保護膜はまず基板を純水にて洗浄した後、基板上にAPCVD法、LPCVD法、PECVD法等のCVD法、或いはスパッター法などのPVD法で酸化硅素膜や酸化アルミニウム膜、酸化タンタル膜等の酸化膜、或いは窒化硅素膜などの窒化膜により形成される。酸化膜や窒化膜は最初にシリコン膜やアルミニウム、タンタルなどの金属膜を基板上に成膜しておき、熱的或いは電気的な化学反応を利用して形成してもよい。例えば100nm程度のタンタルをスパッター法で堆積しておき、その後450℃程度の酸化性雰囲気下にて熱酸化を施して200nm程度の酸化タンタル膜を形成することも可能である。APCVD法では基板温度250℃から450℃程度でモノシラン(SiH4)や酸素を原料とすれば酸化硅素膜を堆積できる。PECVD法やスパッター法では基板温度を室温から400℃程度の間でこれら下地保護膜が形成される。 For the base protective film, the substrate is first cleaned with pure water, and then a silicon oxide film, an aluminum oxide film, or a tantalum oxide film is formed on the substrate by a CVD method such as an APCVD method, an LPCVD method, or a PECVD method, or a PVD method such as a sputtering method. Or the like, or a nitride film such as a silicon nitride film. The oxide film or nitride film may be formed by first forming a metal film such as a silicon film, aluminum, or tantalum on the substrate and using a thermal or electrical chemical reaction. For example, it is possible to deposit tantalum of about 100 nm by a sputtering method, and then perform thermal oxidation in an oxidizing atmosphere of about 450 ° C. to form a tantalum oxide film of about 200 nm. In the APCVD method, a silicon oxide film can be deposited by using monosilane (SiH 4 ) or oxygen as a raw material at a substrate temperature of about 250 ° C. to 450 ° C. In the PECVD method or the sputtering method, these base protective films are formed when the substrate temperature is between room temperature and about 400 ° C.

本願発明では下地保護膜上に形成された半導体膜がトランジスタの能動層として働き、その半導体膜が結晶化によって作成されるため、下地保護膜の性質が半導体膜品質に強い影響を及ぼす。まず下地保護膜の表面粗さは中心線平均粗さで3.0nm以下であることが望まれる。下地保護膜上にCVD法でシリコンなどの半導体膜を堆積するとき、膜形成の極初期段階ではまず基板土に幾つかの核が発生する。この核は徐々に成長して行く一方で、未だに核の発生を見ぬ下地保護膜上では新たな核が発生してくる。これらの核が成長してお互いにぶつかり合い、やがて膜として連なる訳である。斯様な成膜機構に起因して堆積膜は非晶質か結晶質かを問わず何れも皆成膜初期段階の核に対応する領域から構成されることとなる。従って核の発生密度が低ければ堆積膜を構成する領域は大きくなる。結晶化する前の半導体膜を構成する領域が大きければ、結晶化された半導体膜を構成する結晶粒も大きくなる。半導体膜の結晶粒が大きくなると、これをトランジスタの能動層としている薄膜半導体装置の移動度等の電気特性が良くなる訳である。本願発明者の実験によると下地保護膜の表面粗さが中心線平均粗さで3.0nm以下と成れば核の発生密度を低く抑えられ、結果として高性能の薄膜半導体装置が製造され得ることが判明した。これは下地保護膜表面の凸凹が核発生の一因となり、凸凹が激しい程核発生密度が上るが故と考えられる。さらに半導体膜を熔融結晶化する場合には下地保護膜の表面粗さは中心線平均粗さで1.5nm以下が好ましい。表面がこれほど滑らかになると熔融したシリコン等の半導体物質が下地保護膜上でよく広がることとなる。そのために大粒径の結晶粒が成長し易くなり、薄膜半導体装置の特性が急速に改善されるのである。同時に熔融半導体物質が冷却固化してくる過程で溶融物質の局所凝集が生じなくなり、熔融領域内の均一性が高まることとなる。薄膜半導体装置に対してもLSIのスケーリング則は適用されるようで今後の集積化に伴い素子の微細化が進む物と思われる。   In the present invention, since the semiconductor film formed on the base protective film functions as an active layer of the transistor and the semiconductor film is formed by crystallization, the properties of the base protective film have a strong influence on the quality of the semiconductor film. First, it is desired that the surface roughness of the undercoat protective film is 3.0 nm or less in terms of centerline average roughness. When a semiconductor film such as silicon is deposited on the base protective film by a CVD method, several nuclei are first generated in the substrate soil in the very initial stage of film formation. While these nuclei are gradually growing, new nuclei are generated on the underlying protective film where no nucleation has yet been observed. These nuclei grow and collide with each other, and eventually form a film. Due to such a film formation mechanism, regardless of whether the deposited film is amorphous or crystalline, all of them are composed of regions corresponding to the nuclei in the initial stage of film formation. Therefore, if the generation density of nuclei is low, the region constituting the deposited film becomes large. If the region constituting the semiconductor film before crystallization is large, the crystal grains constituting the crystallized semiconductor film are also large. When the crystal grains of the semiconductor film are increased, the electrical characteristics such as mobility of a thin film semiconductor device using this as an active layer of a transistor are improved. According to the experiment by the present inventor, if the surface roughness of the base protective film is 3.0 nm or less in terms of the center line average roughness, the generation density of nuclei can be kept low, and as a result, a high performance thin film semiconductor device can be manufactured. It has been found. This is thought to be because unevenness on the surface of the undercoat protective film contributes to nucleation, and the more uneven the surface, the higher the nucleation density. Further, when the semiconductor film is melt crystallized, the surface roughness of the undercoat protective film is preferably 1.5 nm or less in terms of the center line average roughness. When the surface becomes so smooth, the melted semiconductor material such as silicon spreads well on the base protective film. For this reason, crystal grains having a large grain size are likely to grow, and the characteristics of the thin film semiconductor device are rapidly improved. At the same time, local aggregation of the molten material does not occur in the process of melting and solidifying the molten semiconductor material, and the uniformity in the molten region is increased. The scaling rule of LSI seems to be applied to thin film semiconductor devices, and it seems that the miniaturization of elements will progress with the future integration.

このようにトランジスタ・サイズが1μm程度のオーダーからさらにサブミクロンのオーダーへと微細化されて行くにつれ、局所凝集をいかに避けるかが重要な課題となる。熔融結晶化で半導体膜を形成する場合、理想的には下地保護膜の表面粗さは中心線平均粗さで1.0nm以下である。こうすることにより大粒径の結晶粒から構成される半導体膜を局所凝集のない均一な膜として得られる訳である。   Thus, as the transistor size is further refined from the order of about 1 μm to the order of submicron, how to avoid local aggregation becomes an important issue. When the semiconductor film is formed by melt crystallization, the surface roughness of the base protective film is ideally 1.0 nm or less in terms of the center line average roughness. In this way, a semiconductor film composed of large crystal grains can be obtained as a uniform film without local aggregation.

下地保護膜の別な役割は基板からの不純物元素の拡散混入を防ぐことにある。   Another role of the base protective film is to prevent diffusion of impurity elements from the substrate.

これには下地保護膜を少なくとも二種類以上の異なった膜で積層することが有効である。例えば基板上に下層から酸化タンタル膜、窒化硅素膜、酸化硅素膜と積層する。通常基板内には様々な種類の不純物元素が含まれており、これらの絶縁物質中の拡散係数は皆異なっている。ある種の不純物元素は下地保護膜を構成するある一層では拡散は遅いが他の層では速いと言ったようなことが容易に生じ得る。基板中に色々な不純物元素が含まれており、後述するように下地保護膜の膜厚に所定の制限がある以上、下地保護膜は一層で構成されるより複数の異なった膜で積層された方が不純物の拡散混入を防ぐ下地保護膜の能力は高いことになる。下地保護膜の材質は様々な物が考えられるが、CVD法などで簡単に成膜できる点を考慮すると窒化硅素膜と酸化硅素膜の積層が最適である。このような二層の場合、或いは多層の場合でも下地保護膜の最上層は酸化硅素膜であることが好ましい。これは下地保護膜と半導体層との界面に必然的に発生する界面準位を酸化硅素膜が最も少なくするからである。とりわけ半導体膜がトランジスタの動作状態下で膜全体に空乏層が広がるような数百nm以下の薄膜である場合はこの界面準位を低く抑えることが肝要となる。本願発明の薄膜半導体装置の最適半導体膜厚は製造方法に応じて多少異なるが、およそ150nm以下である。しかも半導体膜品質が高いため、結晶粒界の捕獲準位や結晶粒界内の結晶欠陥が少ない。   For this purpose, it is effective to laminate the base protective film with at least two kinds of different films. For example, a tantalum oxide film, a silicon nitride film, and a silicon oxide film are stacked on the substrate from the lower layer. Usually, various types of impurity elements are contained in the substrate, and the diffusion coefficients in these insulating materials are all different. It can easily occur that a certain kind of impurity element diffuses slowly in one layer constituting the base protective film but fast in another layer. Since various impurity elements are contained in the substrate and the film thickness of the undercoat protective film has a predetermined limit as will be described later, the undercoat protective film is laminated with a plurality of different films rather than being composed of one layer. However, the ability of the base protective film to prevent the diffusion of impurities is higher. Various materials are conceivable for the base protective film, but considering that it can be easily formed by a CVD method or the like, the lamination of a silicon nitride film and a silicon oxide film is optimal. Even in the case of such two layers or multiple layers, the uppermost layer of the base protective film is preferably a silicon oxide film. This is because the silicon oxide film minimizes the interface states that inevitably occur at the interface between the base protective film and the semiconductor layer. In particular, when the semiconductor film is a thin film with a thickness of several hundred nm or less so that a depletion layer spreads over the entire film under the operation state of the transistor, it is important to keep this interface state low. Although the optimum semiconductor film thickness of the thin film semiconductor device of the present invention varies somewhat depending on the manufacturing method, it is approximately 150 nm or less. In addition, since the quality of the semiconductor film is high, there are few trap levels at the crystal grain boundaries and crystal defects within the crystal grain boundaries.

こうした理由に基づき、トランジスタの動作状態下では半導体膜全体に空乏層が広がる。下地保護膜と半導体膜との界面に生ずる界面準位が多いと、これらは実質的にドナーイオンやアクセプターイオンと同等の働きをするが故、チャンネル形成時の空乏層の広がりを遅らせて閾値電圧を高くしてしまう。すなわち、トランジスタ特性を悪化させる一要因と化すのである。下地保護膜表面のトランジスタ特性への効果が出現してくるのは半導体膜厚がおよそ150nm以下からで、かつチャンネル部の実質的不純物濃度(NMOSであれば(アクセプター・イオン濃度)−(ドナー・イオン濃度)+(アクセプター・イオンのように働く捕獲準位や結晶欠陥濃度)、PMOSであれば(ドナー・イオン濃度)−(アクセプター・イオン濃度)+ドナー・イオンのように働く捕獲準位や結晶欠陥濃度))が1×1018cm-3程度以下の場合、或いは閾値電圧(Vth)の絶対値が4.5V程度以下の薄膜半導体装置からである。これらの条件を満たすトランジスタの特性をさらに高くするためには下地保護膜表面の調整が不可欠で、その一つとして下地保護膜が多層であるときの最上層は酸化硅素膜が好ましい訳である。 For these reasons, a depletion layer spreads over the entire semiconductor film under the operating state of the transistor. If there are many interface states generated at the interface between the base protective film and the semiconductor film, these work substantially the same as donor ions and acceptor ions, so that the depletion layer spreads during channel formation and the threshold value is delayed. Increase the voltage. That is, it becomes a factor that deteriorates the transistor characteristics. The effect on the transistor characteristics of the surface of the underlying protective film appears when the semiconductor film thickness is about 150 nm or less and the substantial impurity concentration in the channel portion (if NMOS, (acceptor ion concentration) − (donor (Ion concentration) + (capture level or crystal defect concentration that works like an acceptor ion), if PMOS, (donor ion concentration)-(acceptor ion concentration) + a trap level that works like a donor ion crystal defect concentration)) if the following order of 1 × 10 18 cm -3, or the absolute value of the threshold voltage (Vth) is of the following thin film semiconductor device of about 4.5V. In order to further improve the characteristics of the transistor satisfying these conditions, it is essential to adjust the surface of the base protective film. As one of them, a silicon oxide film is preferable as the uppermost layer when the base protective film is a multilayer.

さて下地保護膜の厚さは基板からの不純物イオンの拡散混入を防ぐのに十分の厚さが必要で、その値は最小で100nm程度である。ロット間や基板間のばらつきを考慮すると200nm程度以上が好ましく、300nmあれば保護膜としての機能を十分に果たし得る。下地保護膜がIC素子間やこれらを結ぶ配線等の層間絶縁膜を兼ねるときには通常400nmから600nm程度の膜厚となる。   Now, the thickness of the base protective film needs to be sufficient to prevent the diffusion and mixing of impurity ions from the substrate, and its value is about 100 nm at the minimum. Considering the variation between lots and substrates, the thickness is preferably about 200 nm or more, and if it is 300 nm, the function as a protective film can be sufficiently achieved. When the base protective film also serves as an interlayer insulating film such as a wiring connecting IC elements or wirings between them, the film thickness is usually about 400 nm to 600 nm.

絶縁膜が余りにも厚くなると絶縁膜にストレスに起因するクッラクが生ずるため、最大膜厚は2μm程度が好ましい。さらにスルーブットを考慮に入れると1μm程度が上限である。前述のように下地保護膜が下層の窒化硅素膜と上層の酸化硅素膜からなるときもこの関係は同様で最も薄くとも其々50nmづつの計100nmは必要である。本願発明の薄膜半導体装置ではゲート絶縁膜を350℃程度以下のCVD法やPVD法で形成する。 その際清浄なMOS界面を得るためにゲート絶縁膜形成直前に半導体膜表面の自然酸化膜を一度除去してからゲート酸化膜を形成する。この自然酸化膜除去工程では半導体膜表面の自然酸化膜のみならず、半導体膜が存在せず下地保護膜が露出している酸化硅素膜表面も矢張り一部除去されてしまう。自然酸化膜除去工程後も下地保護膜が正しく機能するためには、少なくとも下地保護膜を成す酸化硅素膜の膜厚は100nm以上が必要となる。すなわち酸化硅素膜の最小膜厚は100nm程度で、窒化硅素膜の最小膜厚は50nm程度である。50nm以下の薄膜では膜が膜としてつながらず、所々に空隙ができることがある。   If the insulating film becomes too thick, cracks due to stress occur in the insulating film, so the maximum film thickness is preferably about 2 μm. Furthermore, if through-but is taken into consideration, the upper limit is about 1 μm. As described above, when the base protective film is composed of a lower silicon nitride film and an upper silicon oxide film, this relationship is the same, and the thinnest is required to be 100 nm in total of 50 nm. In the thin film semiconductor device of the present invention, the gate insulating film is formed by a CVD method or a PVD method of about 350 ° C. or less. At that time, in order to obtain a clean MOS interface, the natural oxide film on the surface of the semiconductor film is once removed immediately before forming the gate insulating film, and then the gate oxide film is formed. In this natural oxide film removing step, not only the natural oxide film on the surface of the semiconductor film but also the silicon oxide film surface where the semiconductor film does not exist and the underlying protective film is exposed is partially removed. In order for the base protective film to function correctly even after the natural oxide film removing step, the film thickness of at least the silicon oxide film forming the base protective film needs to be 100 nm or more. That is, the minimum film thickness of the silicon oxide film is about 100 nm, and the minimum film thickness of the silicon nitride film is about 50 nm. In the case of a thin film of 50 nm or less, the film may not be connected as a film, and voids may be formed in some places.

このような場合不純物混入を防ぐという下地保護膜の機能は失われてしまうから、いづれの膜を用いる場合であっても最低限50nmは必要である。窒化硅素膜や酸化硅素膜等の膜厚の上限値は前述の如く2μm程度である。然るに下地保護膜はその膜厚が300nmもあればその機能を十分に発揮する上、逆にこれ以上大きく厚過ぎれば膜ストレスに起因するクラックの発生やトランジスタ特性の劣化が生ずるため、理想的な上限値は略500nmである。異なった膜を積層する場合は各膜によりストレス状態が違うため、各層が各々500nm程度以下であれば問題はない。   In such a case, since the function of the base protective film for preventing the contamination of impurities is lost, at least 50 nm is necessary even when any film is used. As described above, the upper limit value of the film thickness of the silicon nitride film, the silicon oxide film, etc. is about 2 μm. However, if the thickness of the underlying protective film is 300 nm, its function is fully exerted. On the other hand, if it is too thick, cracking due to film stress and deterioration of transistor characteristics occur. The upper limit is approximately 500 nm. When different films are stacked, the stress state varies depending on each film, so there is no problem if each layer is about 500 nm or less.

一般にCVD法やPVD法で350℃程度以下の堆積温度にて形成された酸化硅素膜はその膜内部に強いストレスを有する。こうしたストレスの一部は膜形成後の高温熱処理にて解放されるのが普通である。ところが本発明の低温プロセスではゲート絶縁膜形成以後の工程最高温度が350℃程度以下でしかない。   In general, a silicon oxide film formed at a deposition temperature of about 350 ° C. or less by a CVD method or a PVD method has a strong stress inside the film. Usually, part of such stress is released by high-temperature heat treatment after film formation. However, in the low temperature process of the present invention, the maximum process temperature after forming the gate insulating film is only about 350 ° C. or less.

このような低温熱処理しか被むることのない酸化硅素膜はストレス解放が困難で、それ故酸化硅素膜厚が2μm程度以上になると基板にひび割れが入ってしまう。さらに基板が300mm×300mm程度以上と大型化すると大型化につれ、より容易にストレスが集積されるようになり、ますます簡単にひび割れが生じるようになる。この事情は酸化硅素膜が単層の場合であっても複層であっても同じで、酸化硅素膜の総膜厚が2μm以上になるとその膜厚に応じてひび割れが発生する。本願発明の薄膜半導体装置は基板表面の一部に絶縁性物質である下地保護膜が設けられ、この下地保護膜上には半導体膜とゲート絶縁膜とゲート電極よりなる電界効果トランジスタが形成され、さらにこの電界効果トランジスタの配線間の電気的絶縁性を取る層間絶縁膜が設けられている。下地保護膜の最上層は少なくとも酸化硅素膜からなり、また、ゲート絶縁膜のMOS界面側も酸化硅素膜からなり、さらに通常は層間絶縁膜の一部も酸化硅素膜からなる。従ってこれら三種類の酸化硅素膜の膜厚の和が2μm以下であれば、低温プロセスで大型基板上に形成された薄膜半導体装置であってもひび割れが生ずることはない。無論下地保護膜の膜厚とゲート絶縁膜の膜厚と層間絶縁膜の膜厚との和が2μm以下であれば、より確実にひび割れの防止が可能となる。   Such a silicon oxide film that can only be subjected to low-temperature heat treatment is difficult to release stress. Therefore, if the silicon oxide film thickness is about 2 μm or more, the substrate is cracked. Further, when the substrate is enlarged to about 300 mm × 300 mm or more, as the substrate is enlarged, stress is more easily accumulated, and cracks are more easily generated. This situation is the same regardless of whether the silicon oxide film is a single layer or multiple layers. When the total film thickness of the silicon oxide film is 2 μm or more, cracks occur according to the film thickness. In the thin film semiconductor device of the present invention, a base protective film that is an insulating material is provided on a part of the substrate surface, and a field effect transistor including a semiconductor film, a gate insulating film, and a gate electrode is formed on the base protective film, Further, an interlayer insulating film is provided to provide electrical insulation between the wirings of the field effect transistor. The uppermost layer of the base protective film is made of at least a silicon oxide film, the MOS interface side of the gate insulating film is also made of a silicon oxide film, and moreover, part of the interlayer insulating film is usually made of a silicon oxide film. Therefore, if the sum of the film thicknesses of these three types of silicon oxide films is 2 μm or less, even a thin film semiconductor device formed on a large substrate by a low temperature process will not crack. Of course, if the sum of the thickness of the base protective film, the thickness of the gate insulating film, and the thickness of the interlayer insulating film is 2 μm or less, cracks can be more reliably prevented.

先に下地保護膜の最上層が酸化硅素膜であることが好ましい理由で説明した通り、本願発明の薄膜半導体装置のようにトランジスタの能動層として高品質の半導体薄膜を用いるときには、半導体膜と下地保護膜との間の界面制御が重要となる。とりわけ溶融結晶化により半導体膜を形成するときは下地保護膜表面はできるだけ清浄であることが望まれる。下地保護膜表面が清浄であれば、単に下地保護膜と半導体膜との間に存在する界面準位を減らすにのみならず、汚れ等の不純物を半導体膜の溶融過程中に半導体膜内部に取り込むこともなくなるからである。   As described above for the reason that the uppermost layer of the base protective film is preferably a silicon oxide film, when a high-quality semiconductor thin film is used as an active layer of a transistor as in the thin film semiconductor device of the present invention, the semiconductor film and the base Control of the interface with the protective film is important. In particular, when forming a semiconductor film by melt crystallization, it is desirable that the surface of the base protective film be as clean as possible. If the surface of the base protective film is clean, not only the interface state existing between the base protective film and the semiconductor film is reduced, but also impurities such as dirt are taken into the semiconductor film during the melting process of the semiconductor film. This is because nothing will happen.

このためには一台の成膜装置で下地保護膜と半導体膜を連続成膜させればよい。下地保護膜は窒化硅素膜や酸化硅素膜、或いは両者の積層とし、半導体膜をシリコン膜やシリコン・ゲルマニウム膜とすれば下地保護膜と半導体膜は一台のPECVD装置で容易に連続成膜される。薄膜半導体装置の量産を考えると、これらの膜の成膜室内は定期的に洗浄し、PECVD装置の成膜室内に付着したる薄膜を取り除くことが必要となる。洗浄を施さず成膜室内に薄膜を付着し続けると膜が剥がれ落ちたり、或いは微粒子の異常発生を招いて歩留まりが著しく低下してしまうからある。   For this purpose, the base protective film and the semiconductor film may be continuously formed with a single film forming apparatus. The base protective film is a silicon nitride film, a silicon oxide film, or a laminate of both, and if the semiconductor film is a silicon film or silicon-germanium film, the base protective film and the semiconductor film can be easily and continuously formed with a single PECVD apparatus. The Considering mass production of thin film semiconductor devices, it is necessary to periodically clean the film forming chambers of these films and remove the thin film adhering to the film forming chambers of the PECVD apparatus. This is because if the thin film continues to adhere to the film forming chamber without cleaning, the film is peeled off, or abnormal fine particles are generated and the yield is significantly reduced.

一方で洗浄工程により成膜室内から薄膜が取り除かれると、成膜室内には必ずフッ素(F)や炭素(C)等の洗浄気体の構成元素が微量とは言え残留する。こうした状態で半導体膜を堆積すると残留元素が不純物として半導体膜中に取り込まれ、トランジスタの特性を悪化させてしまう。しかも洗浄工程後に何枚もの基板を続けて処理し、所定の枚数を処理した後に洗浄工程を入れると、洗浄工程直後の基板に取り込まれる不純物量は多く、洗浄工程直前の基板に取り込まれる不純物量は少ないという事態に陥る。換言すれば基板間で不純物混入量が異なり、それが故優良な薄膜半導体装置を安定的に製造し得ないのである。そこで本願発明ではこの洗浄工程を先の連続成膜の工程と同じ一連の作業として行う。すなわち基板を一枚成膜処理する毎に洗浄工程を入れるのである。   On the other hand, when the thin film is removed from the film formation chamber by the cleaning process, the constituent elements of the cleaning gas such as fluorine (F) and carbon (C) always remain in the film formation chamber even though the amount is small. When the semiconductor film is deposited in such a state, residual elements are taken into the semiconductor film as impurities, and the characteristics of the transistor are deteriorated. Moreover, if a number of substrates are processed after the cleaning process and a predetermined number of substrates are processed and then the cleaning process is performed, the amount of impurities incorporated into the substrate immediately after the cleaning process is large, and the amount of impurities incorporated into the substrate immediately before the cleaning process Falls into a situation where there are few. In other words, the amount of impurities mixed between the substrates is different, so that an excellent thin film semiconductor device cannot be stably manufactured. Therefore, in the present invention, this cleaning process is performed as the same series of operations as the previous continuous film forming process. That is, a cleaning process is performed every time one substrate is formed.

まず第1工程として基板をPECVD装置の成膜室に設置する前に、その一枚前の基板処理時に成膜室内に付着した薄膜を取り除く。具体的にはNF3やCF4、CHF3、CH22、CH3Fなどの洗浄気体を単体、又はこれら洗浄気体と酸素(O2)や水素(H2)、アンモニア(NH3)などの反応制御気体との混合体、或いは必要に応じてヘリウム(He)、アルゴン(Ar)、窒素(N2)などの不活性気体をさらに適宜混合して成膜室内に導入し、プラズマを立てる。この工程により成膜室内に付着した薄膜が取り除かれる。この洗浄工程終了後成膜室内を一度真空引きし、できる限り残留気体が残らぬようにする。 First, as a first step, before the substrate is placed in the film formation chamber of the PECVD apparatus, the thin film attached to the film formation chamber during the previous substrate processing is removed. Specifically, cleaning gases such as NF 3 , CF 4 , CHF 3 , CH 2 F 2 , and CH 3 F are used alone, or these cleaning gases and oxygen (O 2 ), hydrogen (H 2 ), and ammonia (NH 3 ). A mixture with a reaction control gas such as helium (He), argon (Ar), or nitrogen (N 2 ), if necessary, is further appropriately mixed and introduced into the film formation chamber, and plasma is generated. Stand up. By this step, the thin film attached to the film formation chamber is removed. After completion of this cleaning process, the film forming chamber is evacuated once so that residual gas does not remain as much as possible.

続く第2工程では成膜室に窒化硅素膜や酸化硅素膜を残留不純物元素に対するパッシベーション膜として堆積する。すなわちこのパッシベーション膜にて不純物元素を閉じ込めるのである。パッシベーション膜は下地保護膜と同様に100nm程度以上の膜厚が確実に不純物混入を防ぐには必要である。このパッシベーション膜は一枚の基板処理が終了する毎に完全除去される必要がある。従って余りにも厚過ぎると先の第1工程の洗浄時間とパッシベーション膜を成膜する第2工程の時間が長くなり生産性を落とすので、パッシベーション膜は厚くとも1μm程度が上限である。パッシベーション膜に窒化硅素膜を用いる際にはアンモニア(NH3)とシラン(SiH4、Si26…等)を原料気体として用い、酸化硅素膜を用いる際には笑気ガス(N2O)とシランを用いる。 In the subsequent second step, a silicon nitride film or a silicon oxide film is deposited in the film forming chamber as a passivation film for the residual impurity element. That is, the impurity element is confined by this passivation film. The passivation film needs to have a film thickness of about 100 nm or more as in the case of the base protective film to prevent contamination with impurities. This passivation film needs to be completely removed every time one substrate is processed. Accordingly, if the thickness is too thick, the cleaning time of the first step and the time of the second step for forming the passivation film become longer and the productivity is lowered. Therefore, the upper limit of the thickness of the passivation film is about 1 μm. When using a silicon nitride film as a passivation film, ammonia (NH 3 ) and silane (SiH 4 , Si 2 H 6 ...) Are used as source gases, and when using a silicon oxide film, a laughing gas (N 2 O) is used. ) And silane.

第3工程で成膜室内に基板を設置した後、第4工程でこの基板上に下地保護膜を成膜する。下地保護膜は基板上では下地保護膜として機能しているが、基板外の成膜室内では第2のパッシベーション膜として機能する。下地保護膜はそれ単体で不純物の下地保護膜中の拡散を防ぐから、第2工程で成膜されたパッシベーション膜と合わせればほぼ完全に不純物の半導体膜中への混入を防止することが可能になる。この第4工程に連続して真空を破ることなく第5工程で半導体膜を成膜し、第6工程で成膜室から基板を取り出して一枚の基板処理作業が終了する。以後各基板に対して同じ基板処理作業を繰り返す。こうした基板処理作業に則って下地保護膜と半導体膜の連続成膜を行うと、下地保護膜と半導体膜との間の界面が清浄と化し優良な薄膜半導体装置が製造されるのである。さらに半導体膜中へのフッ素や炭素等の不純物混入量も最小限に止められ、しかも仮に不純物の極微量混入があったとしても、それらの量を基板間で常に同一に保つことができるので、結果として優良な薄膜半導体装置を安定的にしかも高い生産性で製造し得る訳である。   After the substrate is set in the film forming chamber in the third step, a base protective film is formed on the substrate in the fourth step. The base protective film functions as a base protective film on the substrate, but functions as a second passivation film in the deposition chamber outside the substrate. Since the base protective film alone prevents diffusion of impurities in the base protective film, when combined with the passivation film formed in the second step, it is possible to almost completely prevent impurities from being mixed into the semiconductor film. Become. A semiconductor film is formed in the fifth step without breaking the vacuum continuously in the fourth step, and the substrate is taken out of the film formation chamber in the sixth step, thereby completing one substrate processing operation. Thereafter, the same substrate processing operation is repeated for each substrate. When the base protective film and the semiconductor film are continuously formed in accordance with such a substrate processing operation, the interface between the base protective film and the semiconductor film is cleaned and an excellent thin film semiconductor device is manufactured. Furthermore, the amount of impurities such as fluorine and carbon in the semiconductor film can be kept to a minimum, and even if a very small amount of impurities is mixed, the amount can always be kept the same between the substrates. As a result, an excellent thin film semiconductor device can be manufactured stably and with high productivity.

(2−2、本発明の半導体膜とそれらを成膜するための原料物質)
本発明では半導体膜を何らかの基板の上に堆積する。これは以下総ての発明に共通している。本発明が適用される半導体膜の種類としてはシリコン(Si)やゲルマニウム(Ge)などの単体の半導体膜の他にシリコン・ゲルマニウム(SixGe1-x:0<x<1)やシリコン・カーバイト(Six1-x:0<x<1)やゲルマニウム・カーバイト(Gex1-x:0<x<1)等の四族元素複合体の半導体膜やガリウム・ヒ素(GaAs)、インジウム・アンチモン(InSd)等の三族元素と五族元素の複合体化合物半導体膜、又はカドミウム・セレン(CdSe)等の二族元素と六族元素の複合体化合物半導体膜も可能である。或いは、シリコン・ゲルマニウム・ガリウム・ヒ素(SixGeyGazAsz:x+y+z=1)と言った更なる複合化合物半導体膜やこれらの半導体膜にリン(P)、ヒ素(As)、アンチモン(Sb)等のドナー元素を添加したN型半導体膜、或いはホウ素(B)、アルミニウム(Al)、ガリウム(Ga)、インジウム(In)等のアクセプター元素を添加したP型半導体膜にも本発明は適用可能である。
(2-2. Semiconductor films of the present invention and raw materials for forming them)
In the present invention, a semiconductor film is deposited on some substrate. This is common to all inventions below. As a kind of semiconductor film to which the present invention is applied, in addition to a single semiconductor film such as silicon (Si) or germanium (Ge), silicon / germanium (Si x Ge 1-x : 0 <x <1) or silicon carbide (Si x C 1-x: 0 <x <1) and germanium carbide (Ge x C 1-x: 0 <x <1) group IV element complex semiconductor film or gallium arsenide of such ( Complex compound semiconductor films of Group 3 and Group 5 elements such as GaAs) and Indium Antimony (InSd), or complex compound semiconductor films of Group 2 and Group 6 elements such as cadmium selenium (CdSe) are also possible. is there. Alternatively, silicon germanium gallium arsenide (Si x Ge y Ga z As z: x + y + z = 1) and said further complex compound semiconductor film or a phosphorus these semiconductor films (P), arsenic (As), antimony ( The present invention also applies to an N-type semiconductor film to which a donor element such as Sb) is added, or a P-type semiconductor film to which an acceptor element such as boron (B), aluminum (Al), gallium (Ga), or indium (In) is added. Applicable.

本発明では半導体膜をCVD法で堆積する場合、堆積される半導体膜の構成元素を含有する化学物質を原料気体として半導体膜を堆積する。例えば半導体膜がシリコン(Si)である場合、原料気体としてはモノシラン(SiH4)、ジシラン(Si26)、トリシラン(Si38)、ジクロールシラン(SiH2Cl2)等のシランを用いる。本明細書ではジシランやトリシランを高次シラン(Sin2n+2:nは2以上の整数)と称する。ゲルマニウム(Ge)が半導体膜である場合はゲルマン(GeH4)等を用いるし、燐(P)やポロン(B)を半導体膜に添加するときにはフォスフィン(PH3)やジボラン(B26)なども共に用いられる。原料気体としては前述の各種半導体膜を構成する元素を含有する化学物質が用いられるが、必ず原料気体の一部が半導体膜中に残留するが故、構成元素の水素化物がより好ましい。例えばジクロールシラン(SiH2Cl2)から成膜されるシリコン膜には量の大小はともかく必ず塩素(Cl)が残留し、このシリコン膜を薄膜半導体装置の能動層に用いた場合残留塩素がトランジスタ特性の劣化要因となる。従ってジクロールシランよりは構成元素の水素化物であるモノシラン(SiH4)の方が好ましい。 In the present invention, when a semiconductor film is deposited by the CVD method, the semiconductor film is deposited using a chemical substance containing a constituent element of the deposited semiconductor film as a source gas. For example, when the semiconductor film is silicon (Si), the raw material gas is silane such as monosilane (SiH 4 ), disilane (Si 2 H 6 ), trisilane (Si 3 H 8 ), or dichlorosilane (SiH 2 Cl 2 ). Is used. In this specification, disilane and trisilane are referred to as higher order silane (Si n H 2n + 2, where n is an integer of 2 or more). When germanium (Ge) is a semiconductor film, germane (GeH 4 ) or the like is used, and when phosphorus (P) or poron (B) is added to the semiconductor film, phosphine (PH 3 ) or diborane (B 2 H 6 ). Etc. are also used together. As the source gas, chemical substances containing the elements constituting the various semiconductor films described above are used. However, since a part of the source gas always remains in the semiconductor film, a hydride of the constituent element is more preferable. For example, chlorine (Cl) always remains in a silicon film formed from dichlorosilane (SiH 2 Cl 2 ) regardless of the amount, and when this silicon film is used as an active layer of a thin film semiconductor device, residual chlorine is not formed. It becomes a factor of deterioration of transistor characteristics. Therefore, monosilane (SiH 4 ), which is a hydride of the constituent element, is preferable to dichlorosilane.

原料気体及び必要に応じて添加される追加気体の純度は高ければ高い程好ましいが、高純度気体を得る技術的な困難さの増大と、価格上昇を考慮すると、純度は99.9999%以上が好ましい。通常半導体膜成膜装置は背景真空度が10-6torr程度であり、成膜圧力が0.1torrから数torrである。それ故背景真空から成膜過程への不純物混入の割合は10-5から10-6程度となる。成膜に用いる原料気体や追加気体の純度はそれらの気体を利用する成膜装置の背景真空度に対する成膜圧力の比と同等であれば十分である。従って本発明にて成膜装置に流す気体の純度は99.999%以上(不純物の割合が1×10-5以下)が好ましく、99.9999%(不純物の割合が1×10-6以下)であれば原料としての使用に全く支障はなく、背景真空度と成膜圧力の比の十倍の純度(この例では純度が99.99999%で、不純物の割合が1×10-7以下)となれば気体からの不純物混入は全く考慮する必要はなく理想的である。 The higher the purity of the raw material gas and the additional gas added if necessary, the better. However, in consideration of an increase in technical difficulty to obtain a high purity gas and an increase in price, the purity is 99.9999% or more. preferable. In general, a semiconductor film forming apparatus has a background vacuum of about 10 −6 torr and a film forming pressure of 0.1 torr to several torr. Therefore, the ratio of impurity contamination from the background vacuum to the film forming process is about 10 −5 to 10 −6 . It is sufficient that the purity of the source gas and the additional gas used for film formation is equal to the ratio of the film formation pressure to the background vacuum degree of the film formation apparatus using those gases. Therefore, the purity of the gas flowing through the film forming apparatus in the present invention is preferably 99.999% or more (impurity ratio is 1 × 10 −5 or less), and 99.9999% (impurity ratio is 1 × 10 −6 or less). If so, there is no hindrance to the use as a raw material, and the purity is 10 times the ratio of the background vacuum to the film forming pressure (in this example, the purity is 99.99999% and the impurity ratio is 1 × 10 −7 or less). In this case, it is ideal that there is no need to consider the mixing of impurities from the gas.

(2−3、本発明で用いられるLPCVD装置)
本願発明で半導体膜をLPCVD法で堆積する場合のLPCVD装置の概要を説明する。LPCVD装置は縦型炉であっても横型炉であっても構わない。一般には成膜室は石英などからできており、その成膜室の中央部付近に基板を設置する。成膜室の外側には複数のゾーンに分かれたヒーターが設置されており、それらを独立に調整することで反応室中央部付近に所望の温度で均熱帯を形成する。
(2-3, LPCVD apparatus used in the present invention)
An outline of an LPCVD apparatus when a semiconductor film is deposited by the LPCVD method in the present invention will be described. The LPCVD apparatus may be a vertical furnace or a horizontal furnace. In general, the film formation chamber is made of quartz or the like, and a substrate is installed near the center of the film formation chamber. A heater divided into a plurality of zones is installed outside the film formation chamber, and a soaking zone is formed at a desired temperature near the center of the reaction chamber by independently adjusting the heaters.

所謂ホット・ウォール型のLPCVD装置である。複数のヒーターを其々独立に調整すれば均熱帯内での温度のずれを0.2℃以内とすることが可能となる。均熱帯内での温度の変動量が僅かであるとはいえ必ず存在し、この温度偏差が堆積膜厚変動の第1因子であり、さらに基板内の均一性が基板間の均一性に優先するが故、ヒーターからの熱輻射方向に対して基板は平行に設置されるのが望ましい。例えばLPCVD装置が縦型炉であれば、基板は略水平に設置したほうが垂直に設置するよりも半導体膜を均一に成膜できる。反対に横型炉であれば基板を略垂直に設置したほうがよい。シラン(SiH4)ジシラン(Si26)或いはゲルマン(GeH4)等の原料気体と、必要に応じて用いられるヘリウム・窒素・アルゴン・水素等の希釈ガスは成膜室の一方に設けられた気体導入部より成膜室に導入され、成膜室の中央部付近に設置された複数の基板や成膜室の壁面などに半導体膜を堆積した後に気体導入部とは反対の他方から排気される。成膜室からの排気はゲート・バルブやコンダクタンス・バルブを介してターボ分子ポンプやロータリー・ポンプなどの真空排気装置によって取られる。真空排気装置は本願発明ではターボ分子ポンプとロータリーポンプから構成されているが、この他にもメカニカル・ブースター・ポンプやドライポンプなどを組み合わせてもよい。 This is a so-called hot wall type LPCVD apparatus. If a plurality of heaters are adjusted independently, the temperature deviation within the soaking zone can be kept within 0.2 ° C. There is always a small amount of temperature variation in the soaking zone, but this temperature deviation is the first factor of the deposited film thickness variation, and the uniformity within the substrate takes precedence over the uniformity between the substrates. Therefore, it is desirable to install the substrate in parallel with the direction of heat radiation from the heater. For example, if the LPCVD apparatus is a vertical furnace, the semiconductor film can be formed more uniformly when the substrate is installed substantially horizontally than when it is installed vertically. On the other hand, in the case of a horizontal furnace, it is better to install the substrate substantially vertically. A source gas such as silane (SiH 4 ), disilane (Si 2 H 6 ), or germane (GeH 4 ) and a diluent gas such as helium, nitrogen, argon, and hydrogen used as needed are provided in one of the film forming chambers. After the semiconductor film is deposited on the plurality of substrates installed near the center of the film forming chamber or the wall surface of the film forming chamber, the gas is introduced from the other side opposite to the gas introducing unit. Is done. Exhaust from the film forming chamber is taken by a vacuum exhaust device such as a turbo molecular pump or a rotary pump through a gate valve or a conductance valve. In the present invention, the vacuum evacuation device is composed of a turbo molecular pump and a rotary pump, but a mechanical booster pump, a dry pump, or the like may be combined.

縦型炉か横型炉かを問わず成膜室に設置された基板の法線方向を成膜室内の気体流の方向と略一致させることで、半導体膜の均一性が比較的容易に得られるようになる。すなわち縦型炉であれば前述の如く基板は略水平に設置されるが故気体は上下方向に流されるのが好ましい。同様に横型炉であれば基板は略垂直に設置されているから気体は水平方向に流されるのが好ましい訳である。本発明で用いられるLPCVD装置は高真空型であり、成膜時の背景真空度は10-7torr代である。そのために基板やボート治具等からの不必要で必然的に生ずる脱ガスを十分速く排気することが可能となっている。基板やボート治具等から発生する脱ガスには水(H2O)、酸素(O2)等が含まれており、これらの不純物ガスは良質な半導体膜の成長を阻害する。すなわち基板やボート治具から発生した不純物ガスはシリコン膜などの半導体膜堆積に際し、堆積の初期過程では堆積膜の核となり得る。これがため脱ガスが十分排気されない場合には沢山の不純物ガスが基板表面に吸着し、多くの核が発生してしまう。 Regardless of whether the furnace is a vertical furnace or a horizontal furnace, the normal direction of the substrate installed in the film forming chamber is substantially the same as the direction of the gas flow in the film forming chamber, so that the uniformity of the semiconductor film can be obtained relatively easily. It becomes like this. That is, in the case of a vertical furnace, the substrate is installed substantially horizontally as described above, so that the gas is preferably flowed in the vertical direction. Similarly, in the case of a horizontal furnace, since the substrate is installed substantially vertically, it is preferable that the gas is flowed in the horizontal direction. The LPCVD apparatus used in the present invention is a high vacuum type, and the background vacuum at the time of film formation is in the 10 −7 torr range. For this reason, unnecessary and inevitably degassing from the substrate or boat jig can be exhausted sufficiently quickly. Degassing generated from a substrate, a boat jig or the like includes water (H 2 O), oxygen (O 2 ), and the like, and these impurity gases inhibit the growth of a high-quality semiconductor film. That is, the impurity gas generated from the substrate and the boat jig can become a nucleus of the deposited film in the initial deposition process when depositing a semiconductor film such as a silicon film. For this reason, when the degassing is not sufficiently exhausted, many impurity gases are adsorbed on the substrate surface, and many nuclei are generated.

半導体膜を堆積して、その後熱処理やレーザー照射等で結晶化させても、これらの脱ガスに起因する多量の核の存在は結晶成長後の平均粒径サイズを小さくし、半導体特性を低下させる。加えて堆積進行中にもこれらの脱ガス不純物は成長半導体膜内に取り込まれるため、半導体特性はさらに劣下してしまう。(2−1)の項で説明したように核の発生を抑えるには下地保護膜の材質や表面粗さが重要な役割を演ずる訳であるが、同時に半導体膜の堆積条件も慎重に制御されねばならないのである。結局、良質な半導体膜を形成するには、下地保護膜表面を核が発生しにくいように調整した上で、さらに基板などから不可避的に発生する脱ガス不純物を十分速く排気し得るLPCVD装置を使用することが不可欠なのである。
LPCVD法では原料気体の熱分解を利用して基板上に半導体膜を堆積する。
Even if a semiconductor film is deposited and then crystallized by heat treatment, laser irradiation, etc., the presence of a large amount of nuclei due to these degassing reduces the average grain size after crystal growth and degrades semiconductor characteristics. . In addition, since these degas impurities are taken into the grown semiconductor film even during deposition, the semiconductor characteristics are further deteriorated. As explained in the section (2-1), the material and surface roughness of the base protective film play an important role in suppressing the generation of nuclei. At the same time, the deposition conditions of the semiconductor film are carefully controlled. You must. After all, in order to form a high-quality semiconductor film, an LPCVD apparatus capable of exhausting outgassing impurities inevitably generated from the substrate and the like sufficiently fast after adjusting the surface of the base protective film so that nuclei are not easily generated. It is essential to use it.
In the LPCVD method, a semiconductor film is deposited on a substrate by utilizing thermal decomposition of a source gas.

この方法で300mm×300mmと言ったような大型基板に、それを使用し得る比較的低い堆積温度で、かつ高い生産性を持って膜形成を行う場合の最大の課題は堆積速度(DR)と均一性の両立である。例えば前述した安価な大型汎用ガラス基板上にシリコン膜を堆積することを考える。基板サイズが300mm×300mm以上となるような大型基板は基板をどのように設置しても、堆積温度が450℃程度未満でないと成膜中に基板の自重により歪みが生ずる。いうまでもなくこの熱による歪みは堆積温度が低い程小さくなるが、歪みがパターニング時の露光などの後工程に全く影響を及ぼさぬ程小さくなるのは堆積温度が430℃程度以下となったときである。そこでシリコン膜等の半導体膜を425℃といったような低温でジシランなどの高次シランを用いて堆積するのだが、堆積温度をこれ程にまで下げると堆積速度も非常に遅くなってしまう。そこで低い堆積温度であっても速い堆積速度が得られるように堆積圧力を上げる。   The biggest problem when film formation is performed with a relatively low deposition temperature and high productivity on a large substrate such as 300 mm × 300 mm by this method is the deposition rate (DR). Uniformity is compatible. For example, consider depositing a silicon film on the above-mentioned inexpensive large-sized general-purpose glass substrate. For a large substrate having a substrate size of 300 mm × 300 mm or more, no matter how the substrate is installed, if the deposition temperature is not less than about 450 ° C., distortion occurs due to the weight of the substrate during film formation. Needless to say, the distortion caused by this heat becomes smaller as the deposition temperature becomes lower, but the distortion becomes so small that it does not affect the subsequent processes such as exposure at the time of patterning when the deposition temperature becomes about 430 ° C. or less. It is. Therefore, a semiconductor film such as a silicon film is deposited using a higher order silane such as disilane at a low temperature such as 425 ° C. However, if the deposition temperature is lowered to this level, the deposition rate becomes very slow. Therefore, the deposition pressure is increased so that a high deposition rate can be obtained even at a low deposition temperature.

気体濃度は圧力に比例するから堆積圧力を上げることは原料気体濃度を上げるに等しく、それ故原料の基板表面への輸送速度が大きくなって堆積速度は速くなるのである。しかしながら斯様な堆積方法を用いると大型基板の周辺部の半導体膜のみが特に厚くなり、その結果基板面内の均一性が悪くなってしまう。基板の中心部と周辺部の膜厚の相異は基板が大きくなるに従って顕著になり、また、堆積温度が低下するに従って矢張り顕著と化す。   Since the gas concentration is proportional to the pressure, increasing the deposition pressure is equivalent to increasing the raw material gas concentration. Therefore, the transport rate of the raw material to the substrate surface is increased and the deposition rate is increased. However, when such a deposition method is used, only the semiconductor film at the periphery of the large substrate becomes particularly thick, and as a result, the uniformity within the substrate surface is deteriorated. The difference in film thickness between the central portion and the peripheral portion of the substrate becomes more prominent as the substrate becomes larger, and becomes more noticeable as the deposition temperature decreases.

この一つの原因としては原料気体の輸送速度を大きくしたときに基板のエッヂ部で乱流が発生し、そのために周辺部のみに著しく多量の原料物質が輸送され、最終的に中心部に比較して膜が厚くなるのだと考えられる。もう一つの原因は基板サイズの増大に伴い中心部への気相での輸送速度が落ちるためだと思われる。換言すれば450℃程度末満、或いは430℃程度未満の低温で速い堆積速度と均一な膜厚分布を得るには原料気体の気相中での輸送速度が基板の中央部や周辺部と云った場所によらず常に大きい状態にあり、しかもエッヂ部に発生する乱流を最小限に制御することが肝要なのである。さて堆積時の原料気体分圧(ジシランが原料気体ならばジシラン分圧)が10mtorr程度から5torr程度の真空度であれば、乱流の大小と輸送速度の相異はLPCVD装置内に設置した基板間隔dによりある程度制御し得ることが発明者の行った一連の実験から明らかとなった。発明者の実験では一般に基板間隔dが大きい程均一性は良くなる傾向が認められ、さらに基板が大きくなるにつれて同じ均一性を得るにもより大きな基板間隔が必要となることが判明した。基板間隔がある程度広がると基板中央部に対しても効果的に原料気体が輸送され、中央部と周辺部での輸送量の差が小さくなること、及び周辺部に発生する乱流が小さくなることの二つの事象により、均一性は改善されると思われる。   One reason for this is that when the transport speed of the source gas is increased, turbulence occurs in the edge portion of the substrate, so that a significant amount of source material is transported only to the peripheral portion, and finally compared to the center portion. It is thought that the film becomes thick. Another reason seems to be that the transport speed in the gas phase to the center decreases as the substrate size increases. In other words, in order to obtain a high deposition rate and a uniform film thickness distribution at a low temperature of about 450 ° C. or less than about 430 ° C., the transport rate of the source gas in the gas phase is said to be the central portion or the peripheral portion of the substrate. Regardless of the location, it is always in a large state, and it is important to control the turbulence generated in the edge portion to a minimum. If the source gas partial pressure during deposition (disilane partial pressure if disilane is a source gas) is a vacuum degree of about 10 mtorr to about 5 torr, the difference between the magnitude of turbulent flow and the transport speed is the substrate installed in the LPCVD apparatus. It has become clear from a series of experiments conducted by the inventors that it can be controlled to some extent by the distance d. Inventor's experiments generally found that the larger the substrate distance d, the better the uniformity, and the larger the substrate, the greater the substrate distance needed to obtain the same uniformity. When the distance between the substrates is increased to some extent, the source gas is also effectively transported to the central part of the substrate, the difference in transport amount between the central part and the peripheral part is reduced, and the turbulence generated in the peripheral part is reduced. These two events seem to improve uniformity.

具体的には堆積温度が410℃程度から440℃程度であり、基板の面積Sが90000mm2(300mm×300mmの基板)程度以上であるとき、基板間隔dを d≧0.02×S1/2 (mm)…(1) Specifically, when the deposition temperature is about 410 ° C. to about 440 ° C. and the substrate area S is about 90000 mm 2 (300 mm × 300 mm substrate) or more, the substrate interval d is set to d ≧ 0.02 × S 1 / 2 (mm) ... (1)

(1)式を満たすように設定すれば均一性が改善されるのである。例えば300mm×300mmの基板をLPCVD装置内に設置する場合は基板間隔dを6mm以上とすればよい。実際堆積温度425℃、ジシラン流量200sccm、ヘリウム流量1000sccm、圧力1.2torr、ジシラン分圧200mtorr、堆積速度0.85nm/minの堆積条件で300mm×300mmの基板を7.5mm間隔でLPCVD装置内に設置したときに基板の周辺1cmを除いた膜厚のばらつきは僅か3.4%であった。(但しここでばらつきは周辺部を除いた280mm×280mmの領域内の最大膜厚をmax、最小膜厚をminとしたとき(max−min)/(max+min)で定義した。)
これに対して全く同じ堆積条件で同じサイズの基板を5mm間隔でLPCVD装置内に設置したときのばらつきは8.9%となった。後述するように半導体膜厚は薄膜半導体装置の性能に対して強い影響を及ぼすが、ばらつきがおよそ5%以内であれば、性能の差異は殆ど問題にならない。同様に360mm×465mmの基板を10mm間隔でLPCVD装置内に設置したときのばらつきが4.2%であったのに対し、7.5mm間隔のときは10.1%であった。(1)式に従えば、360mm×465mmの基板に対しては基板間隔dは8.2mm以上とすべきであるが、事実はこれを忠実に支持している。このように例えば均熱帯の幅が約120cmで設置基板の間隔を10mmとすれば、処理基板の上下又は前後のダミー空間を考慮しても1バッチで100枚の基板処理が可能である。次項にて述べられる本願発明の成膜方法を利用すると、1バッチ当たりの処理時間は3時間程度となる。それ故、基板一枚当たりの処理時間(本願ではこれをタクト時間と称する)は1分48秒となり、LPCVD装置のメンテナンスなどの停止期間を加味してもタクト時間が2分程度となる。すなわち斯様な高生産性をして均一性のよい薄膜半導体装置が製造されるのである。
If the setting is made so as to satisfy the expression (1), the uniformity is improved. For example, when a 300 mm × 300 mm substrate is installed in the LPCVD apparatus, the substrate distance d may be set to 6 mm or more. An actual deposition temperature of 425 ° C., a disilane flow rate of 200 sccm, a helium flow rate of 1000 sccm, a pressure of 1.2 torr, a disilane partial pressure of 200 mtorr, and a deposition rate of 0.85 nm / min. When installed, the film thickness variation excluding 1 cm around the substrate was only 3.4%. (However, the variation is defined as (max-min) / (max + min) where max is the maximum film thickness and min is the minimum film thickness in a 280 mm × 280 mm area excluding the peripheral part.)
On the other hand, the variation was 8.9% when substrates of the same size were placed in the LPCVD apparatus at exactly 5 mm intervals under exactly the same deposition conditions. As will be described later, the semiconductor film thickness has a strong influence on the performance of the thin film semiconductor device, but if the variation is within about 5%, the difference in performance hardly becomes a problem. Similarly, the variation when a 360 mm × 465 mm substrate was placed in the LPCVD apparatus at intervals of 10 mm was 4.2%, whereas it was 10.1% at a distance of 7.5 mm. According to the formula (1), the substrate interval d should be 8.2 mm or more for a 360 mm × 465 mm substrate, but the fact supports this faithfully. Thus, for example, if the width of the soaking zone is about 120 cm and the interval between the installed substrates is 10 mm, 100 substrates can be processed in one batch even when considering the dummy space above and below the processing substrate. When the film forming method of the present invention described in the next section is used, the processing time per batch is about 3 hours. Therefore, the processing time per substrate (referred to as tact time in the present application) is 1 minute 48 seconds, and the tact time is about 2 minutes even when a stop period such as maintenance of the LPCVD apparatus is taken into account. That is, a thin film semiconductor device having such high productivity and good uniformity is manufactured.

前述の如く堆積温度を下げるに従い、堆積速度が遅くなり、ために均一性を得るのも困難になる。堆積温度が410℃程度未満となれば(1)に代わり、 d≧0.04×S1/2 (mm)…(2) As described above, as the deposition temperature is lowered, the deposition rate becomes slower, and it becomes difficult to obtain uniformity. If the deposition temperature is less than about 410 ° C., instead of (1), d ≧ 0.04 × S 1/2 (mm) (2)

(2)式の条件を満たすように基板を設置すると同様に良好な均一性が得られる。尚、図3(a)に示すように横型炉を用いて二枚の基板を一組として互いに背中合わせにボート上に立て掛けて半導体膜を成膜する場合は隣接する組間の距離が基板間隔dに相当する。先の360mm×465mmの例を考えると1バッチで200枚の基板処理ができるようになり、さらに生産性は倍増する訳である。同様の関係は縦型LPCVD装置に対しても当てはまる。この場合も二枚一組としてガラス基板の裏面同士を合わせて略水平に設置する。すなわち二枚のガラス基板のうちで下側の基板は表面が下向きとなり、上側の基板は表面が上向きとなる。 When the substrate is installed so as to satisfy the condition of formula (2), good uniformity can be obtained. As shown in FIG. 3 (a), when a semiconductor film is formed by setting two substrates as a set using a horizontal furnace and leaning back to back on a boat, the distance between adjacent sets is the substrate spacing d. It corresponds to. Considering the above example of 360 mm × 465 mm, 200 substrates can be processed in one batch, and the productivity is further doubled. A similar relationship applies to a vertical LPCVD apparatus. In this case as well, the back surfaces of the glass substrates are put together in a set substantially horizontally in pairs. That is, of the two glass substrates, the lower substrate has a surface facing downward, and the upper substrate has a surface facing upward.

こうしたときも組間の距離dが前述の基板間隔に相当する。(図3(b)参照)ホット・ウォール型縦型LPCVD装置で大型基板を水平に設置するときに生ずる問題の一つが図4に示す基板の中央部の反りである。この反りは基板が大きくなる程大きくなり、また、ガラスの歪点が低い基板程大きくなる。その一方でガラス基板は歪点が高く耐熱性が高い物程その価格が高い傾向にある。   Also in such a case, the distance d between the sets corresponds to the above-described substrate interval. (See FIG. 3B) One of the problems that arise when a large substrate is horizontally installed in a hot wall type vertical LPCVD apparatus is the warpage of the central portion of the substrate shown in FIG. This warpage becomes larger as the substrate becomes larger, and becomes larger as the substrate has a lower glass strain point. On the other hand, glass substrates tend to be more expensive as they have higher strain points and higher heat resistance.

そこで図3(b)に示したように二枚一組として複数のガラス基板をLPCVD装置内に設置する際、互いに歪点の異なるガラスを一組とし、歪点の大きい方のガラス基板が下側となるようにして半導体膜を堆積する。すると歪点の大きいガラスの反りは小さいから、その上に設けられた歪点の小さいガラスの反りも小さくすることができ、結果としてさらに安価なガラス基板が使用可能となる訳である。すなわち二枚一組とすることで単に生産性が倍増するにのみならず、LCD一枚当たりの価格をも容易に引き下げられるのである。
(2−4、本発明によるLPCVD法での半導体膜堆積)
前項で説明したように、汎用大型ガラス基板を使用するに当たり、堆積温度はできる限り低い方が好ましい。しかしながら堆積温度の低下は同時に堆積速度の低下をも意味している。堆積速度が遅くなると成膜に費やす時間が長くなり、生産性を落とすのは無論であるが、それ以外にも薄膜半導体装置の性能にも悪影響を及ぼす。
Therefore, as shown in FIG. 3B, when a plurality of glass substrates are installed in a LPCVD apparatus as a set of two pieces, a set of glasses having different strain points is used, and the glass substrate having the larger strain point is placed below. A semiconductor film is deposited on the side. Then, since the warp of the glass having a large strain point is small, the warp of the glass having a small strain point provided thereon can also be reduced, and as a result, a cheaper glass substrate can be used. In other words, by making a pair, not only the productivity doubles, but also the price per LCD can be easily reduced.
(2-4, Semiconductor Film Deposition by LPCVD Method According to the Present Invention)
As explained in the previous section, the deposition temperature is preferably as low as possible when using a general-purpose large glass substrate. However, a decrease in the deposition temperature also means a decrease in the deposition rate. When the deposition rate is slowed down, the time spent for film formation becomes long, and it goes without saying that the productivity is lowered, but it also adversely affects the performance of the thin film semiconductor device.

逆をいうと半導体膜にシリコンが含有される良好な薄膜半導体装置を低温プロセスで製造するに当たり、半導体膜を堆積温度が450℃未満、とりわけ430℃程度以下でジシラン等の高次シランを用いて堆積する際、堆積速度が0.20nm/min以上であれば移動度の大きい薄膜半導体装置ができ、さらに堆積速度を0.60nm/min以上とすれば基板内のトランジスタ特性の変動を小さくし得るのである。また、純粋なシリコン膜からなる半導体膜を430℃程度以下の低温で、かつシリコン膜の堆積速度を0.20nm/min程度以上として形成したときには熔融結晶化された半導体膜品質はレーザーの変動に対して安定で、しかもこれを用いたpoly−Si TFTではECR−PECVD装置を用いずに形成したSiO2膜をゲート絶縁膜として用いても良好なトランジスタ特性を有する薄膜半導体装置が作成されるのである。実際堆積温度400℃、ジシラン流量200sccm、ヘリウム流量1000sccm、圧力880mtorr、ジシラン分圧147mtorr、堆積速度0.12nm/minとの堆積条件や堆積温度425℃、ジシラン流量200sccm、水素流量200sccm、圧力131mtorr、ジシラン分圧65.5mtorr、堆積速度0.19nm/minとの条件で堆積した非晶質シリコン膜は透過型電子顕微鏡写真によると至る所に黒い斑点が観測され、RTA法で結晶化した後の結晶粒径も小さかった。 In other words, in manufacturing a good thin film semiconductor device in which silicon is contained in the semiconductor film by a low temperature process, the semiconductor film is deposited at a deposition temperature of less than 450 ° C., particularly about 430 ° C. or less, using a higher order silane such as disilane. When depositing, if the deposition rate is 0.20 nm / min or more, a thin film semiconductor device with high mobility can be obtained, and if the deposition rate is 0.60 nm / min or more, fluctuations in transistor characteristics in the substrate can be reduced. It is. In addition, when a semiconductor film made of a pure silicon film is formed at a low temperature of about 430 ° C. or less and a silicon film deposition rate of about 0.20 nm / min or more, the quality of the melt-crystallized semiconductor film is subject to laser fluctuations. On the other hand, since a poly-Si TFT using this is a thin-film semiconductor device having good transistor characteristics even if an SiO 2 film formed without using an ECR-PECVD apparatus is used as a gate insulating film, a poly-Si TFT using the same is produced. is there. Actual deposition temperature of 400 ° C., disilane flow rate 200 sccm, helium flow rate 1000 sccm, pressure 880 mtorr, disilane partial pressure 147 mtorr, deposition rate 0.12 nm / min, deposition temperature 425 ° C., disilane flow rate 200 sccm, hydrogen flow rate 200 sccm, pressure 131 mtorr, The amorphous silicon film deposited under conditions of a disilane partial pressure of 65.5 mtorr and a deposition rate of 0.19 nm / min shows black spots everywhere according to transmission electron micrographs, and after crystallization by the RTA method The crystal grain size was also small.

そのためにこれをトランジスタの能動層としたときに移動度も小さくなるのである。堆積速度が0.20nm/minよりも遅いときに何故非晶質Si上に黒い斑点が発生し、トランジスタ特性を劣化させるのかその詳細は定かではないが、恐らく余りにも成長速度が遅いため、膜成長の表面が気相に露呈している時間も長くなり、結果として背景真空からの不純物混入が多くなる物と思われる。従って堆積速度の下限はLPCVD装置の背景真空度に依存する。すなわち本願のように背景真空度が1×10-7torr〜1×10-6torrのLPCVD装置では堆積速度が0.20nm/min以上で良質な半導体膜が堆積されるのである。堆積速度が0.60nm/min以上となればこうした影響は全くなくなり、そのためにトランジスタ特性の変動量も小さくなるのである。 Therefore, when this is used as an active layer of a transistor, the mobility is also reduced. The reason why black spots occur on the amorphous Si when the deposition rate is slower than 0.20 nm / min and degrades the transistor characteristics is not clear, but the growth rate is probably too slow. It seems that the time during which the growth surface is exposed to the gas phase becomes longer, and as a result, the contamination from the background vacuum increases. Therefore, the lower limit of the deposition rate depends on the background vacuum degree of the LPCVD apparatus. That is, in the LPCVD apparatus having a background vacuum degree of 1 × 10 −7 torr to 1 × 10 −6 torr as in the present application, a high-quality semiconductor film is deposited at a deposition rate of 0.20 nm / min or more. If the deposition rate is 0.60 nm / min or more, such an influence is completely eliminated, and the variation amount of transistor characteristics is also reduced.

さらに後述するように本願発明のLPCVD法で薄膜半導体装置を作成したときの半導体膜の最適膜厚はおよそ50nmである。従って堆積速度が0.60nm/min以上であれば堆積時間は80分程度である。LPCVD装置に基板を入れて真空引きをするのに約20分を費やし、成膜前の予備加熱時間が約1時間、上述の如く堆積時間が約1時間20分、成膜後の真空引きと基板取り出しを行うのに約20分となり、都合1バッチの処理時間は3時間程度となる。前項で示した通り、1バッチで100枚の基板を処理すればタクト時間は2分程度となり、二枚一組の方法を用いればタクト時間が1分未満との非常な高生産性が実現される。   Further, as will be described later, the optimum film thickness of the semiconductor film when the thin film semiconductor device is formed by the LPCVD method of the present invention is about 50 nm. Therefore, if the deposition rate is 0.60 nm / min or more, the deposition time is about 80 minutes. It takes about 20 minutes to put the substrate in the LPCVD apparatus and evacuate it, the preheating time before film formation is about 1 hour, and the deposition time is about 1 hour 20 minutes as described above. It takes about 20 minutes to take out the substrate, and the processing time for one batch is about 3 hours. As shown in the previous section, if 100 substrates are processed in one batch, the tact time is about 2 minutes, and if a method of two sheets is used, extremely high productivity with a tact time of less than 1 minute is realized. The

これまで述べてきたように、高性能な低温プロセスpoly−Si TFTを安定的に大型基板上に作成するには、シリコンを含有する半導体膜を430℃程度以下の温度で、堆積速度が0.6nm/min以上の速さで、かつ大型基板内の膜厚のばらつきを5%程度以下とすることが理想的には求められる。この条件はLPCVD法で半導体膜を成膜する際に原料気体としてジシランなどの高次シランを用い、LPCVD装置成膜室内で半導体膜が成膜され得る表面の総面積A(cm2)と半導体膜成膜中に成膜室内に導入される高次シランの流量Q(sccm)との関係を規定することで満足される。すなわち単位面積当たりの高次シラン流量をR(sccm/cm2)R=Q/Aにて定義したとき、この値を調整することで上記三種の理想条件が満たされるのである。LPCVD法による半導体膜成膜に際して堆積温度は主として基板表面での化学反応速度を定める。 As described so far, in order to stably produce a high-performance low-temperature process poly-Si TFT on a large substrate, a silicon-containing semiconductor film is deposited at a temperature of about 430 ° C. or less and a deposition rate is set to 0. It is ideally desired that the film thickness variation within a large substrate is about 5% or less at a speed of 6 nm / min or more. This condition is that a high-order silane such as disilane is used as a source gas when forming a semiconductor film by LPCVD, and the total surface area A (cm 2 ) on which the semiconductor film can be formed in the LPCVD apparatus film forming chamber This is satisfied by defining the relationship with the flow rate Q (sccm) of the higher order silane introduced into the film formation chamber during film formation. That is, when the higher order silane flow rate per unit area is defined by R (sccm / cm 2 ) R = Q / A, the above three ideal conditions are satisfied by adjusting this value. When the semiconductor film is formed by the LPCVD method, the deposition temperature mainly determines the chemical reaction rate on the substrate surface.

一方気相に於ける原料気体の輸送速度は空間内の原料気体の濃度と正の相関にある。原料気体の濃度Cは C=P/kTgの関係式で原料気体の圧力Pと温度Tgに結び付いている。(kはボルツマン定数。)堆積温度を一定値に固定した上で、すなわち潜在的表面反応速度を一定に保った上で尚堆積速度を上げるには、従って原料気体の圧力Pを大きくして気相に於ける輸送速度を速めることで実際の表面反応速度を増大させるのが一般的である。ところが前述の如く、圧力を高くして堆積速度を速くすると均一性が損なわれてしまうのである。 On the other hand, the transport speed of the source gas in the gas phase has a positive correlation with the concentration of the source gas in the space. The concentration C of the raw material gas is related to the pressure P and the temperature T g of the raw material gas by a relational expression of C = P / kT g . (K is Boltzmann's constant.) In order to increase the deposition rate while fixing the deposition temperature at a constant value, that is, while keeping the potential surface reaction rate constant, the gas P is increased by increasing the gas pressure P. It is common to increase the actual surface reaction rate by increasing the transport rate in the phase. However, as described above, if the pressure is increased to increase the deposition rate, the uniformity is impaired.

こうした事実が認められる一方で、成膜室中の圧力Pは成膜室の排気速度Sと気体流量Qとの間に P=Q/Sとの関係を結んでいる。ここには独立変数が3個認められ、それらの間の関係式が一つであるから結局独立変数は2個存在することになる。言い換えれば圧力Pのみを指定しても一つの物理状態を定め得ないのである。このことは例えば同じ圧力100mtorrであっても気体流量が100sccmで排気速度が1sccm/mtorrの系と気体流量が1sccmで排気速度が0.01sccm/mtorrの系では全く別の物理系であることを意味している。発明者はこの点に注目し、堆積温度と堆積圧力を一定値に定めた上で、成膜室に於ける排気速度と原料気体であるジシランの流量を変えて、これらのパラメーターが堆積速度と均一性に如何なる効果を及ぼすかを検討した。その結果、堆積温度と圧力を一定にした場合であっても原料気体の流量を大きくするに従い堆積速度も増大し、しかも均一性も改善されることが判明した。   While such a fact is recognized, the pressure P in the film forming chamber has a relationship of P = Q / S between the exhaust speed S of the film forming chamber and the gas flow rate Q. Here, three independent variables are recognized, and since there is one relational expression between them, there are two independent variables after all. In other words, even if only the pressure P is specified, one physical state cannot be determined. This means that, for example, a system with a gas flow rate of 100 sccm and a pumping speed of 1 sccm / mtorr and a system with a gas flow rate of 1 sccm and a pumping speed of 0.01 sccm / mtorr are completely different physical systems even at the same pressure of 100 mtorr. I mean. The inventor pays attention to this point, and after setting the deposition temperature and deposition pressure to constant values, the exhaust rate in the film formation chamber and the flow rate of disilane, which is the raw material gas, are changed. The effect on uniformity was investigated. As a result, it was found that even when the deposition temperature and pressure were kept constant, the deposition rate increased and the uniformity was improved as the flow rate of the source gas was increased.

さらにこの関係は反応室内の総面積Aにも深く関わっており、総面積に比例して原料気体流量も増やす必要が認められたのである。このことを図5を用いて説明する。体積184.51を擁する縦型ホット・ウォールLPCVD装置に300mm×300mmの基板を基板間隔10mmで35枚設置し、非晶質シリコン膜を堆積した。基板一枚の面積は30cm×30cm×2(表裏)で1800cm2であるから35枚の基板の総面積は63000cm2である。 Further, this relationship is deeply related to the total area A in the reaction chamber, and it was recognized that the raw material gas flow rate should be increased in proportion to the total area. This will be described with reference to FIG. Thirty-five 300 mm × 300 mm substrates were placed in a vertical hot wall LPCVD apparatus having a volume of 184.51 with a substrate spacing of 10 mm, and an amorphous silicon film was deposited. Since the area of one substrate is 30 cm × 30 cm × 2 (front and back) and 1800 cm 2 , the total area of 35 substrates is 63000 cm 2 .

一方成膜室内で半導体膜が成膜される部分の面積は25262cm2であったから、LPCVD装置内で半導体膜が成膜され得る総面積Aは A=63000+25262=88262cm2である。この条件下で堆積温度を425℃に、体積圧力を320mtorrに、成膜室にはジシランのみを流して半導体膜を堆積した。ジシラン流量を50sccmから400sccmまで変え、同時にLPCVD装置の圧力調整器により成膜室の排気速度を変えて堆積圧力を320mtorrの一定値に保った。斯様になされた実験のジシラン流量に対する堆積速度を図5に丸印と実線(DR)により示し、基板内の膜厚のばらつきを四角印と破線(V)で示した。A=88262cm2であるので、Q=50sccmはR=5.66×10-4sccm/cm2に相当し、以下Q=100sccmがR=1.13×10-3sccm/cm2に、Q=200sccmがR=2.27×10-3sccm/cm2、Q=400sccmが4.53×10-3sccm/cm2に相当する。
Rが2.27×10-3よりも大きくなると堆積速度は略飽和し、表面反応速度が潜在的表面反応速度におよそ一致する。前述のように同じ温度と圧力であれば堆積速度が速い方が、生産性の視点からも半導体膜質の視点からも望ましい。堆積速度が大きければ核の発生速度に対してその成長速度が大きくなるがため、結晶化工程後の結晶粒も大きくなることと、脱ガス等の不純物ガスの半導体膜中への取り込み量が減ることの二点により半導体膜質は改善される。これら二点はこの半導体膜を薄膜半導体装置の能動層として用いたとき、其々移動度が大きくなることと閾値電圧が低くなることを意味している。さらに不純物の取り込みが少ないことはpoly−Si TFTのオフ電流を低く抑えることにも結び付く。
On the other hand, since the area of the portion where the semiconductor film is formed in the film formation chamber is 25262 cm 2 , the total area A where the semiconductor film can be formed in the LPCVD apparatus is A = 63000 + 25262 = 88262 cm 2 . Under these conditions, the deposition temperature was 425 ° C., the volume pressure was 320 mtorr, and only disilane was allowed to flow into the film formation chamber to deposit a semiconductor film. The deposition pressure was maintained at a constant value of 320 mtorr by changing the flow rate of disilane from 50 sccm to 400 sccm and simultaneously changing the exhaust speed of the film forming chamber by the pressure regulator of the LPCVD apparatus. The deposition rate with respect to the disilane flow rate in the experiment conducted in this way is indicated by a circle and a solid line (DR) in FIG. 5, and the variation in the film thickness in the substrate is indicated by a square mark and a broken line (V). Since at A = 88262cm 2, Q = 50sccm is R = 5.66 corresponds to a × 10 -4 sccm / cm 2, Q = 100sccm within R = 1.13 × 10 -3 sccm / cm 2 or less, Q = 200 sccm corresponds to R = 2.27 × 10 −3 sccm / cm 2 , and Q = 400 sccm corresponds to 4.53 × 10 −3 sccm / cm 2 .
When R is greater than 2.27 × 10 −3 , the deposition rate is substantially saturated, and the surface reaction rate approximately matches the potential surface reaction rate. As described above, if the temperature and pressure are the same, a higher deposition rate is desirable from the viewpoint of productivity and semiconductor film quality. If the deposition rate is high, the growth rate increases with respect to the nucleus generation rate, so that the crystal grains after the crystallization process also increase, and the amount of impurity gas such as degassing incorporated into the semiconductor film decreases. These two points improve the semiconductor film quality. These two points mean that when this semiconductor film is used as an active layer of a thin film semiconductor device, the mobility increases and the threshold voltage decreases. Further, the small amount of impurities taken in leads to the low off current of the poly-Si TFT.

このように堆積速度は速い方がよいのだが、その値は図5から分かるようにR=2.27×10-3sccm/cm2以上で飽和する。従って半導体膜成膜の際の単位面積当たりの高次シラン流量は2.27×10-3sccm/cm2程度以上が好ましい。この実験は縦型炉で行われ、原料気体は成膜室上部より導入され、下部から排気がなされた。R=5.66×10-4sccm/cm2では一番上に設置した基板と一番下に設置した基板で堆積速度が18%異なっていた。R=1.13×10-3sccm/cm2以上ではこのずれは殆ど観測されなかったことから、基板間での均一性を得るためにはRは1.13×10-3sccm/cm2程度以上が望まれる。また、図5から分かるようにR≧4.54×10-3sccm/cm2では基板内のばらつきが5%以下となり、堆積速度も1.30nm/minと大きく理想的である。 Thus, it is better that the deposition rate is high, but the value is saturated at R = 2.27 × 10 −3 sccm / cm 2 or more as can be seen from FIG. Accordingly, the flow rate of the higher order silane per unit area during the formation of the semiconductor film is preferably about 2.27 × 10 −3 sccm / cm 2 or more. This experiment was conducted in a vertical furnace, and the source gas was introduced from the upper part of the film forming chamber and exhausted from the lower part. At R = 5.66 × 10 −4 sccm / cm 2 , the deposition rate was 18% different between the substrate placed at the top and the substrate placed at the bottom. This deviation was hardly observed when R = 1.13 × 10 −3 sccm / cm 2 or more. Therefore, R is 1.13 × 10 −3 sccm / cm 2 in order to obtain uniformity between substrates. More than the degree is desired. Further, as can be seen from FIG. 5, when R ≧ 4.54 × 10 −3 sccm / cm 2 , the variation in the substrate is 5% or less and the deposition rate is 1.30 nm / min, which is ideal.

LPCVD装置内で半導体膜が成膜され得る総面積Aに対応して原料ガス流量も変えねばならない。すなわち、調整すべきパラメーターは単位面積当たりの高次シラン流量Rなのである。実際235mm×235mmの基板を17枚20mm間隔でLPCVD装置内に設置し上述と全く同じ実験を施した。基板総面積は23.5cm×23.5cm×2×17=18777cm2で、成膜室内で半導体膜が成膜される部分の面積は25262cm2であるから、総面積A=44039cm2で、R=5.66×10-4sccm/cm2、1.13×10-3sccm/cm2、2.27×10-3sccm/cm2、4.53×10-3sccm/cm2に相当する高次シラン流量は其々25sccm、50sccm、100sccm、199sccmとなる。これらのジシラン流量で堆積速度と基板間の均一性を調べたところ、先と同一な現象が確認された。 The flow rate of the source gas must be changed in accordance with the total area A where the semiconductor film can be formed in the LPCVD apparatus. That is, the parameter to be adjusted is the higher order silane flow rate R per unit area. In fact, 17 substrates of 235 mm × 235 mm were placed in the LPCVD apparatus at intervals of 20 mm, and the same experiment as described above was performed. The total area of the substrate is 23.5 cm × 23.5 cm × 2 × 17 = 18777 cm 2 , and the area of the portion where the semiconductor film is formed in the film formation chamber is 25262 cm 2 , so the total area A = 44039 cm 2 and R = 5.66 × 10 −4 sccm / cm 2 , 1.13 × 10 −3 sccm / cm 2 , 2.27 × 10 −3 sccm / cm 2 , 4.53 × 10 −3 sccm / cm 2 The higher order silane flow rates are 25 sccm, 50 sccm, 100 sccm, and 199 sccm, respectively. When the deposition rate and the uniformity between the substrates were examined with these disilane flow rates, the same phenomenon as before was confirmed.

すなわち単位面積当たりの高次シラン量が堆積温度と圧力の他に物理系を一義的に定めるパラメーターなのである。430℃程度以下の堆積温度で100mtorr程度以上のジシラン分圧でシリコンを含有する半導体膜を堆積する際、上述の発明によると少なくともRは1.13×10-3sccm/cm2以上が求められる。例えば400mm×500mmの基板100枚を直径900mmの円筒状の成膜室に基板間隔15mmで設置して半導体膜を堆積する場合、基板総面積は400000cm2で、成膜室内面積は約56550cm2でA=45650cm2程度となる。従って最小限必要なジシラン流量はR=1.13×10-3sccm/cm2とAを掛けてQ=518sccmである。同様に560mm×720mmの基板100枚を25mm間隔で直径1200mm程度の成膜室に設置して半導体膜を堆積するときに必要な最低ジシラン流量Qは A〜919500cm2 R≧1.13×10-3sccm/cm2より約1050sccm程度である。 That is, the amount of higher-order silane per unit area is a parameter that uniquely determines the physical system in addition to the deposition temperature and pressure. When depositing a semiconductor film containing silicon at a deposition temperature of about 430 ° C. or less and a disilane partial pressure of about 100 mtorr or more, at least R is required to be 1.13 × 10 −3 sccm / cm 2 or more according to the above-described invention. . For example, when a semiconductor film is deposited by placing 100 substrates of 400 mm × 500 mm in a cylindrical film formation chamber having a diameter of 900 mm with a substrate interval of 15 mm, the total substrate area is 400,000 cm 2 and the film formation chamber area is about 56550 cm 2 . A = about 45650 cm 2 . Therefore, the minimum required disilane flow rate is R = 1.13 × 10 −3 sccm / cm 2 multiplied by A and Q = 518 sccm. Similarly, the minimum disilane flow rate Q required for depositing a semiconductor film by placing 100 substrates of 560 mm × 720 mm in a film formation chamber having a diameter of about 1200 mm at intervals of 25 mm is A to 919500 cm 2 R ≧ 1.13 × 10 −. From about 3 sccm / cm 2 to about 1050 sccm.

(2−5、poly−Si TFTのチャンネル膜厚とトランジスタ特性)
ここでpoly−Si TFT型薄膜半導体装置のチャンネル膜厚を構成する能動層半導体膜厚とトランジスタ特性の関連を述べる。一般に薄膜半導体装置ではチャンネルとなる半導体膜の最適膜厚はその形成方法に強く依存する。これは半導体膜の膜質がその膜厚に応じて大きく変化するからである。例えばSOS(Silicon On Sapphire)やSOI(Silicon On Insulator)のように原則として半導体膜質がその膜厚に依存しない系であれば、半導体膜は薄い程トランジスタの特性は良くなる。(ここでこの原理を動作理論に基づく薄膜効果と呼ぶ。)これは薄い半導体膜では空乏層が逸速く全半導体膜厚に広がり、半導体膜表面に反転層がすぐに形成されるが故である(閾値電圧Vthが小さくなる)。
(2-5, channel thickness and transistor characteristics of poly-Si TFT)
Here, the relationship between the film thickness of the active layer semiconductor constituting the channel film thickness of the poly-Si TFT type thin film semiconductor device and the transistor characteristics will be described. In general, in a thin film semiconductor device, the optimum film thickness of a semiconductor film to be a channel strongly depends on the formation method. This is because the film quality of the semiconductor film varies greatly depending on the film thickness. For example, if the semiconductor film quality does not depend on the film thickness in principle, such as SOS (Silicon On Sapphire) or SOI (Silicon On Insulator), the transistor characteristics are improved as the semiconductor film is thinner. (Here, this principle is called the thin film effect based on the theory of operation.) This is because in a thin semiconductor film, the depletion layer spreads over the entire semiconductor film thickness and an inversion layer is immediately formed on the semiconductor film surface. (Threshold voltage Vth decreases).

一方多結晶膜をチャネルに用いる薄膜半導体装置では半導体膜質が膜厚によって大きく異なるため、上述の機構はより複雑となる。通常は多結晶膜の膜質は膜が薄くなるほど悪化する。具体的には薄膜と厚膜を比較すると薄膜の方がそれを構成する結晶粒(グレイン)のサイズが小さくなり、また、同時に結晶内の欠陥や結晶粒界のトラップ数も増大する。結晶粒のサイズが小さくなると、それを用いた薄膜半導体装置の移動度は小さくなる。さらに結晶内欠陥や結晶粒界のトラップ数の増大は空乏層の広がりを遅くし、実質的に閾値電圧Vthを大きくしてしまう。(ここでこの原理を薄膜劣化と呼ぶ。)
結局先の動作理論に基づく薄膜効果は薄膜劣化と競争過程にある訳である。薄膜化しても差程大きく膜質が変化しなければ(薄膜劣化が小さければ)、動作理論に基づく薄膜効果が効いてトランジスタ特性は薄膜程良くなる。逆に薄膜化で著しく膜質が悪化すれば(薄膜劣化が大きければ)、動作理論に基づく薄膜効果はキャンセルされ、薄膜化に伴い特性は悪化する。すなわち膜質の膜厚依存性の大小により薄膜化した際のトランジスタ特性は良くもなり悪くもなる訳である。この膜質の膜厚依存性はその膜の形成方法によって異なるし、また、その膜厚によっても異なる。従って半導体膜の最適膜厚は薄膜半導体装置の製造方法により全く異り、其々の製造方法に応じてその最適値が求められねばならない。
On the other hand, in a thin film semiconductor device using a polycrystalline film as a channel, the above-described mechanism becomes more complicated because the semiconductor film quality greatly varies depending on the film thickness. Usually, the film quality of a polycrystalline film deteriorates as the film becomes thinner. Specifically, when comparing a thin film with a thick film, the size of the crystal grains (grains) constituting the thin film becomes smaller, and at the same time, the number of defects in the crystal and the number of traps at the crystal grain boundary also increase. When the crystal grain size is reduced, the mobility of a thin film semiconductor device using the crystal grain is reduced. Furthermore, an increase in the number of defects in the crystal and the number of traps at the grain boundary slows the spread of the depletion layer and substantially increases the threshold voltage Vth. (Here, this principle is called thin film degradation.)
After all, the thin film effect based on the previous behavioral theory is in the process of thin film degradation and competition. If the film quality does not change so much even if the film is thinned (if the deterioration of the thin film is small), the thin film effect based on the operation theory is effective and the transistor characteristics are improved. On the contrary, if the film quality is significantly deteriorated by thinning (if the thin film is greatly deteriorated), the thin film effect based on the operation theory is canceled, and the characteristics are deteriorated as the film is thinned. That is, the transistor characteristics when the film is thinned are both good and bad due to the film thickness dependence of the film quality. The film thickness dependence of the film quality varies depending on the film forming method and also varies depending on the film thickness. Therefore, the optimum film thickness of the semiconductor film is completely different depending on the manufacturing method of the thin film semiconductor device, and the optimum value must be obtained according to each manufacturing method.

(2−6,LPCVD−結晶化膜の最適膜厚)
ここでは上述した本願発明の低温プロセス薄膜半導体装置のうち、半導体膜が堆積温度450℃未満、理想的には430℃程度以下のLPCVD法にて成膜された後に結晶化されて作成されたpoly−Si TFTの最適半導体膜厚に就いて説明する。LPCVD法で450℃未満、或いは430℃以下で膜が膜としてつながるのは膜厚が10nm程度以上となったときである。膜がつながっておらず島状に浮いていると熔融結晶化にしろ、固相成長法にしろ、結晶化後も矢張り膜がつながらないので、半導体のオン特性は非常に悪い。いわば薄膜劣化が動作理論に基付く薄膜効果に対して圧倒的に勝っている。従ってLPCVD−結晶化膜の最低膜厚は10nm程度である。膜厚が20nm程度以上になると熔融結晶化膜のトランジスタ特性が良くなり始める。
(2-6, LPCVD-optimum film thickness of crystallized film)
Here, in the above-described low-temperature process thin film semiconductor device of the present invention, the semiconductor film is formed by crystallization after being deposited by LPCVD at a deposition temperature of less than 450 ° C., ideally about 430 ° C. or less. The optimum semiconductor film thickness of the Si TFT will be described. In the LPCVD method, the film is connected as a film at a temperature lower than 450 ° C. or lower than 430 ° C. when the film thickness is about 10 nm or more. If the film is not connected and floats in the form of an island, the on-characteristics of the semiconductor are very poor because the arrow-carrying film does not connect even after crystallization, whether by melt crystallization or solid phase growth. In other words, thin film degradation is overwhelmingly superior to the thin film effect based on the theory of operation. Therefore, the minimum film thickness of the LPCVD-crystallized film is about 10 nm. When the film thickness is about 20 nm or more, the transistor characteristics of the melt crystallized film begin to improve.

半導体膜を熔融結晶化する際には、冷却固化過程で一つの核を中心に結晶化し、核周辺の半導体原子が核に寄せ集められる。そのため20nm程度よりも薄いと仮令LPCVD法で堆積した直後には膜としてつながっていても熔融結晶化後は至るところに空隙が発生し、依然トランジスタ特性は優れぬ訳である。すなわち、LPCVD−熔融結晶化膜に於いては20nm以下は薄膜劣化が優性で20nm程度以上となって漸く薄膜劣化は小さくなり、動作理論に基付く薄膜効果が薄膜劣化に対して拮抗してくるのである。これが続くのは膜厚が20nm程度から80nm程度の間であり、この間の膜厚でトランジスタ特性は最良となる。   When a semiconductor film is melt-crystallized, it is crystallized around one nucleus in the cooling and solidification process, and semiconductor atoms around the nucleus are gathered together. Therefore, if it is thinner than about 20 nm, even if it is connected as a film immediately after deposition by the provisional LPCVD method, voids are generated everywhere after melt crystallization, and the transistor characteristics are still not excellent. That is, in the LPCVD-melt crystallized film, the thin film deterioration is dominant when it is 20 nm or less, and the thin film deterioration is gradually reduced, and the thin film effect based on the theory of operation antagonizes the thin film deterioration. It is. This continues until the film thickness is between about 20 nm and about 80 nm, and the transistor characteristics are best at this film thickness.

膜厚が80nmよりも厚いと動作理論に基付く薄膜効果が勝り、トランジスタ特性は膜厚の増大に伴い徐々に悪化して行く。半導体膜厚が30nm以上あれば安定的な生産が可能となる。とりわけ高精細・微細加工が進み、層間絶縁膜やゲート絶縁膜に開孔するコンタクトホールを反応性イオンエッチング(RIE)で行うようになると、30nm程度以上の半導体膜は半導体膜と配線との接触不良(コンタクト不良)を著しく減少せしめることとなる。通常ゲート絶縁膜と層間絶縁膜の膜厚の和は600nm程度であり、基板内のこれらの膜厚のばらつきが±10%の計20%とすると最も薄い絶縁膜と最も厚い絶縁膜の差は120nm程度である。RIEの半導体膜に対する選択比はおよそ1:10程度であるから、最も厚い絶縁膜にコンタクト・ホールが開孔したときに最も薄い絶縁膜の下に位置する半導体膜は10〜15nm程度削られている。   When the film thickness is greater than 80 nm, the thin film effect based on the operation theory is superior, and the transistor characteristics gradually deteriorate as the film thickness increases. If the semiconductor film thickness is 30 nm or more, stable production becomes possible. In particular, when high-definition / microfabrication progresses and contact holes opened in interlayer insulating films and gate insulating films are formed by reactive ion etching (RIE), a semiconductor film of about 30 nm or more is in contact between the semiconductor film and the wiring. Defects (contact defects) are significantly reduced. Normally, the sum of the film thickness of the gate insulating film and the interlayer insulating film is about 600 nm, and when the variation in the film thickness within the substrate is ± 10%, which is 20% in total, the difference between the thinnest insulating film and the thickest insulating film is It is about 120 nm. Since the selection ratio of the RIE to the semiconductor film is about 1:10, when the contact hole is opened in the thickest insulating film, the semiconductor film located under the thinnest insulating film is cut by about 10 to 15 nm. Yes.

半導体膜厚が30nm程度以上あれば、このように仮令15nm程度がコンタクト・ホール開孔時に失われても、接触抵抗は十分に低くなり、コンタクト不良は生じないからである。半導体膜厚が70nm程度以下であればレーザー照射等の熔融結晶化時に膜全体が均一に加熱され綺麗に結晶化が生ずる。膜が140nm程度以上と厚いとレーザー光を上から照射した時膜の上層部しか熔融せず、下層部には非晶質部分が残るため、動作理論に基付く薄膜効果と合わせてトランジスタ特性は激しく低下してしまう。すなわちLPCVD−結晶化法の上限膜厚は140nm程度である。   This is because, if the semiconductor film thickness is about 30 nm or more, even if about 15 nm of provisional loss is lost at the time of opening a contact hole, the contact resistance is sufficiently low and no contact failure occurs. If the semiconductor film thickness is about 70 nm or less, the entire film is heated uniformly during melt crystallization, such as laser irradiation, and crystallization occurs clearly. If the film is thicker than about 140 nm, only the upper part of the film melts when irradiated with laser light from above, and the amorphous part remains in the lower part, so the transistor characteristics are combined with the thin film effect based on the theory of operation. It will fall violently. That is, the upper limit film thickness of the LPCVD-crystallization method is about 140 nm.

(2−7、本発明によるPECVD法での半導体膜堆積)
本発明による薄膜半導体装置の半導体膜をPECVD法にて形成する方法を説明する。ここで使用したPECVD装置は容量結合型でプラズマは工業用rf波(13.56MHz)を用いて二枚の平行平板電極間に発生させる。二枚の平行平板電極のうちの下部平行平板電極は接地電位にあり、この電極上に半導体膜を堆積すべき基板が置かれる。上部平行平板電極にはrf波が供給される。また、上部平行平板電極には多数のガス導入口が開いており、この電極面より原料気体が一様な層流となって成膜室内へと供給される。成膜時の圧力は0.1torr程度から5torr程度で平行平板電極間距離は10mm程度から50mm程度の間で可変である。
(2-7, Semiconductor Film Deposition by PECVD Method According to the Present Invention)
A method for forming a semiconductor film of a thin film semiconductor device according to the present invention by PECVD will be described. The PECVD apparatus used here is a capacitive coupling type, and plasma is generated between two parallel plate electrodes using industrial rf waves (13.56 MHz). Of the two parallel plate electrodes, the lower parallel plate electrode is at ground potential, and a substrate on which a semiconductor film is to be deposited is placed on this electrode. An rf wave is supplied to the upper parallel plate electrode. In addition, a large number of gas inlets are opened in the upper parallel plate electrode, and the source gas is supplied into the film forming chamber as a uniform laminar flow from the electrode surface. The pressure during film formation is about 0.1 to 5 torr, and the distance between parallel plate electrodes is variable between about 10 mm and about 50 mm.

基板表面の少なくとも一部に酸化硅素膜等の絶縁性物質である下地保護膜を設けた後に、この下地保護膜上に半導体膜を形成し、最終的にはこの半導体膜をトランジスタの能動層としている薄膜半導体装置を製造する。PECVD法で半導体膜を堆積する場合、PECVD装置の成膜室に基板を設置した後、まず下地保護膜に酸素プラズマを照射する。酸素プラズマは電極間距離15mm程度から35mm程度で、圧力1.0torr程度から2.0torr程度、rf電力密度0.05w/cm2程度から1w/cm2程度で立てる。基板温度は半導体堆積時と同じ250℃程度から350℃程度とし、酸素プラズマ照射時間は10秒程度から1分程度である。酸素プラズマ照射後、一度プラズマを消し成膜室の真空引きを10秒から30秒間程度行う。真空引きを15秒程度以上行うと成膜室内の真空度は1mtorr程度以下となる。 After providing a base protective film that is an insulating material such as a silicon oxide film on at least a part of the substrate surface, a semiconductor film is formed on the base protective film, and finally this semiconductor film is used as an active layer of a transistor. Manufacturing thin film semiconductor devices. In the case of depositing a semiconductor film by the PECVD method, after the substrate is placed in the film formation chamber of the PECVD apparatus, first, the base protective film is irradiated with oxygen plasma. Oxygen plasma at 35mm about the inter-electrode distance 15mm about about 2.0torr from a pressure of about 1.0 torr, stand at 1 w / cm 2 order of rf power density 0.05 w / cm 2 approximately. The substrate temperature is about 250 ° C. to 350 ° C., which is the same as that for semiconductor deposition, and the oxygen plasma irradiation time is about 10 seconds to about 1 minute. After the oxygen plasma irradiation, the plasma is once extinguished and the film formation chamber is evacuated for about 10 to 30 seconds. When evacuation is performed for about 15 seconds or more, the degree of vacuum in the film formation chamber becomes about 1 mtorr or less.

これは次工程の半導体膜堆積時に半導体膜中に酸素を混入させぬために行われる。真空引きを行った後にシランや水素などの半導体膜堆積に用いられる原料気体をプラズマを立てることなく10秒から2分間程度流し続ける。この時成膜室の圧力や原料気体流量等の条件は半導体膜堆積時と同じとする。これにより成膜室内は酸素から原料気体へと完全に置換されるので半導体膜中への酸素混入は最小限に止められる。   This is performed so that oxygen is not mixed in the semiconductor film during the semiconductor film deposition in the next step. After evacuation, a source gas used for semiconductor film deposition such as silane or hydrogen is continuously supplied for 10 seconds to 2 minutes without generating plasma. At this time, the conditions such as the pressure in the film forming chamber and the flow rate of the source gas are the same as those in the semiconductor film deposition. As a result, the inside of the film formation chamber is completely replaced with oxygen by the source gas, so that oxygen contamination into the semiconductor film can be minimized.

さらにこの時間を30秒程度以上とすれば基板温度は一定値に定まり、常に同一条件で半導体を堆積し得るのである。本願発明の薄膜半導体装置では下地保護膜の最上層は核の発生速度を遅くした酸化硅素膜等からなる。この酸化硅素膜はCVD法やPVD法で形成されるため、必ずSiの未反応対が存在する。それ故この下地保護膜上に何の前処理も行わず半導体膜を形成すると、未反応対が下地保護膜中の固定電荷と化す。前述の如く半導体膜が数百nm程度以下と薄いとき、これら固定電荷は閾値電圧(Vth)をずらす等の悪影響を薄膜半導体装置に及ぼす。下地保護膜表面に酸素プラズマ照射をすることにより未反応対は酸素原子と結合し、下地保護膜内の固定電荷は激減する。   Further, if this time is set to about 30 seconds or more, the substrate temperature is set to a constant value, and the semiconductor can always be deposited under the same conditions. In the thin film semiconductor device of the present invention, the uppermost layer of the base protective film is made of a silicon oxide film or the like with a slow nucleus generation rate. Since this silicon oxide film is formed by a CVD method or a PVD method, an unreacted pair of Si always exists. Therefore, when a semiconductor film is formed on the base protective film without performing any pretreatment, the unreacted pair becomes a fixed charge in the base protective film. As described above, when the semiconductor film is as thin as about several hundreds of nanometers or less, these fixed charges adversely affect the thin film semiconductor device such as shifting the threshold voltage (Vth). By irradiating the surface of the base protective film with oxygen plasma, the unreacted pair is combined with oxygen atoms, and the fixed charge in the base protective film is drastically reduced.

すなわち半導体特性を改善すべく半導体膜を十分薄くしても、下地保護膜に起因するVth変動などの特性不安定性を解消できるのである。さらに酸素プラズマは下地保護膜表面を酸化反応(燃焼)にて清浄化し、半導体膜堆積の初期段階に於ける核発生速度を一段と抑制する。これにより半導体膜の純度を高めると共に、堆積膜を構成する領域が大きくなり、結晶化された半導体膜を構成する結晶粒も大きくなる。このことは薄膜半導体装置の特性上ではオフ電流が下ることやVthが小さくなること、サブスレシュホールド・スウィングが急峻になりスイッチング特性が良くなること、移動度が大きくなること等として出現する。   That is, even if the semiconductor film is sufficiently thin to improve the semiconductor characteristics, characteristic instability such as Vth fluctuation caused by the base protective film can be eliminated. Furthermore, the oxygen plasma cleans the surface of the underlying protective film by an oxidation reaction (combustion), and further suppresses the nucleation rate in the initial stage of semiconductor film deposition. As a result, the purity of the semiconductor film is increased, the region constituting the deposited film is increased, and the crystal grains constituting the crystallized semiconductor film are also increased. This manifests itself as a decrease in off-state current, a decrease in Vth, a sharp subthreshold / swing, an improvement in switching characteristics, and an increase in mobility in the characteristics of the thin film semiconductor device.

下地保護膜表面を改善するには酸素プラズマ照射の他に水素プラズマ照射も効果的である。すなわち半導体膜を堆積すべき基板をPECVD装置内に設置した後、まず基板上の下地保護膜に水素プラズマを照射し、真空を破ることなく連続してこの下地保護膜上に半導体膜を成膜するのである。半導体膜堆積条件が水素3000sccmにモノシラン100sccmというように多量の水素が使用されており、しかも水素のシランに対する比が10倍以上もあるようなときには、水素プラズマ処理から半導体膜形成へとプラズマを切ることなく連続処理を行うことも可能である。   In addition to oxygen plasma irradiation, hydrogen plasma irradiation is also effective in improving the surface of the underlying protective film. That is, after the substrate on which the semiconductor film is to be deposited is placed in the PECVD apparatus, first, the hydrogen protective plasma on the substrate is irradiated with hydrogen plasma, and the semiconductor film is continuously formed on the base protective film without breaking the vacuum. To do. When the semiconductor film deposition conditions are such that hydrogen is 3000 sccm and monosilane 100 sccm, such as a large amount of hydrogen, and the ratio of hydrogen to silane is more than 10 times, the plasma is cut from hydrogen plasma treatment to semiconductor film formation. It is also possible to perform continuous processing without any problem.

半導体膜堆積条件がアルゴン7000sccmにモノシラン100sccmと言ったように水素プラズマ条件と異なるときには水素プラズマ処理を行った後に一度プラズマを消し、プラズマを立てないことを除いてその他のプロセス・パラメーターは総て半導体膜堆積条件と同じにして堆積前の安定期間を設けるのが好ましい。こうすれば基板温度は半導体膜堆積時に常に一定となるからである。水素プラズマ処理時間はおよそ10秒程度から1分間程度であり、半導体膜堆積前の安定期間は10秒程度から2分間程度である。   When the semiconductor film deposition conditions are different from the hydrogen plasma conditions such as argon 7000 sccm and monosilane 100 sccm, all the other process parameters are semiconductor except that the plasma is extinguished once and plasma is not generated after the hydrogen plasma treatment. It is preferable to provide a stable period before deposition in the same manner as the film deposition conditions. This is because the substrate temperature is always constant when the semiconductor film is deposited. The hydrogen plasma treatment time is about 10 seconds to about 1 minute, and the stable period before the semiconductor film deposition is about 10 seconds to about 2 minutes.

下地保護膜中の未反応対はSi−*のように酸素で終端される物とSi−O−*のように酸素で終端し得ない物とがある。水素プラズマ照射はこれらの未反応対をもSi−H、Si−OHとの形態で終端し得るので下地保護膜中の固定電荷を減らすのに非常な効果が認められる。また、水素プラズマ処理は下地保護膜表面をエッチングして清浄化する効果をも有するので半導体膜の純度を高めることにもなる。さらにこの清浄化により下地保護膜と半導体膜の密着性が著しく改善される。PECVD法で半導体膜を形成するとその堆積条件に応じて半導体膜にクレーター状の穴が発生したり、膜の剥がれが生ずることがあるが、水素プラズマ処理でこれらの発生を回避し得るのである。   There are unreacted pairs in the undercoat protective film that are terminated with oxygen, such as Si- *, and those that cannot be terminated with oxygen, such as Si-O- *. Since the hydrogen plasma irradiation can terminate these unreacted pairs in the form of Si—H and Si—OH, it is very effective to reduce the fixed charge in the base protective film. In addition, since the hydrogen plasma treatment has an effect of cleaning the surface of the base protective film to be cleaned, the purity of the semiconductor film is also increased. Furthermore, this cleaning significantly improves the adhesion between the base protective film and the semiconductor film. When a semiconductor film is formed by PECVD, crater-like holes may be generated in the semiconductor film or the film may be peeled off depending on the deposition conditions. However, these generations can be avoided by hydrogen plasma treatment.

半導体膜を堆積する際に酸素プラズマ処理と水素プラズマ処理の両者を施せば尚更好ましい。すなわち表面が酸化硅素膜である下地保護膜にまず酸素プラズマを照射する。最初に酸化反応によって下地保護膜中の未反応対が終端され、同時に表面は熱焼にて清浄化され核の発生速度が抑制される。次に酸素プラズマを消し、真空引きを10秒から1分間程度行って成膜室内の酸素を取り除く。さらに真空を破ることなく連続して下地保護膜に水素プラズマを照射する。酸素プラズマでは終端できなかった末反応対の幾つかが水素により終端化され、下地保護膜中の固定電荷は最小となる。加えて一段と表面は清浄化され、同時に半導体膜と下地保護膜との密着性をも改善される訳である。水素プラズマ処理後、必要に応じて真空引きや基板加熱を行い、さらに真空を破ることなく連続して下地保護膜上に半導体膜を成膜する。   It is even more preferable to perform both oxygen plasma treatment and hydrogen plasma treatment when depositing the semiconductor film. That is, first, an oxygen plasma is irradiated to the base protective film whose surface is a silicon oxide film. First, an unreacted pair in the undercoat protective film is terminated by an oxidation reaction, and at the same time, the surface is cleaned by thermal baking to suppress the generation rate of nuclei. Next, the oxygen plasma is turned off, and vacuuming is performed for about 10 seconds to 1 minute to remove oxygen in the film formation chamber. Further, hydrogen plasma is irradiated to the base protective film continuously without breaking the vacuum. Some of the end reaction pairs that could not be terminated by oxygen plasma are terminated by hydrogen, and the fixed charge in the underlying protective film is minimized. In addition, the surface is further cleaned, and at the same time, the adhesion between the semiconductor film and the base protective film is also improved. After the hydrogen plasma treatment, evacuation or substrate heating is performed as necessary, and a semiconductor film is continuously formed on the base protective film without breaking the vacuum.

こうすると先の酸素プラズマ効果と水素プラズマ効果が共に得られるにのみならず、酸素プラズマと半導体膜成膜の間に水素プラズマが入るため半導体膜中への酸素混入量も明らかに減少し、より高純度で高品質の半導体膜が得られることとなる。(2−1)項で述べたように熔融結晶化された半導体膜は清浄な下地保護膜表面と下地保護膜−半導体界面の制御が特に重要であるから、半導体膜堆積前の下地保護膜表面処理は殊の外重要な意味を有するのである。   In this way, not only can both the oxygen plasma effect and the hydrogen plasma effect be obtained, but also hydrogen plasma enters between the oxygen plasma and the semiconductor film formation, so the amount of oxygen mixed into the semiconductor film is also clearly reduced. A high-purity and high-quality semiconductor film can be obtained. Since the semiconductor film melt-crystallized as described in the section (2-1) is particularly important to control the clean undercoat protective film surface and the undercoat protective film-semiconductor interface, the undercoat protective film surface before the semiconductor film is deposited. Processing has a particularly important meaning.

次にPECVD法で半導体膜形成後の処理方法について説明する。下地保護膜上に半導体膜が成膜された後、真空を破ることなく連続して半導体膜に水素プラズマを照射するのが好ましい。これによりシリコンなど半導体原子の未反応対が終端されるからである。これは特に半導体膜堆積時に水素量が少ない状態で成膜したときに効果的である。例えば半導体膜をモノシランをヘリウム、アルゴン等の不活性気体と混合して堆積するというように、水素量が成膜室に導入される気体のうちで50%未満となる系で特に有効である。   Next, a processing method after forming the semiconductor film by PECVD will be described. After the semiconductor film is formed on the base protective film, it is preferable to continuously irradiate the semiconductor film with hydrogen plasma without breaking the vacuum. This is because unreacted pairs of semiconductor atoms such as silicon are terminated. This is especially effective when the semiconductor film is deposited with a small amount of hydrogen. For example, the semiconductor film is particularly effective in a system in which the amount of hydrogen is less than 50% of the gas introduced into the film formation chamber, such as depositing a semiconductor film by mixing monosilane with an inert gas such as helium or argon.

こうした系で半導体膜を堆積すると膜中にはおびただしい量の未反応対が必ず出現する。これらの未反応対は化学的にきわめて活性であるから、大気中に存在する様々な不純物元素や物質と反応をしたり、或いは物理的に吸着する。こうした状態でレーザー照射等により結晶化を施すと半導体膜の純度が低下し、さらにまた、吸着物質が結晶成長の核となってしまうことにより結晶粒が小さくなる訳である。斯様な不都合点は水素プラズマ処理により容易に除去され得るのである。すなわち高純度で高品質な半導体膜はそれ自体では大気で汚染される不安定な物なのであるが、成膜後の水素プラズマ照射により高純度で高品質な膜が安定化されるのである。   When a semiconductor film is deposited in such a system, a tremendous amount of unreacted pairs always appear in the film. Since these unreacted pairs are chemically very active, they react with various impurity elements and substances existing in the atmosphere or physically adsorb. When crystallization is performed by laser irradiation or the like in such a state, the purity of the semiconductor film is lowered, and furthermore, the adsorbed substance becomes a nucleus of crystal growth, so that the crystal grains are reduced. Such disadvantages can be easily removed by hydrogen plasma treatment. That is, a high-purity and high-quality semiconductor film itself is an unstable substance that is polluted by the atmosphere, but a high-purity and high-quality film is stabilized by hydrogen plasma irradiation after film formation.

同様の効果は下地保護膜上に半導体膜を成膜した後、真空を破ることなく連続して半導体膜に酸素プラズマを照射することでも達成される。半導体膜がシリコン、或いはシリコンが主体であるとき酸素プラズマは半導体膜表面に酸化硅素膜を形成する。この酸化膜は非常に安定であり、半導体表面に比べて化学・物理的な不純物吸着や半導体膜への不純物拡散を防ぐ能力にもきわめて優れている。すなわち半導体膜を外部からの汚染から守るのに最適なのである。しかも大気中の酸素と異なり高純度に品質調整された酸素のプラズマで酸化するため、酸化膜自体の純度も高い。後に結晶化させられる際、酸化膜は取り除かれるのが好ましいが、仮令取り除かれなくとも酸化膜からの半導体膜への不純物混入は殆ど問題とならない。   A similar effect can also be achieved by depositing a semiconductor film on the base protective film and then continuously irradiating the semiconductor film with oxygen plasma without breaking the vacuum. When the semiconductor film is silicon or mainly composed of silicon, oxygen plasma forms a silicon oxide film on the surface of the semiconductor film. This oxide film is very stable and has an excellent ability to prevent chemical / physical impurity adsorption and impurity diffusion into the semiconductor film as compared to the semiconductor surface. That is, it is optimal for protecting the semiconductor film from external contamination. Moreover, unlike oxygen in the atmosphere, the oxide film itself is high in purity because it is oxidized by oxygen plasma whose quality is adjusted to high purity. When the crystallization is performed later, it is preferable that the oxide film is removed. However, even if the oxide film is not removed, the contamination of impurities from the oxide film into the semiconductor film hardly poses a problem.

理想的には半導体膜をPECVD装置で堆積後、真空を破ることなく連続して水素プラズマを照射し、水素化によって終端される未反応対をまず不活性化する。その後さらに真空を破ることなく連続して半導体膜に酸素プラズマを照射し、水素によって終端化され得なかった未反応対を酸素で終端化すると共に、半導体膜表面に半導体膜を外部汚染から守る高純度の酸化硅素膜を形成するのが望まれる。この処理方法だと水素プラズマ効果と酸素プラズマ効果の両者が得られるにのみならず、未反応対の終端効果が上がり、さらに半導体膜中に取り込まれる酸素量をも低減し得るのである。その結果、酸素プラズマ単独の処理よりも結晶化した後の半導体膜純度は高くなり、より良好な薄膜半導体装置が形成されるからである。   Ideally, after depositing a semiconductor film with a PECVD apparatus, hydrogen plasma is continuously irradiated without breaking the vacuum, and unreacted pairs terminated by hydrogenation are first inactivated. After that, oxygen plasma is continuously irradiated to the semiconductor film without breaking the vacuum, and unreacted pairs that could not be terminated with hydrogen are terminated with oxygen, and the semiconductor film is protected from external contamination on the surface of the semiconductor film. It is desirable to form a pure silicon oxide film. With this treatment method, not only can both the hydrogen plasma effect and the oxygen plasma effect be obtained, but also the termination effect of unreacted pairs can be increased, and the amount of oxygen taken into the semiconductor film can also be reduced. As a result, the purity of the semiconductor film after crystallization becomes higher than the treatment of oxygen plasma alone, and a better thin film semiconductor device is formed.

さて酸素プラズマ照射の段階で述べたようにLPCVD法、PECVD法に拘らず半導体膜が高純度となるように細心の注意を払っても、半導体膜表面には酸化膜が存在するから、結晶化時にこれらの酸素が半導体膜中に取り込まれれば結晶化膜の品質は低下してしまう。この事情はとりわけレーザー照射のような熔融結晶化では深刻となる。本願発明のように下地保護膜の表面調整やLPCVD法、PECVD法で高品質半導体膜を形成するように心掛けて来た半導体膜はその結晶化に際しても同様な注意が払われなければならない。すなわち、薄膜半導体装置の能動層を成す半導体膜がレーザー照射等の熔融結晶化にて形成される場合、熔融結晶化直前に半導体膜表面の酸化膜を除去することが好ましい。こうすることで半導体膜熔融時に酸化膜を構成する酸素の半導体膜中に取り込まれる量を最少とすることができるのである。半導体膜中に取り込まれる酸素量が減ると結晶化膜の結晶性が高まるにのみならず、欠陥密度も減り、トランジスタ特性は著しく改善されるのである。   As described in the stage of oxygen plasma irradiation, the oxide film is present on the surface of the semiconductor film, even if careful attention is paid so that the semiconductor film has high purity regardless of the LPCVD method or PECVD method. Sometimes, if these oxygens are taken into the semiconductor film, the quality of the crystallized film will deteriorate. This situation is particularly serious in melt crystallization such as laser irradiation. Similar attention must be paid to crystallization of a semiconductor film which has been devised to form a high-quality semiconductor film by surface adjustment of the underlying protective film, LPCVD method or PECVD method as in the present invention. That is, when the semiconductor film constituting the active layer of the thin film semiconductor device is formed by melt crystallization such as laser irradiation, it is preferable to remove the oxide film on the surface of the semiconductor film immediately before the melt crystallization. By doing so, the amount of oxygen taken into the semiconductor film constituting the oxide film during melting of the semiconductor film can be minimized. When the amount of oxygen taken into the semiconductor film is reduced, not only the crystallinity of the crystallized film is increased, but also the defect density is reduced and the transistor characteristics are remarkably improved.

結晶化工程直前の酸化膜除去を最も容易に行い得る処理方法は弗化水素酸水溶液を利用する物である。無論NF3プラズマを利用するなどの気相プラズマ処理で酸化膜を除去してもよい。酸化膜を除去した後は直ちに半導体膜の結晶化を行うのが好ましい。除去工程終了後2時間程度以内に半導体膜の熔融結晶化が施されれば、半導体膜中への酸素取り込み量も極僅かとなる。 The treatment method that can most easily remove the oxide film immediately before the crystallization step is a method using a hydrofluoric acid aqueous solution. Of course, the oxide film may be removed by vapor phase plasma processing such as using NF 3 plasma. It is preferable to crystallize the semiconductor film immediately after removing the oxide film. If the semiconductor film is melted and crystallized within about 2 hours after the completion of the removal step, the amount of oxygen taken into the semiconductor film becomes extremely small.

(2−8、混晶質半導体膜の熔融結晶化)
本願発明の薄膜半導体装置は上ゲート構造のpoly−Si TFTに対して最も有効であり、この薄膜半導体装置はゲート絶縁膜形成以後の総ての工程を350℃程度以下の温度にて製造される。従って半導体膜形成工程を350℃程度以下の温度で行うことができれば全製造工程が350℃程度以下となる。現在LCD用の汎用ガラス基板の厚みは1.1mmであるが、これが0.7mmとなればガラス基板が安価と化すに留まらず、基板の重量も小さくなるためLCDを携帯するにも製造するにも多大なる便益性が生ずる。
(2-8, melt crystallization of mixed crystal semiconductor film)
The thin film semiconductor device of the present invention is most effective for poly-Si TFTs having an upper gate structure, and this thin film semiconductor device is manufactured at a temperature of about 350 ° C. or lower in all steps after the formation of the gate insulating film. . Therefore, if the semiconductor film forming step can be performed at a temperature of about 350 ° C. or lower, the entire manufacturing process becomes about 350 ° C. or lower. At present, the thickness of a general-purpose glass substrate for LCD is 1.1 mm, but if this is 0.7 mm, the glass substrate will not only become cheap, but the weight of the substrate will also be reduced, so that it can be manufactured to carry an LCD. Also has great benefits.

ガラスの比重は2.5g/cm3程度なので、例えば400mm×500mm×1.1mmのガラス基板一枚の重量は約550gである。このガラス基板を1バッチ100枚で処理しようとすると、その重量は55kgにもなってしまい、製造装置や搬送ロボットに対する大きな負荷となってしまう。いうまでもなくこれが0.7mmと成れば重量も35kgと軽減されて負荷もかなり小さくなる訳である。それ故ガラス基板の薄膜化が求められるのだが、斯様な大型薄膜板ガラスは室温に於いてさえ図4に示した自重による反りが大きく、LPCVD法では如何なる手法を用いても半導体膜を形成し得ない。すなわち斯した大型薄板ガラスを使用するには半導体膜は350℃程度以下のPECVD法で形成されねばならない。ところが一般にPECVD法で成膜された非晶質半導体膜は膜の密度が低いことと水素含有量が大きいことが原因となって、450℃程度の熱処理を施してからでないと結晶化し得ないのである。 Since the specific gravity of glass is about 2.5 g / cm 3 , for example, the weight of one glass substrate of 400 mm × 500 mm × 1.1 mm is about 550 g. If it is going to process this glass substrate by 100 batches, the weight will be as much as 55 kg and will become a big load with respect to a manufacturing apparatus or a conveyance robot. Needless to say, if this is 0.7 mm, the weight is reduced to 35 kg and the load is considerably reduced. Therefore, thinning of the glass substrate is required, but such a large thin plate glass is warped by its own weight shown in FIG. 4 even at room temperature, and the LPCVD method can form a semiconductor film by any method. I don't get. That is, in order to use such a large thin glass, the semiconductor film must be formed by PECVD at about 350 ° C. or less. However, in general, an amorphous semiconductor film formed by PECVD cannot be crystallized unless heat treatment at about 450 ° C. is performed due to the low density of the film and the high hydrogen content. is there.

そこで発明者がPECVD法による半導体膜を種々検討したところ、PECVD法にて堆積速度を0.1nm/s程度以上として混晶質半導体膜を成膜し、この混晶質半導体膜にレーザー照射を施すと前述の熱処理を施さずとも熔融結晶化が可能であることが判明した。この混晶質半導体膜はラマン分光測定などでは僅かに結晶構造の存在が認められるものの、多結晶とは言いがたい。また、密度も従来のPECVD法で成膜された非晶質シリコンと同程度に低く、水素原子もシリコン原子の20%弱程度含まれている。   Therefore, the inventor studied various semiconductor films by PECVD method. As a result, PECVD method formed a mixed crystal semiconductor film with a deposition rate of about 0.1 nm / s or more, and this mixed crystal semiconductor film was irradiated with laser. When applied, it has been found that melt crystallization is possible without the aforementioned heat treatment. Although this mixed crystal semiconductor film is slightly crystallized by Raman spectroscopy, it is difficult to say that it is polycrystalline. Further, the density is as low as that of amorphous silicon formed by the conventional PECVD method, and hydrogen atoms are included in less than 20% of silicon atoms.

このような膜が何故綺麗に熔融結晶化されるのかその詳細は分かっていないが、恐らく微結晶領域よりも非晶質領域の方が容易に熔融し、熔融シリコン液中に浮かぶ微結晶がシリコン熔液の蒸発や飛散を抑える役割を担っている物と考えられる。但し混晶質半導体膜でも堆積速度が0.1nm/s程度以下の物の熔融結晶化は矢張り困難である。これはLPCVD法で堆積速度の遅い膜が不純物を取り込み易く、膜品質が落ち込むことと同様、PECVD法でも成膜中の不純物混入が主要因となって結晶化を困難にしていると想定される。LPCVD装置の背景真空度が10-7torr代であったのに対して、PECVD装置の背景真空度が10-4torr代であることがPECVD法の方が高速膜堆積が求められる原因であろう。また、堆積速度が0.37nm/s以上となれば、半導体膜と下地保護膜の密着性が良くなり、クレーター状の穴の発生や膜剥がれは殆ど観測されなくなった。混晶質シリコン膜はPECVD法で水素とモノシランの流量比を30:1程度とすれば得られるし、或いは又アルゴン等の不活性気体とモノシラン等の半導体膜の構成元素を含有する化学物質の流量比を33:1程度未満(モノシラン濃度3%程度未満)としても得られる。 The details of why such a film is beautifully melted and crystallized are not known, but it is likely that the amorphous region melts more easily than the microcrystalline region, and the microcrystal floating in the molten silicon liquid is silicon. It is thought that it plays a role in suppressing evaporation and scattering of the melt. However, melt crystallization of a mixed crystal semiconductor film having a deposition rate of about 0.1 nm / s or less is difficult. This is because it is assumed that the slow deposition rate of the LPCVD method tends to take in impurities and the quality of the film deteriorates. Similarly, the PECVD method makes it difficult to crystallize mainly due to the impurity contamination during film formation. . The background vacuum degree of the LPCVD apparatus was in the 10 −7 torr range, whereas the background vacuum degree of the PECVD apparatus was in the 10 −4 torr range is the reason why the PECVD method requires higher film deposition. Let ’s go. When the deposition rate was 0.37 nm / s or higher, the adhesion between the semiconductor film and the base protective film was improved, and crater-like holes and film peeling were hardly observed. A mixed crystal silicon film can be obtained by PECVD with a flow ratio of hydrogen to monosilane of about 30: 1, or an inert gas such as argon and a chemical substance containing a constituent element of a semiconductor film such as monosilane. Even if the flow rate ratio is less than about 33: 1 (monosilane concentration is less than about 3%).

発明者の実験によると、水素−モノシラン系の混晶質も熱処理なしで熔融結晶化し得るが、熔融結晶化がうまく行くレーザーエネルギー範囲が数十mJ/cm2と限定されている。これに対してアルゴン−モノシラン系の混晶質シリコン膜はレーザーエネルギーが100mJ/cm2から350mJ/cm2への広いエネルギー領域に渡って綺麗に結晶化する。従ってアルゴン−モノシラン系混晶質シリコン膜の方が低温プロセスpoly−Si TFTの半導体膜としてより適しているのである。アルゴンとモノシランの流量比は124:1(モノシラン濃度0.8%)から40.67:1(モノシラン濃度2.4%)の間が熔融結晶化には最適である。 According to the inventor's experiment, the hydrogen-monosilane mixed crystal can be melt-crystallized without heat treatment, but the laser energy range in which the melt-crystallization is successful is limited to several tens of mJ / cm 2 . In contrast Argon - mixed-crystallinity silicon film monosilane laser energy is clean crystallize over a wide energy range from 100 mJ / cm 2 to 350 mJ / cm 2. Therefore, the argon-monosilane mixed crystal silicon film is more suitable as a semiconductor film for a low-temperature process poly-Si TFT. The flow ratio of argon to monosilane is optimal for melt crystallization between 124: 1 (monosilane concentration 0.8%) and 40.67: 1 (monosilane concentration 2.4%).

(2−9,PECVD−結晶化膜の最適膜厚)
ここでは上述した本願発明の低温プロセス薄膜半導体装置のうち、半導体膜が堆積温度350℃程度以下のPECVD法にて成膜された後に結晶化されて作成されたpoly−Si TFTの最適半導体膜厚に就いて説明する。PECVD法に於いてもLPCVD法と同様に膜が膜としてつながるのは膜厚が10nm程度以上となったときである。しかしながらPECVD法で得られる半導体膜の密度はLPCVD法で得られる膜密度の85%程度から95%程度である。それ故PECVD法による10nmの半導体膜を結晶化させると、その膜厚は結晶化後には9nm程度に減少する。従ってPECVD−結晶化膜の最低膜厚は9nm程度である。
(2-9, PECVD-optimum film thickness of crystallized film)
Here, among the above-described low-temperature process thin film semiconductor devices of the present invention, the optimum semiconductor film thickness of the poly-Si TFT formed by crystallizing the semiconductor film after being deposited by PECVD with a deposition temperature of about 350 ° C. or less. I will explain. In the PECVD method, the film is connected as a film as in the LPCVD method when the film thickness is about 10 nm or more. However, the density of the semiconductor film obtained by the PECVD method is about 85% to 95% of the film density obtained by the LPCVD method. Therefore, when a 10 nm semiconductor film is crystallized by PECVD, the film thickness decreases to about 9 nm after crystallization. Therefore, the minimum film thickness of the PECVD-crystallized film is about 9 nm.

以下LPCVD−結晶化膜と同様に、膜厚が18nm程度以上になると熔融結晶化膜のトランジスタ特性が良くなり始める。すなわち、PECVD−熔融結晶化膜に於いては18nm程度以下は薄膜劣化が優性で18nm程度以上から薄膜劣化は小さくなり、動作理論に基付く薄膜効果が拮抗してくるのである。これが続くのは膜厚が18nm程度以上から72nm程度の間であり、この間の膜厚でトランジスタ特性は最良となる。膜厚が72nmよりも厚いと動作理論に基付く薄膜効果が勝り、トランジスタ特性は膜厚の増大に伴い徐々に悪化して行く。   Thereafter, like the LPCVD-crystallized film, the transistor characteristics of the melt-crystallized film begin to improve when the film thickness is about 18 nm or more. That is, in PECVD-melt crystallized film, thin film deterioration is dominant at about 18 nm or less, and thin film deterioration is reduced from about 18 nm or more, and the thin film effect based on the theory of operation antagonizes. This continues for a film thickness of about 18 nm or more to about 72 nm, and the transistor characteristics are best at the film thickness during this period. When the film thickness is greater than 72 nm, the thin film effect based on the operation theory is superior, and the transistor characteristics gradually deteriorate as the film thickness increases.

半導体膜厚が30nm以上あれば、微細加工を要する高集積薄膜半導体装置の安定的な生産が可能となる。すなわちRIEでコンタクト不良を生じさせることなく安定的にコンタクト・ホールを開孔できるようになる。PECVD法で堆積された直後の半導体膜厚が80nm程度以下であれば、レーザー照射等の熔融結晶化時に膜全体が均一に加熱され綺麗に結晶化が進行する。結晶化後にこの膜は72nm程度となる。堆積直後の半導体膜が150nm程度以上と厚いとレーザー光を上から照射した時膜の上層部しか熔融せず、下層部には非晶質部分が残るため、動作理論に基付く薄膜効果と合わせてトランジスタ特性は激しく低下してしまう。すなわちPECVD−結晶化法の上限膜厚は結晶化後で135nm程度である。   If the semiconductor film thickness is 30 nm or more, a highly integrated thin film semiconductor device that requires fine processing can be stably produced. That is, the contact hole can be stably formed without causing contact failure by RIE. If the semiconductor film thickness immediately after deposition by the PECVD method is about 80 nm or less, the entire film is uniformly heated at the time of melt crystallization such as laser irradiation and the crystallization proceeds beautifully. After crystallization, this film becomes about 72 nm. If the semiconductor film immediately after deposition is thicker than about 150 nm, only the upper part of the film melts when irradiated with laser light from above, and the amorphous part remains in the lower part, so this is combined with the thin film effect based on the theory of operation. As a result, the transistor characteristics are severely degraded. That is, the upper limit film thickness of the PECVD-crystallization method is about 135 nm after crystallization.

(2−10、MOS界面とゲート絶縁膜、及び熱環境)
本願発明では半導体膜の結晶化が終了した後にCVD法やPVD法などでゲート絶縁膜を形成する。ゲート絶縁膜は如何なる手段で形成されようとも、絶縁膜形成温度は350℃程度以下が好ましい。これはMOS界面やゲート絶縁膜の熱劣化を防ぐために重要である。同じことはこれ以後の総ての工程に対しても適用される。ゲート絶縁膜形成後の総ての工程温度は350℃程度以下に押さえられねばならない。一般にCVD法やPVD法で形成された絶縁膜はその膜内に多量の未反応対を持ち、その構造も不安定である。本願発明ではこうした未反応対を酸素プラズマ照射で終端化している。
(2-10, MOS interface and gate insulating film, and thermal environment)
In the present invention, after the crystallization of the semiconductor film is completed, a gate insulating film is formed by a CVD method, a PVD method, or the like. Regardless of the means used to form the gate insulating film, the insulating film forming temperature is preferably about 350 ° C. or lower. This is important for preventing thermal deterioration of the MOS interface and the gate insulating film. The same applies to all subsequent steps. All process temperatures after the formation of the gate insulating film must be kept below about 350 ° C. In general, an insulating film formed by a CVD method or a PVD method has a large amount of unreacted pairs in the film, and its structure is also unstable. In the present invention, such unreacted pairs are terminated by oxygen plasma irradiation.

また、CVD法による酸化硅素膜はその膜中にSi−OH基を有している。このような水酸基や酸素プラズマで終端化された反応対は熱に対して不安定で、350℃程度以上の熱環境で簡単に解離してしまう。すなわちMOS界面やゲート絶縁膜中に再びSi−O−*やSi−*などの未反応対が発生し、これが界面準位や絶縁膜中の固定電荷となってトランジスタ特性を劣化させるのである。従来はこれを回復させるために一時間程度にも及ぶ水素プラズマ処理を施していた。然るに本願では半導体膜形成後の全工程が350℃程度以下であるので斯様な熱劣化は生ぜず、ために水素化処理も不要と化すのである。結局本願に則ると高性能な薄膜半導体装置を容易に、かつ安定的に製造できることとなる。
さて、こうした熱劣化は当然のことながら下地保護膜にも及ぶ。(2−1)項で説明したように下地保護膜の熱劣化は薄膜半導体装置の特性劣化に結び付く。無論それはゲート絶縁膜程敏感ではないが、それでも無視し得ない影響を及ぼす。それ故、薄膜半導体装置を最良とするのは理論的に半導体膜堆積工程をも含めた全工程が350℃程度以下の温度で行われることである。こうすることにより、下地保護膜の熱劣化もゲート絶縁膜の熱劣化も共に回避されるからである。半導体膜を350℃程度以下で形成する工程はPECVD法やスパッター法で行われる。
Further, a silicon oxide film formed by a CVD method has a Si—OH group in the film. Such a reaction pair terminated with a hydroxyl group or oxygen plasma is unstable to heat and easily dissociates in a thermal environment of about 350 ° C. or higher. That is, unreacted pairs such as Si—O— * and Si— * are generated again in the MOS interface and the gate insulating film, and this becomes a fixed charge in the interface state and the insulating film and degrades the transistor characteristics. Conventionally, hydrogen plasma treatment for about one hour has been performed to recover this. However, in the present application, since the entire process after the formation of the semiconductor film is about 350 ° C. or less, such thermal deterioration does not occur, and thus hydrogenation is not required. After all, according to the present application, a high-performance thin film semiconductor device can be manufactured easily and stably.
Now, such thermal degradation naturally extends to the underlying protective film. As described in the section (2-1), the thermal deterioration of the base protective film leads to the characteristic deterioration of the thin film semiconductor device. Of course, it is not as sensitive as the gate dielectric, but it still has a negligible effect. Therefore, the best thing for thin film semiconductor devices is that all processes including the semiconductor film deposition process are performed at a temperature of about 350 ° C. or lower. By doing so, both the thermal deterioration of the base protective film and the gate insulating film can be avoided. The step of forming the semiconductor film at about 350 ° C. or lower is performed by PECVD or sputtering.

(2−11、本発明で用いたVHS−PECVD装置)
まず図2を用いて本発明に用いたVHS−プラズマ化学気相堆積装置(VHS−PECVD装置)の概略構成を説明する。PECVD装置は容量結合型でプラズマは144MHzのVHS波電源を用いて平行平板電極間に発生させる。図2上図は反応室付近を上部より見た概略図で、図中のA−A´の断面図が図2下図である。反応室201は反応容器202により外気から隔絶され、成膜中でおよそ5mtorrから5torr程度の減圧状態とされる。反応容器202内には下部平板電極203と上部平板電極204が互いに平行に設置されており、これら二枚の電極が平行平板電極を形成する。
(2-11, VHS-PECVD apparatus used in the present invention)
First, the schematic configuration of the VHS-plasma chemical vapor deposition apparatus (VHS-PECVD apparatus) used in the present invention will be described with reference to FIG. The PECVD apparatus is a capacitive coupling type, and plasma is generated between parallel plate electrodes using a 144 MHz VHS wave power source. 2 is a schematic view of the vicinity of the reaction chamber as viewed from above, and a cross-sectional view taken along the line AA ′ in FIG. The reaction chamber 201 is isolated from the outside air by the reaction vessel 202 and is in a reduced pressure state of about 5 mtorr to 5 torr during film formation. A lower plate electrode 203 and an upper plate electrode 204 are installed in parallel in the reaction vessel 202, and these two electrodes form a parallel plate electrode.

この平行平板電極間が反応室201となる。本願発明では410mm×510mmの平行平板電極を用い、電極間距離を10mmから50mmへと可変としたため反応室201の容積は電極間距離に応じ2091cm3から10455cm3となる。平行平板電極間距離は下部平板電極203の位置を上下させることにより、前述の如く10mmから50mmの間で自由に設定し得る。また、所定の電極間距離に設定した場合、410mm×510mmの平板電極面内での電極間距離の偏差は僅か0.5mmである。従って電極間に生ずる電界強度の偏差は平板電極面内で5.0%以下となりきわめて均質なプラズマが反応室201に発生する。下部平板電極203上には薄膜を堆積すべき基板205が置かれ、基板縁辺部2mmがシャドー・フレーム206により押さえ付けられている。 A space between the parallel plate electrodes is a reaction chamber 201. A parallel plate electrode of 410 mm × 510 mm in the present invention, the volume of the reaction chamber 201 because of the distance between the electrodes from 10mm to 50mm and variable becomes 10455Cm 3 from 2091Cm 3 depending on the distance between the electrodes. The distance between the parallel plate electrodes can be freely set between 10 mm and 50 mm as described above by moving the position of the lower plate electrode 203 up and down. Further, when the predetermined distance between the electrodes is set, the deviation of the distance between the electrodes within the 410 mm × 510 mm plate electrode surface is only 0.5 mm. Accordingly, the deviation of the electric field strength generated between the electrodes is 5.0% or less within the plane electrode surface, and a very homogeneous plasma is generated in the reaction chamber 201. A substrate 205 on which a thin film is to be deposited is placed on the lower plate electrode 203, and a substrate edge 2 mm is pressed by a shadow frame 206.

図2上図ではPECVD装置の概略を分かり易くするためにシャドー・フィレーム206を省略してある。下部平板電極203内部にはヒーター207が設けられており、下部平板電極の温度を25℃から400℃の間で任意に調整し得る。周辺5mmを除いた下部平板電極203内の温度分布は設定温度に対して±1.0℃以内であり、実質的に基板205の大きさを400mm×500mmとしても基板内温度偏差を2.0℃以内に保つことができる。シャドー・フレーム206は例えば基板205として汎用ガラス基板(例えばコーニングジャパン株式会社製#7059や日本電気硝子株式会社製OA−2、NHテクノグラス株式会社製NA35など)を用いたときに基板がヒーター207からの熱により凹型に変形するのを防ぐと共に、基板のエッヂ部及び裏面に不要な薄膜が成膜されぬように基板を押さえている。原料気体と必要に応じて追加気体からなる反応ガスは配管208を通じて上部平板電極204内に導入され、さらに上部平板電極内に設けられたガス拡散板209の間を擦り抜けて上部平板電極全面より略均一な圧力で反応室201に流れ出る。成膜中であれば反応ガスの一部は上部平板電極から出たところで電離し、平行平板電極間にプラズマを発生させる。反応ガスの一部乃至全部は成膜に関与し、成膜に関与しなかった残留反応ガス及び成膜の化学反応の結果として生じた生成ガスは排気ガスとなって反応容器202周辺上部に設けられた排気穴210を介して排気される。   In FIG. 2, the shadow film 206 is omitted for easy understanding of the outline of the PECVD apparatus. A heater 207 is provided inside the lower plate electrode 203, and the temperature of the lower plate electrode can be arbitrarily adjusted between 25 ° C and 400 ° C. The temperature distribution in the lower plate electrode 203 excluding the periphery of 5 mm is within ± 1.0 ° C. with respect to the set temperature. Even if the size of the substrate 205 is substantially 400 mm × 500 mm, the temperature deviation in the substrate is 2.0. Can be kept within ℃. For example, when the shadow frame 206 uses a general-purpose glass substrate (for example, # 7059 manufactured by Corning Japan Co., Ltd., OA-2 manufactured by Nippon Electric Glass Co., Ltd., NA35 manufactured by NH Techno Glass Co., Ltd.) as the substrate 205, the substrate becomes the heater 207. The substrate is prevented from being deformed into a concave shape by heat from the substrate and unnecessary thin films are not formed on the edge portion and the back surface of the substrate. A reaction gas comprising a raw material gas and, if necessary, an additional gas is introduced into the upper plate electrode 204 through the pipe 208, and is further rubbed between the gas diffusion plates 209 provided in the upper plate electrode from the entire surface of the upper plate electrode. It flows out into the reaction chamber 201 with a substantially uniform pressure. During film formation, part of the reaction gas is ionized when it exits from the upper plate electrode, and plasma is generated between the parallel plate electrodes. A part or all of the reaction gas is involved in the film formation, and the residual reaction gas that was not involved in the film formation and the product gas generated as a result of the chemical reaction of the film formation are provided as exhaust gas at the upper periphery of the reaction vessel 202. The air is exhausted through the exhaust hole 210 formed.

排気穴210のコンダクタンスは平行平板電極間のコンダクタンスに比べて十分に大きく、その値は平行平板電極間のコンダクタンスの100倍以上が好ましい。さらに平行平板電極間のコンダクタンスはガス拡散板209のコンダクタンスよりも十分に大きく、やはりその値はガス拡散板のコンダクタンスの100倍以上が好ましい。こうした構成により410mm×510mmとの大型上部平板電極全面より略均一な圧力で反応ガスが反応室に導入され、同時に排気ガスが反応室から総ての方向に均等な流量で排気されるのである。各種反応ガスの流量は配管208に導入される前にマス・フロー・コントローラーにより所定の値に調整される。   The conductance of the exhaust hole 210 is sufficiently larger than the conductance between the parallel plate electrodes, and the value is preferably 100 times or more the conductance between the parallel plate electrodes. Further, the conductance between the parallel plate electrodes is sufficiently larger than the conductance of the gas diffusion plate 209, and the value is preferably 100 times or more the conductance of the gas diffusion plate. With such a configuration, the reaction gas is introduced into the reaction chamber with a substantially uniform pressure from the entire surface of the large upper plate electrode of 410 mm × 510 mm, and at the same time, the exhaust gas is exhausted from the reaction chamber at a uniform flow rate in all directions. The flow rates of the various reaction gases are adjusted to predetermined values by the mass flow controller before being introduced into the pipe 208.

また、反応室201内の圧力は排気穴出口に設けられたコンダクタンス・バルブ211により所望の値に調整される。コンダクタンス・バルブ211の排気側にはターボ分子ポンプ等の真空排気装置が設けられている。本願発明ではオイル・フリーの磁気浮上型ターボ分子ポンプが真空排気装置の一部として用いられ、反応室等の反応容器内の背景真空度を10-7torr台としている。図2には矢印にてガスの流れの概略を示してある。反応容器202及び下部平板電極203は接地電位にあり、これらと上部平板電極204は絶縁リング212により電気的に絶縁状態が保たれる。プラズマ発生時にはVHS波発振源213から出力された例えば144MHzのVHS波が増幅器214にて増幅された後、マッチング回路215を介して上部平板電極204に印加される。 The pressure in the reaction chamber 201 is adjusted to a desired value by a conductance valve 211 provided at the outlet of the exhaust hole. A vacuum exhaust device such as a turbo molecular pump is provided on the exhaust side of the conductance valve 211. In the present invention, an oil-free magnetic levitation turbomolecular pump is used as a part of the vacuum evacuation device, and the degree of background vacuum in a reaction vessel such as a reaction chamber is set to 10 −7 torr. In FIG. 2, the outline of the gas flow is shown by arrows. The reaction vessel 202 and the lower plate electrode 203 are at the ground potential, and the upper plate electrode 204 and the upper plate electrode 204 are electrically insulated by the insulating ring 212. When plasma is generated, for example, a 144 MHz VHS wave output from the VHS wave oscillation source 213 is amplified by the amplifier 214 and then applied to the upper plate electrode 204 via the matching circuit 215.

本発明に用いたPECVD装置は上述の如くきわめて精巧たる電極間制御と均質なガス流を実現したことにより400mm×500mmとの大型基板に対応可能な薄膜形成装置となった。しかしながらこれらの基礎概念さえ踏襲すれば、更なる基板の大型化にはむしろ容易に対応でき、実際550mm×650mmとのより大型な基板に対応し得る装置も実現可能である。また、本願発明では比較的汎用性の高い周波数144MHzのVHS波を用いているが、無論この他の周波数を有するVHS波を利用してもよい。例えば100MHz〜1GHz程度のVHF波は総て利用可能である。一方周波数が10MHz程度のrf波から数百MHz程度のVHF波であれば平行平板電極間にプラズマを発生させることが可能であるから、工業用rf周波数(13.56MHz)の整数倍である27.12MHz、40.68MHz、54.24MHz、67.8MHz等を用いてもよい。   As described above, the PECVD apparatus used in the present invention is a thin film forming apparatus capable of handling a large substrate of 400 mm × 500 mm by realizing extremely sophisticated interelectrode control and a homogeneous gas flow. However, as long as these basic concepts are followed, it is possible to easily cope with further increase in the size of the substrate, and it is possible to realize an apparatus that can actually handle a larger substrate of 550 mm × 650 mm. In the present invention, a VHS wave having a relatively high frequency of 144 MHz is used. Of course, a VHS wave having another frequency may be used. For example, all VHF waves of about 100 MHz to 1 GHz can be used. On the other hand, if an rf wave having a frequency of about 10 MHz to a VHF wave having a frequency of about several hundreds of MHz, plasma can be generated between parallel plate electrodes, and is an integer multiple of the industrial rf frequency (13.56 MHz) 27. .12 MHz, 40.68 MHz, 54.24 MHz, 67.8 MHz, or the like may be used.

すなわち本願発明に用いたPECVD装置のVHS波発振源213と増幅器214及びマッチング回路215を交換することにより容易に所望の周波数の電磁波を用いてプラズマを発生できるのである。一般に電磁波プラズマでは周波数を上げるとプラズマ中の電子温度が上がりラジカルの発生が容易になるため、後述するように基板表面温度が340℃程度と低くても堆積直後に既に多結晶状態となり、特別な結晶化工程を施さずとも容易にpoly−Si TFTが製造されるのである。   That is, plasma can be easily generated using an electromagnetic wave having a desired frequency by exchanging the VHS wave oscillation source 213, the amplifier 214, and the matching circuit 215 of the PECVD apparatus used in the present invention. In general, in electromagnetic plasma, when the frequency is increased, the electron temperature in the plasma is increased and the generation of radicals is facilitated. Therefore, even if the substrate surface temperature is as low as about 340 ° C. A poly-Si TFT can be easily manufactured without performing a crystallization process.

(2−12、VHS−PECVD法やマイクロ波PECVD法による半導体膜形成とその際に用いられる気体)
本願発明の特徴の一つはVHS−PECVD法やマイクロ波PECVD法にて堆積直後の膜(As−deposited膜)を多結晶状態にしている点にある。通常PECVD法でAs−deposited膜を多結晶とするのは非常に困難である。これは基板温度が400℃程度未満と低いため、シラン等の原料物質の成長膜表面での移動度が減り、原料物質の非晶質状態に対する多結晶状態への選択性が失われるためである。本願発明はPECVD法に於けるこの欠点を希ガス族元素による原料物質の希釈との方法と、電子温度を高くし得るVHSプラズマやマイクロ波プラズマの採用とで除去している。As−deposited状態で多結晶膜を成膜するには原料物質のラジカルやイオンを作らずに、ヘリウム(He)やネオン(Ne)、アルゴン(Ar)など希ガス族元素のラジカルやイオンを作り、これらによりエネルキーを基板表面に運ぶ必要がある。原料物質のラジカルやイオンは気相反応を引き起こしたり、或いは原料物質が基板表面に到着した瞬間に反応したりするため、選択性の喪失が生じて多結晶成長を阻害してしまう。それ故こうしたラジカルやイオンのプラズマ中での生成は極力避けられねばならない。
(2-12, semiconductor film formation by VHS-PECVD method or microwave PECVD method and gas used in that case)
One of the features of the present invention is that the film (As-deposited film) immediately after deposition is made into a polycrystalline state by the VHS-PECVD method or the microwave PECVD method. Usually, it is very difficult to make an As-deposited film polycrystalline by PECVD. This is because the substrate temperature is as low as less than about 400 ° C., so that the mobility of the raw material such as silane on the growth film surface is reduced, and the selectivity of the raw material to the polycrystalline state with respect to the amorphous state is lost. . The present invention eliminates this drawback in the PECVD method by the method of diluting the source material with a rare gas group element and the use of VHS plasma or microwave plasma capable of increasing the electron temperature. In order to form a polycrystalline film in the As-deposited state, radicals and ions of rare gas group elements such as helium (He), neon (Ne), and argon (Ar) are created without creating radicals and ions of the source material. These require the energy to be transported to the substrate surface. Since radicals and ions of the source material cause a gas phase reaction or react at the moment when the source material arrives at the substrate surface, the loss of selectivity occurs and the polycrystalline growth is inhibited. Therefore, generation of such radicals and ions in the plasma must be avoided as much as possible.

原料物質は非活性状態で成長膜表面にまで運ばれ、そこに吸着した後に反応のためのエネルギーが希釈ガス等により供給されるとAs−deposited状態で多結晶膜が形成されるのである。このことから原料ガスの希釈が求められ、さらに原料物質の基板表面での反応を促進する気体を希釈物質として選ぶ必要が生じてくる。希ガス族元素はいうまでもなく原子単体からなり、それ故イオン化ポテンシャルのスペクトルは非常に単純である。例えばヘリウムの一価イオン化ポテンシャルは24.587eVで二価イオン化ポテンシャルは54.416eVのみである。また、ネオンの一価イオン化ポテンシャルは21.564eVで二価イオン化ポテンシャルは40.962eV、アルゴンの一価イオン化ポテンシャルは15.759eVで二価イオン化ポテンシャルは27.629eV、三価イオン化ポテンシャルは40.74eVである。   The raw material is brought to the surface of the growth film in an inactive state, and after adsorbing on the growth film, when energy for reaction is supplied by a diluent gas or the like, a polycrystalline film is formed in an As-deposited state. For this reason, it is required to dilute the source gas, and further, it becomes necessary to select a gas that promotes the reaction of the source material on the substrate surface as the diluted substance. It goes without saying that noble gas group elements consist of simple atoms, and therefore the spectrum of ionization potential is very simple. For example, the monovalent ionization potential of helium is 24.487 eV and the divalent ionization potential is only 54.416 eV. Further, the monovalent ionization potential of neon is 21.564 eV, the divalent ionization potential is 40.62 2 eV, the monovalent ionization potential of argon is 15.759 eV, the divalent ionization potential is 27.629 eV, and the trivalent ionization potential is 40.74 eV. It is.

従ってヘリウム中に少量の原料物質を希釈してプラズマを立てた場合、イオン化するヘリウムの殆どは24.587eVの一価イオンであるし、ネオン中に少量の原料物質を希釈してプラズマを立てた場合も21.564eVのネオンの一価イオンが主としてイオン化する。アルゴンでは一価イオンと二価イオンの両者が支配的となるが、イオン化エネルギーが比較的低いので、原料物質を多量にアルゴンで希釈せずとも効果的にアルゴンのラジカルやイオンが生成される。これに対し従来希釈ガスとして広く用いられている水素では、水素分子のイオン化ポテンシャルは15eVから18eVの間に十数個の異なったイオン化ポテンシャルが存在する。それ故ヘリウム等の希ガス族元素が一つ或いは二つのエネルギーの揃ったプラズマ状態を成すのに対し(光に例えるとレーザー光)、水素等の分子ガスは多数のエネルギーが混在するプラズマ状態(光に例えると白色光)となる。   Therefore, when a plasma is generated by diluting a small amount of source material in helium, most of the ionized helium is monovalent ions of 24.487 eV, and a plasma is generated by diluting a small amount of source material in neon. In this case, the monovalent ion of neon at 21.564 eV is mainly ionized. In argon, both monovalent ions and divalent ions are dominant, but since the ionization energy is relatively low, argon radicals and ions are effectively generated without diluting the source material with argon in large quantities. On the other hand, in the case of hydrogen, which has been widely used as a conventional dilution gas, there are dozens of different ionization potentials between 15 eV and 18 eV. Therefore, rare gas group elements such as helium form a plasma state with one or two energies (laser light when compared to light), whereas molecular gas such as hydrogen is a plasma state where a lot of energy is mixed ( Compared to light, white light).

白色光よりレーザー光の方が効果的にエネルギーを輸送するように、希ガス族元素で原料ガスを希釈すると一段と効果的にエネルギーが基板表面に運ばれるのである。半導体膜堆積時の希釈物質はこれらヘリウム、ネオン、アルゴンと言った希ガス族元素の他に、無論クリプトン(Kr)やキセノン(Xe)であってもよい。一方VHSプラズマやマイクロ波プラズマはプラズマ内の平均電子温度が高いので、比較的低い出力にてラジカルの生成効率を高められる。換言すれば高出力とする必要がないため、高エネルギーイオンの発生も少なく、それ故これらに起因する膜への損傷も最小限に止められる訳である。さらに、ラジカルの高い生成効率は成膜速度をも高める。従来広く利用されている13.56MHzのrfプラズマで本発明を行おうとしても、成膜速度が数Å/min以下ときわめて遅くなってしまい、全く実用に適さないし、また、余りにも遅い成膜速度が災いして膜品質も低下してしまう。   When the source gas is diluted with a rare gas group element so that the laser beam can transport energy more effectively than the white light, the energy is more effectively transferred to the substrate surface. In addition to the rare gas group elements such as helium, neon, and argon, of course, krypton (Kr) or xenon (Xe) may be used as the diluent material during the semiconductor film deposition. On the other hand, since VHS plasma and microwave plasma have a high average electron temperature in the plasma, the radical generation efficiency can be increased at a relatively low output. In other words, since it is not necessary to have a high output, the generation of high-energy ions is small, and therefore the damage to the film due to these is minimized. Furthermore, the high radical generation efficiency also increases the deposition rate. Even if the present invention is carried out with a 13.56 MHz rf plasma that has been widely used in the past, the film formation rate is extremely slow, being several liters / min or less, which is not suitable for practical use, and is too slow. The speed will suffer and the film quality will also be degraded.

すなわちVHSプラズマやマイクロ波プラズマを利用するからこそ本発明が達成されるのである。その意味に於いて、より高周波の2.45GHzの整数倍のマイクロ波PECVD法でも本発明はきわめて容易に達成され、これらの系では成膜条件の自由度もVHS−PECVDよりも大きくなり、より良質な結晶性半導体膜がより容易に堆積されるのである。   That is, the present invention is achieved only by using VHS plasma or microwave plasma. In that sense, the present invention can be achieved very easily even by a microwave PECVD method that is an integral multiple of a higher frequency of 2.45 GHz. In these systems, the degree of freedom of film forming conditions is greater than that of VHS-PECVD. A good quality crystalline semiconductor film is deposited more easily.

(2−13、VHS−PECVD法やマイクロ波PECVD法As−deposited膜の最適膜厚)
VHS−PECVD法やマイクロ波PECVD法にて堆積直後の膜(As−deposited膜)を多結晶状態にする場合、膜厚が0から500Å程度では膜質は通常の結晶化膜に比較すると非常に悪い。小さな結晶粒が非晶質の海の中に島状に点在するような状態にあり、結晶化度もきわめて低く、かつ欠陥も非常に多い。500Åから1000Åでは結晶粒の非晶質に対する割合が増大し、膜厚が1000Å程度から1500Å程度で半導体表面が一応結晶粒で被われ、表面での非晶質成分は略消失する。1500Åから2000Å程度では結晶粒のサイズが膜厚と共に徐々に大きくなり、2000Å以上となると略同じ形状で膜は成長する。こうした膜質の膜厚に対する変化に応じてトランジスタ特性の膜厚依存性も変化する。2000Å以上では膜質は殆ど変化しないため(薄膜劣化が殆どないため)、動作理論に基づく薄膜効果が働き、膜は薄い程トランジスタ特性は良くなる。
(2-13, optimum film thickness of As-deposited film by VHS-PECVD method or microwave PECVD method)
When the film immediately after deposition (As-deposited film) is made into a polycrystalline state by the VHS-PECVD method or the microwave PECVD method, the film quality is very poor compared with a normal crystallized film when the film thickness is about 0 to 500 mm. . Small crystal grains are scattered like islands in the amorphous sea, the crystallinity is very low, and there are many defects. When the thickness is from 500 to 1000%, the ratio of crystal grains to amorphous increases, and when the film thickness is from about 1000 to 1500 mm, the semiconductor surface is temporarily covered with crystal grains, and the amorphous component on the surface is almost lost. The crystal grain size gradually increases with the film thickness from about 1500 to 2000 mm, and the film grows in substantially the same shape when it reaches 2000 mm or more. The film thickness dependence of transistor characteristics also changes in accordance with the change in film quality with respect to the film thickness. Since the film quality hardly changes at 2000 mm or more (since there is almost no deterioration of the thin film), the thin film effect based on the operation theory works, and the thinner the film, the better the transistor characteristics.

膜厚が2000Åから1500Åでは薄膜劣化が働き出すが、依然動作理論に基づく薄膜効果の方が支配的で、2000Å以上よりはゆるやかであるが、矢張膜が薄い程トランジスタ特性は良くなる。膜厚が1500Åから200Å程度の間では薄膜劣化と動作理論に基づく薄膜理論が拮抗しており、オン状態のトランジスタ特性は最大値を取る。膜厚が200Å未満では薄膜劣化が動作理論に基づく薄膜効果に打ち勝ち、膜が薄くなる程トランジスタ特性は悪くなる。すなわち本願発明の場合トランジスタ特性は半導体膜厚が200Åから1500Åの間で最良となり、理想的には400Åから1300Åの間である。   Thin film degradation starts to work when the film thickness is 2000 to 1500 mm. However, the thin film effect based on the operation theory is still dominant, and it is more gradual than 2000 mm or more. However, the thinner the Yahari film, the better the transistor characteristics. When the film thickness is about 1500 to 200 mm, the thin film theory and the thin film theory based on the operation theory compete, and the on-state transistor characteristic takes the maximum value. If the film thickness is less than 200 mm, the thin film deterioration overcomes the thin film effect based on the theory of operation, and the transistor characteristics deteriorate as the film becomes thinner. That is, in the case of the present invention, the transistor characteristics are best when the semiconductor film thickness is between 200 and 1500 mm, and ideally between 400 and 1300 mm.

ここまでトランジスタ特性とはオン状態の特性を述べてきたが、オフ状態のリーク電流も膜厚によって異なる。薄膜半導体装置のオフ・リークの原理はよくは分かっていない。本願発明では原理は不明だが、膜厚が1000Å以上では膜厚とオフリークは強い正の相関があり、膜が厚い程オフリークも大きくなっている。膜厚が1000Å以下では相関は弱くなり、オフリークは膜厚に対して独立と化す。すなわち、膜厚が0から1000Åの間ではオフリーク電流値は最小値で略一定である。それ故オン状態のトランジスタ特性が最良となり、オフリークが最小となる膜厚は200Åから1000Åで理想的には400Åから1000Åである。本発明の薄膜半導体装置をLCDに用いる場合、オフリーク電流の光照射の影響を考慮するのが好ましい。   Up to this point, the transistor characteristics have been described as on-state characteristics, but the off-state leakage current also differs depending on the film thickness. The principle of off-leakage in thin film semiconductor devices is not well understood. Although the principle is unknown in the present invention, when the film thickness is 1000 mm or more, the film thickness and off-leakage have a strong positive correlation, and the thicker the film, the larger the off-leakage. When the film thickness is 1000 mm or less, the correlation becomes weak and the off-leakage becomes independent of the film thickness. That is, the off-leakage current value is a minimum value and substantially constant when the film thickness is between 0 and 1000 mm. Therefore, the film thickness with the best transistor characteristics in the on state and the minimum off-leakage is 200 to 1000 mm, and ideally 400 to 1000 mm. When the thin film semiconductor device of the present invention is used for an LCD, it is preferable to consider the influence of off-leakage light irradiation.

薄膜半導体装置は光照射によりオフリーク電流が増大する。これを光リーク電流と呼び、光リーク電流が充分小さいことが良好な薄膜半導体装置の条件である。本願発明の薄膜半導体装置では光リーク電流は膜厚に比例している。安定的な製造と光リーク電流を両立させる立場から半導体膜厚は100Åから800Å程度が好ましい。LCDの画素スイッチング素子に薄膜半導体装置を用いるような、オフリークや光リークが重要となる場合、半導体膜厚は100Åから700Åが好ましい。これにオン電流をより強く考慮する必要がある場合、200Å程度から800Å程度が最適膜厚となり、総ての条件を満たす系は400Åから800Å、理想的には600Åから800Åとなる。   In a thin film semiconductor device, off-leakage current increases due to light irradiation. This is called a light leakage current, and the condition for a good thin film semiconductor device is that the light leakage current is sufficiently small. In the thin film semiconductor device of the present invention, the light leakage current is proportional to the film thickness. From the standpoint of achieving both stable manufacturing and light leakage current, the semiconductor film thickness is preferably about 100 to 800 mm. When off-leakage or light leakage is important, such as when a thin film semiconductor device is used as a pixel switching element of an LCD, the semiconductor film thickness is preferably 100 to 700 mm. When it is necessary to consider the on-current more strongly, the optimum film thickness is about 200 to 800 mm, and the system satisfying all the conditions is 400 to 800 mm, ideally 600 to 800 mm.

また、本発明のようにソース・ドレイン領域に於ける注入イオンの活性化を350℃以下の低温で行うことは通常かなり困難である。それ故活性化を安定的に行うには半導体膜厚に下限を設定せねばならない。本願発明ではこの値は300Å以上が好ましい。また、LDD構造を採用する場合には500Å以上が好ましい。   Also, it is usually quite difficult to activate the implanted ions in the source / drain regions at a low temperature of 350 ° C. or lower as in the present invention. Therefore, in order to perform activation stably, a lower limit must be set for the semiconductor film thickness. In the present invention, this value is preferably 300 mm or more. In addition, when the LDD structure is adopted, 500 mm or more is preferable.

(2−14、VHS−PECVD法やマイクロ波PECVD法による半導体膜の結晶化)
(2−12)項で詳述したようにVHS−PECVD法を用いると容易にAs−deposited状態で多結晶膜が得られるが、これらは結晶化された膜程膜質の優れた物ではない。一方、通常PECVD法で得られた膜は水素抜きや緻密化の熱処理を施さぬ限り、結晶化させることが困難であった。それに対してVHS−PECVD法やマイクロ波PECVD法の半導体膜はRTA法やVST−SPC法による結晶化、或いはレーザー照射等による熔融結晶化をきわめて容易に行い得る。これは既にAs−deposited状態で多くが結晶化しており、残留非晶質成分が少ないため、比較的低いエネルギー供給で残留非晶質の結晶化が進むからである。また、高いエネルギーで熔融結晶化を進めるときにも多結晶成分が半導体原子の蒸発や飛散を防止する役目を勤めるため、半導体膜の損傷や面粗れ、消失等が生ずることなく結晶化が進められるのである。
(2-14, crystallization of semiconductor film by VHS-PECVD method or microwave PECVD method)
As described in detail in the section (2-12), when the VHS-PECVD method is used, a polycrystalline film can be easily obtained in an As-deposited state, but these are not as excellent in film quality as a crystallized film. On the other hand, it is difficult to crystallize a film usually obtained by PECVD unless hydrogen removal or densification heat treatment is performed. On the other hand, the semiconductor film of the VHS-PECVD method or the microwave PECVD method can be very easily crystallized by the RTA method or the VST-SPC method, or melt crystallized by laser irradiation or the like. This is because most of the crystal is already crystallized in the As-deposited state, and the residual amorphous component is small, so that the residual amorphous is crystallized with a relatively low energy supply. In addition, since the polycrystalline component plays a role in preventing evaporation and scattering of semiconductor atoms when performing melt crystallization at high energy, crystallization proceeds without causing damage, surface roughness, disappearance, etc. of the semiconductor film. It is done.

結局、VHS−PECVD法やマイクロ波PECVD法で得られた膜はAs−deposited状態で薄膜半導体装置の能動部とするよりは、むしろ熔融結晶化を利用して工程最高温度が350℃程度以下となる低温poly−Si TFTを製造するときの最初の半導体膜により適していると言えよう。すなわち絶縁物質上にVHS−PECVD法やマイクロ波PECVD法で半導体膜を形成し、次にこの膜をRTA法やVST−SPC法等の固相結晶化法、或いはレーザー照射等の熔融結晶化法等で結晶化させ、それ以後の工程を350℃程度以下とすることで高性能薄膜半導体装置が容易に製造されるのである。   After all, the film obtained by the VHS-PECVD method or the microwave PECVD method has a maximum process temperature of about 350 ° C. or less using melt crystallization rather than being an active part of a thin film semiconductor device in the As-deposited state. It can be said that it is more suitable for the first semiconductor film when manufacturing the low temperature poly-Si TFT. That is, a semiconductor film is formed on an insulating material by VHS-PECVD method or microwave PECVD method, and this film is then solid-phase crystallization method such as RTA method or VST-SPC method, or melt crystallization method such as laser irradiation. The high-performance thin film semiconductor device can be easily manufactured by crystallizing the film and the like and setting the subsequent steps to about 350 ° C. or lower.

VHS−PECVD法やマイクロ波PECVD法で堆積された膜は従来のPECVD法で堆積された膜よりはLPCVD法で堆積された膜にその品質が近い。   A film deposited by the VHS-PECVD method or the microwave PECVD method is closer in quality to a film deposited by the LPCVD method than a film deposited by the conventional PECVD method.

そのため結晶化して薄膜半導体装置を作成したときに得られるトランジスタ特性と半導体膜厚の関係もLPCVD法の薄膜半導体装置の有する関係に等しくなる。但しLPCVD法による半導体膜は結晶化の前後で膜減りは殆ど生じないのに対し、VHS−PECVD法やマイクロ波PECVD法では若干の膜減りが認められる。それ故、これらの膜を結晶化して薄膜半導体装置を作成する場合、結晶化された後の半導体の膜厚がLPCVD法−結晶化膜の膜厚と同じになるようにすると(2−6)項の議論をそのまま当てはめることができる。   Therefore, the relationship between the transistor characteristics and the semiconductor film thickness obtained when the thin film semiconductor device is formed by crystallization is also equal to the relationship possessed by the thin film semiconductor device of LPCVD method. However, the semiconductor film formed by the LPCVD method hardly loses before and after crystallization, whereas the VHS-PECVD method or the microwave PECVD method shows a slight film reduction. Therefore, when these films are crystallized to produce a thin film semiconductor device, the film thickness of the semiconductor after the crystallization is made to be the same as the film thickness of the LPCVD method-crystallized film (2-6). We can apply the discussion of the term as it is.

以上述べて来たように本発明によれば、多結晶シリコン膜等からなる高品質半導体膜を450℃程度未満、さらには430℃程度以下の低温で容易に形成せしめ、以て薄膜半導体装置の特性を飛躍的に向上させ、かつ安定的大量生産を実現した。具体的には以下に記すがが如き効果を有する。   As described above, according to the present invention, a high-quality semiconductor film made of a polycrystalline silicon film or the like can be easily formed at a low temperature of less than about 450 ° C., and further about 430 ° C. or less. The characteristics have been dramatically improved and stable mass production has been realized. Specifically, the following effects can be obtained.

効果1).工程温度が450℃程度未満と低いため、安価なガラスを使用でき、製品価格を低くすることが可能になる。加えてガラス自身の自重によるゆがみを防止できるため、液晶表示装置(LCD)を容易に大型化し得る。 Effect 1). Since the process temperature is as low as less than about 450 ° C., inexpensive glass can be used, and the product price can be reduced. In addition, distortion due to the weight of the glass itself can be prevented, so that a liquid crystal display (LCD) can be easily enlarged.

効果2).工程温度が350℃程度以下と低いため、下地保護膜やゲート絶縁膜の熱劣化が生ぜず、容易に高性能で信頼性に優れた薄膜半導体装置が製造され得る。 Effect 2). Since the process temperature is as low as about 350 ° C. or lower, thermal degradation of the base protective film and the gate insulating film does not occur, and a thin film semiconductor device with high performance and excellent reliability can be easily manufactured.

効果3).レーザー照射を基板全体に渡って均一に行える。その結果ロット毎の均一性が改善され、安定的な生産が可能となった。 Effect 3). Laser irradiation can be performed uniformly over the entire substrate. As a result, the uniformity of each lot was improved, and stable production became possible.

効果4).ゲート電極に対してソース・ドレインが自己整合するセルフ・アラインTFTをイオン・ドーピング法及びそれに引き続きく300℃〜350℃程度の低温で活性化することが著しく容易になった。その結果安定的に活性化ができるようになった。さらにはライトリー・ドープド・ドレイン(LDD)TFTを容易にかつ安定的に作成できるようになった。LDD TFTが低温プロセスpoly−Si TFTにて実現したため、TFT素子微細化やオフ・リーク電流を小さくすることが可能になった。 Effect 4). It has become remarkably easy to activate a self-aligned TFT in which the source and drain are self-aligned with the gate electrode at an ion doping method and subsequently at a low temperature of about 300 ° C. to 350 ° C. As a result, it became possible to activate stably. Furthermore, a lightly doped drain (LDD) TFT can be formed easily and stably. Since the LDD TFT is realized by a low-temperature process poly-Si TFT, it is possible to miniaturize the TFT element and reduce the off-leakage current.

効果5).従来は低温プロセスpoly−Si TFTではECR−PECVD法にて作成したSiO2しか良好なトランジスタ特性を示さなかったが、本発明により汎用的なPECVD装置を利用できるようになった。従って大型基板に適用可能で量産性に富む実用的なゲート酸化膜製造装置が得られることとなった。 Effect 5). Conventionally, low-temperature process poly-Si TFTs have shown good transistor characteristics only with SiO 2 prepared by ECR-PECVD, but a general-purpose PECVD apparatus can be used according to the present invention. Therefore, a practical gate oxide film manufacturing apparatus that can be applied to a large substrate and has high mass productivity can be obtained.

効果6).従来よりもオン電流は大きく、オフ電流は小さいより良好な薄膜半導体装置が得られた。また、これらのばらつきも低減された。 Effect 6). A better thin film semiconductor device having a larger on-current and smaller off-current than the conventional one was obtained. These variations were also reduced.

効果7).基板に廉価な汎用ガラスなどを用いたとき、基板から半導体膜への不純物混入を効果的に防ぐ下地保護膜が同時に最良な電気特性を示す薄膜半導体装置の下地保護膜とすることが可能となった。さらに下地保護膜からのストレスに起因して薄膜半導体装置の電気特性が悪化したり、或いは薄膜半導体装置にひび割れ(クラック)が発生するようなことも回避された。 Effect 7). When inexpensive general-purpose glass or the like is used for the substrate, the base protective film that effectively prevents impurities from entering the semiconductor film can be used as a base protective film for a thin film semiconductor device that exhibits the best electrical characteristics at the same time. It was. Further, it has been avoided that the electrical characteristics of the thin film semiconductor device are deteriorated due to the stress from the base protective film, or that the thin film semiconductor device is cracked.

効果8).半導体膜をプラズマ化学気相堆積法(PECVD法)で形成する場合にフッ素(F)や炭素(C)等の洗浄気体の構成元素が半導体膜中に混入することを防ぎ得た。その結果基板間で不純物混入量を常に最少とでき、優良な薄膜半導体装置を安定的に製造可能となった。 Effect 8). When the semiconductor film is formed by plasma enhanced chemical vapor deposition (PECVD), it is possible to prevent the constituent elements of the cleaning gas such as fluorine (F) and carbon (C) from entering the semiconductor film. As a result, the amount of impurities mixed between the substrates can always be minimized, and an excellent thin film semiconductor device can be stably manufactured.

効果9).低圧化学気相堆積法(LPCVD法)にて450℃程度未満との低温で半導体膜を堆積する場合であっても、基板内や基板間での均一性と堆積速度の両立が可能となった。従って基板の大型化に対応でき、大型LCDが量産できるようになった。 Effect 9). Even when a semiconductor film is deposited at a low temperature of less than about 450 ° C. by low-pressure chemical vapor deposition (LPCVD), it is possible to achieve both uniformity and deposition rate within and between substrates. . Therefore, it is possible to cope with an increase in the size of the substrate, and a large LCD can be mass-produced.

効果10).薄膜半導体装置の電気特性のばらつきには基板内のばらつきの他に、同一ロット内での基板間のばらつきとロット間でのばらつきの三種類のばらつきが認められるが、本願発明はこれら三種類のばらつきを制御し得る。とりわけPECVD法でのロット間でのばらつきが著しく改善された。 Effect 10). In addition to variations within the substrate, there are three types of variations in the electrical characteristics of the thin film semiconductor device: variations between substrates within the same lot and variations between lots. Variations can be controlled. In particular, the variation among lots in the PECVD method was remarkably improved.

効果11).PECVD法で半導体膜を成膜するしても半導体膜と下地保護膜との密着性を良くできる。すなわち、半導体膜にクレーター状の穴が無数に発生したり、膜が剥がれてしまうような事態を避け得る。 Effect 11). Even when the semiconductor film is formed by PECVD, the adhesion between the semiconductor film and the base protective film can be improved. That is, it is possible to avoid a situation in which an infinite number of crater-like holes are generated in the semiconductor film or the film is peeled off.

効果12).特に余分な結晶化工程を行うことがなくとも、350℃程度以下の低温工程で大面積基板に安定的にpoly−Si TFTを製造できる。
図面の簡単な説明 図1(a)〜(d)は本発明の一実施例を示す薄膜半導体装置製造の各工程に於ける素子断面図である。図2は、本発明に用いたPECVD装置を示す図である。図3は、本発明によるLPCVD装置の成膜室とその内部を示す図である。図4は、熱環境による基板の反りを説明した図である。図5は、本願発明の効果を説明した図である。
発明を実施するための最良の形態 添付の図面を参照しながら本発明をより詳細に説明する。
Effect 12). In particular, a poly-Si TFT can be stably produced on a large-area substrate by a low temperature process of about 350 ° C. or less without performing an extra crystallization process.
BRIEF DESCRIPTION OF THE DRAWINGS FIGS. 1A to 1D are element sectional views in respective steps of manufacturing a thin film semiconductor device according to an embodiment of the present invention. FIG. 2 is a diagram showing a PECVD apparatus used in the present invention. FIG. 3 is a view showing the film forming chamber and the inside of the LPCVD apparatus according to the present invention. FIG. 4 is a diagram for explaining the warpage of the substrate due to the thermal environment. FIG. 5 is a diagram for explaining the effect of the present invention.
BEST MODE FOR CARRYING OUT THE INVENTION The present invention will be described in more detail with reference to the accompanying drawings.

(実施例1)
図1(a)〜(d)はMIS型電界効果トランジスタを形成する薄膜半導体装置の製造工程を断面で示した図である。
本実施例1では基板101として235mm□の無アルカリガラス(日本電気硝子社OA−2)を用いたが、工程最高温度に耐え得る基板であるならば、基板の種類や大きさは無論問われない。まず基板101上に常圧化学気相堆積法(APCVD法)やPECVD法或いはスパッター法などで下地保護膜となる二酸化珪素膜(SiO2膜)102を形成する。APCVD法では基板温度250℃から450℃程度でモノシラン(SiH4)や酸素を原料としてSiO2膜を堆積できる。PECVD法やスパッター法では基板温度を室温から400℃とすることができる。本実施例1ではAPCVD法でSiH4とO2を原料ガスとして300℃で2000ÅのSiO2膜を堆積した。
(Example 1)
FIGS. 1A to 1D are cross-sectional views showing a manufacturing process of a thin film semiconductor device for forming a MIS field effect transistor.
In Example 1, 235 mm □ non-alkali glass (Nippon Electric Glass Co., Ltd. OA-2) was used as the substrate 101, but the type and size of the substrate are of course not limited as long as the substrate can withstand the maximum process temperature. Absent. First, a silicon dioxide film (SiO 2 film) 102 serving as a base protective film is formed on a substrate 101 by an atmospheric pressure chemical vapor deposition method (APCVD method), a PECVD method, or a sputtering method. In the APCVD method, a SiO 2 film can be deposited using monosilane (SiH 4 ) or oxygen as a raw material at a substrate temperature of about 250 ° C. to 450 ° C. In the PECVD method or the sputtering method, the substrate temperature can be changed from room temperature to 400 ° C. In Example 1, a 2000 Å SiO 2 film was deposited at 300 ° C. using SiH 4 and O 2 as source gases by the APCVD method.

次に後に薄膜半導体装置の能動層と化す真性シリコン膜を500Å程度堆積した。真性シリコン膜は高真空型LPCVD装置にて、原料ガスであるジシラン(Si26)を200sccm流し堆積温度425℃で58分間堆積した。本実施例1にて使用した高真空型LPCVD装置は184.51の容積を有する。17枚の基板は表側を下向きとして、250℃に保たれた反応室に挿入された。基板挿入後、ターボ分子ポンプの運転を開始し、定常回転に達した後、漏洩検査を2分間施した。 Next, an intrinsic silicon film, which later becomes an active layer of the thin film semiconductor device, was deposited by about 500 mm. Intrinsic silicon film at a high vacuum type LPCVD apparatus, disilane as a source gas of (Si 2 H 6) was deposited 58 minutes at 200sccm flow deposition temperature 425 ° C.. The high vacuum type LPCVD apparatus used in Example 1 has a volume of 184.51. The 17 substrates were inserted into a reaction chamber maintained at 250 ° C. with the front side facing down. After inserting the substrate, the operation of the turbo molecular pump was started, and after reaching a steady rotation, a leakage inspection was performed for 2 minutes.

この時の脱ガス等の漏洩速度は3.1×10-5torr/minであった。
その後挿入温度の250℃から堆積温度の425℃まで一時間費やして昇温した。昇温の最初の10分間は反応室にガスを全く導入せず、真空中で昇温した。昇温開始後10分後の反応室到達最低背景圧力は5.2×10-7torrであった。また、残り50分間の昇温期間には純度99.9999%以上の窒素ガスを300sccm流し続けた。この時の反応室内平衡圧力は3.0×10-3torrであった。堆積温度到達後、原料ガスであるSi26を200sccmと純度99.9999%以上の希釈用ヘリウム(He)を1000sccm流し、シリコン膜を58分間堆積した。Si26等のガスを反応室に導入した直後の圧力は767mtorrであり、これら原料ガス等を導入してから57分後の圧力は951mtorrであった。こうして得られたシリコン膜の膜厚は501Åであり、基板の周辺部7mmを除いた221mm□の正方形領域内での膜厚変動は±5Å未満であった。本実施例1では斯様にLPCVD法にてシリコン膜を形成したが、形成方法はこれに限らず、PECVD法やスパッター法によってもよい。PECVD法やスパッター法ではシリコン膜形成温度を室温から350℃程度とすることが可能である。
The leakage rate of degassing, etc. at this time was 3.1 × 10 −5 torr / min.
Thereafter, the temperature was raised from an insertion temperature of 250 ° C. to a deposition temperature of 425 ° C. over one hour. During the first 10 minutes of temperature increase, no gas was introduced into the reaction chamber and the temperature was increased in vacuum. The minimum background pressure reaching the reaction chamber 10 minutes after the start of temperature increase was 5.2 × 10 −7 torr. Further, a nitrogen gas having a purity of 99.9999% or more was continuously supplied for 300 sccm during the remaining 50 minutes. The equilibrium pressure in the reaction chamber at this time was 3.0 × 10 −3 torr. After reaching the deposition temperature, Si 2 H 6 as a source gas was flowed at 200 sccm and 1000 sccm of dilution helium (He) having a purity of 99.9999% or more, and a silicon film was deposited for 58 minutes. The pressure immediately after introducing a gas such as Si 2 H 6 into the reaction chamber was 767 mtorr, and the pressure 57 minutes after the introduction of these source gases was 951 mtorr. The film thickness of the silicon film thus obtained was 501 mm, and the film thickness fluctuation within a square area of 221 mm □ excluding the peripheral portion of the substrate 7 mm was less than ± 5 mm. In the first embodiment, the silicon film is formed by the LPCVD method in this way, but the forming method is not limited to this, and a PECVD method or a sputtering method may be used. In the PECVD method or the sputtering method, the silicon film formation temperature can be set from room temperature to about 350 ° C.

こうして得られたシリコン膜は高純度のa−Si膜である。次にこのa−Si膜に光学エネルギー又は電磁波エネルギーを短時間照射してa−Siを結晶化し、多結晶シリコン(poly−Si)へと改質する。本実施例1ではキセノン・クロライド(XeCl)のエキシマ・レーザー(波長308nm)を照射した。   The silicon film thus obtained is a high-purity a-Si film. Next, the a-Si film is irradiated with optical energy or electromagnetic wave energy for a short time to crystallize a-Si and modify it into polycrystalline silicon (poly-Si). In Example 1, an excimer laser (wavelength: 308 nm) of xenon chloride (XeCl) was irradiated.

レーザーパルスの強度半値幅は45nsである。照射時間が斯様に非常な短時間であるため、a−Siのpoly−Siへの結晶化に際して基板が熱せられることはなく、故に基板の変形等も生じない。レーザー照射は基板を室温(25℃)とし、空気中で行った。レーザー照射の一回の照射面積は8mm□の正方形であり、各照射毎に4mmずらして行く。最初に水平方向(Y方向)に走査した後、次に垂直方向(X方向)にも4mmずらせて、再び水平方向に4mmずつずらせて走査し、以後この走査を繰り返して基板全面に第1回目のレーザー照射を行う。この第1回目のレーザー照射エネルギー密度は160mJ/cm2であった。 The intensity half width of the laser pulse is 45 ns. Since the irradiation time is such a very short time, the substrate is not heated during the crystallization of a-Si to poly-Si, and therefore the substrate is not deformed. Laser irradiation was performed in air with the substrate at room temperature (25 ° C.). The area of one irradiation of laser irradiation is a square of 8 mm □, and is shifted by 4 mm for each irradiation. After scanning in the horizontal direction (Y direction) first, the vertical direction (X direction) is then shifted by 4 mm, and then the horizontal direction is shifted by 4 mm again. Thereafter, this scanning is repeated and the entire surface of the substrate is repeated for the first time. Perform laser irradiation. This first laser irradiation energy density was 160 mJ / cm 2 .

第1回目のレーザー照射が終了した後、エネルギー密度を275mJ/cm2として第2回目のレーザー照射を全面に行う。走査方法は第1回目のレーザー照射と同じで8mm□の正方形の照射領域をY方向とX方向に4mmずらせて走査する。この二段階レーザー照射により基板全体がa−Siからpoly−Siへと均一に結晶化される。本実施例1では光学エネルギー又は電磁波エネルギーとしてXeClエキシマ・レーザーを用いたが、エネルギー照射時間が数十秒以内であればそのエネルギー源には囚らわれない。例えばArFエキシマ・レーザーや、XeFエキシマ・レーザー、KrFエキシマ・レーザー、YAGレーザー、炭酸ガスレーザー、Arレーザー、色素レーザー等の各種レーザー、或いはアークランプやタングステンランプ等のランプ光を照射してもよい。アークランプ照射を行う場合ランプ出力を1kW/cm2程度以上とし、照射時間を45秒程度とすることでa−Siからpoly−Siへの膜質改変が進む。この結晶化に際してもエネルギー照射時間は短時間なので、基板の熱による変形や割れは生じない。次にこのシリコン膜をパターニングし、トランジスタの能動層となるチャンネル部半導体膜103を作成した。(図1(a))
その後ECR−PECVD法やPECVD法などでゲート絶縁膜104を形成する。本実施例1ではゲート絶縁膜としてSiO2膜を用い、PECVD法で1200Åの膜厚に堆積した。(図1(b))基板をPECVD装置に設置する直前には、基板を1.67%のフッ化水素酸水溶液に20秒間浸して半導体膜表面の自然酸化膜を取り除いた。酸化膜除去から基板をPECVD装置のロードロック室に入れるまでの時間は約15分程度であった。この時間はできる限り短いことがMOS界面清浄化の視点より望まれ、最長でも30分程度以内が好ましい。
After the first laser irradiation is completed, the second laser irradiation is performed on the entire surface with an energy density of 275 mJ / cm 2 . The scanning method is the same as in the first laser irradiation, and an 8 mm square irradiation region is scanned by shifting 4 mm in the Y direction and the X direction. By this two-stage laser irradiation, the entire substrate is crystallized uniformly from a-Si to poly-Si. In the first embodiment, a XeCl excimer laser is used as optical energy or electromagnetic wave energy. However, the energy source is not restricted if the energy irradiation time is within several tens of seconds. For example, ArF excimer laser, XeF excimer laser, KrF excimer laser, YAG laser, carbon dioxide gas laser, Ar laser, dye laser, or other lasers, or lamp light such as arc lamp or tungsten lamp may be irradiated. . In the case of performing arc lamp irradiation, the film quality change from a-Si to poly-Si proceeds by setting the lamp output to about 1 kW / cm 2 or more and the irradiation time to about 45 seconds. Even during this crystallization, since the energy irradiation time is short, the substrate is not deformed or cracked by heat. Next, this silicon film was patterned to form a channel portion semiconductor film 103 which becomes an active layer of the transistor. (Fig. 1 (a))
After that, the gate insulating film 104 is formed by ECR-PECVD method or PECVD method. In Example 1, a SiO 2 film was used as the gate insulating film, and deposited to a thickness of 1200 mm by PECVD. (FIG. 1B) Immediately before the substrate was placed in the PECVD apparatus, the substrate was immersed in a 1.67% hydrofluoric acid aqueous solution for 20 seconds to remove the natural oxide film on the surface of the semiconductor film. The time from removing the oxide film to putting the substrate into the load lock chamber of the PECVD apparatus was about 15 minutes. This time is desired to be as short as possible from the viewpoint of MOS interface cleaning, and is preferably within about 30 minutes at the longest.

PECVD法では原料ガスとしてモノシラン(SiH4)と笑気ガス(N2O)を用いて基板温度300℃にて形成した。プラズマは13.56MHzのrf波により、出力900W、真空度1.50torrとの条件で立てられた。SiH4の流量は250sccmでN2Oの流量は7000sccmであった。SiO2膜の成膜速度は48.3Å/sであった。SiO2をこれらの条件で成膜する直前と直後にはシリコン膜及び形成酸化膜に酸素プラズマを照射して、MOS界面及び酸化膜の改善をおこなった。本実施例1では原料ガスとしてモノシランと笑気ガスを用いたが、これらに限らずTEOS(Si−(O−CH2−CH34)等の有機シランと酸素等の酸化性気体を用いてもよい。さらにここでは汎用性の高いPECVD装置を利用したが、無論ECR−PECVD装置によって絶縁膜を形成してもよい。どのようなCVD装置や原料ガスを用いる場合であっても、絶縁膜形成温度は350℃以下が好ましい。これはMOS界面やゲート絶縁膜の熱劣化を防ぐために重要である。同じことは以下の総ての工程に対しても適用される。ゲート絶縁膜形成後の総ての工程温度は350℃以下に押さえられねばならない。こうすることにより高性能な薄膜半導体装置を容易に、かつ安定的に製造できるからである。 In the PECVD method, it was formed at a substrate temperature of 300 ° C. using monosilane (SiH 4 ) and laughing gas (N 2 O) as source gases. The plasma was generated under the conditions of an output of 900 W and a degree of vacuum of 1.50 torr by a 13.56 MHz rf wave. The flow rate of SiH 4 was 250 sccm and the flow rate of N 2 O was 7000 sccm. The deposition rate of the SiO 2 film was 48.3 Å / s. Immediately before and immediately after depositing SiO 2 under these conditions, the silicon film and the formed oxide film were irradiated with oxygen plasma to improve the MOS interface and the oxide film. In the first embodiment, monosilane and laughing gas are used as source gases, but not limited to these, organic silane such as TEOS (Si— (O—CH 2 —CH 3 ) 4 ) and oxidizing gas such as oxygen are used. May be. Further, although a highly versatile PECVD apparatus is used here, it goes without saying that an insulating film may be formed by an ECR-PECVD apparatus. Whatever CVD apparatus or source gas is used, the insulating film formation temperature is preferably 350 ° C. or lower. This is important for preventing thermal deterioration of the MOS interface and the gate insulating film. The same applies to all the following steps. All process temperatures after the formation of the gate insulating film must be kept below 350.degree. This is because a high performance thin film semiconductor device can be manufactured easily and stably.

引き続いてゲート電極105となる薄膜をスパッター法蒸着法或いはCVD法などで堆積する。本実施例1ではゲート電極材料としてタンタル(Ta)を選択し、スパッター法で5000Å堆積した。スパッター時の基板温度は180℃でスパッターガスとしては窒素(N2)を6.7%含んだアルゴン(Ar)を用いた。アルゴン中の窒素含有量は5.0%から8.5%が最適である。こうした条件にて得られたタンタル膜の結晶構造は主としてα構造となっており、その比抵抗は40μΩcmである。従って本実施例1に於けるゲート電極のシート抵抗は0.8Ω/□である。 Subsequently, a thin film to be the gate electrode 105 is deposited by sputtering or vapor deposition. In Example 1, tantalum (Ta) was selected as the gate electrode material, and 5000 liters were deposited by sputtering. The substrate temperature during sputtering was 180 ° C., and argon (Ar) containing 6.7% nitrogen (N 2 ) was used as the sputtering gas. The optimal nitrogen content in the argon is 5.0% to 8.5%. The crystal structure of the tantalum film obtained under these conditions is mainly an α structure, and its specific resistance is 40 μΩcm. Therefore, the sheet resistance of the gate electrode in Example 1 is 0.8Ω / □.

ゲート電極となる薄膜を堆積後パターニングを行い、引き続いて真性シリコン膜にバケット型質量非分離型のイオン注入装置(イオン・ドーピング法)を用いて燐元素等の不純物イオン注入106を行い、ソース・ドレイン領域107及びチャンネル領域108を形成した。(図1(c))本実施例1ではNMOSTFTの作成を目指したため、原料ガスとしては水素中に希釈された濃度5%のホスフィン(PH3)を用い、高周波出力38W、加速電圧80kVで5×1015l/cm2の濃度に打ち込んだ。高周波出力は20Wから150W程度の適便たる値が用いられる。PMOS TFTを作成する場合は、原料ガスとして水素中に希釈された濃度5%のジボラン(B26)を用い、高周波出力を20Wから150Wとし、加速電圧60kVで5×1015l/cm2程度の濃度に打ち込む。また、CMOS TFTを作成するときはポリイミド樹脂等の適当なマスク材を用いてNMOS又はPMOSの一方を交互にマスクで覆い、上述の方法にてそれぞれのイオン注入を行う。 After depositing a thin film to be a gate electrode, patterning is performed, and subsequently, impurity ion implantation 106 of phosphorus element or the like is performed on the intrinsic silicon film using a bucket-type mass non-separation type ion implantation apparatus (ion doping method). A drain region 107 and a channel region 108 were formed. (FIG. 1 (c)) Since this Example 1 aimed to produce an NMOS TFT, phosphine (PH 3 ) having a concentration of 5% diluted in hydrogen was used as a source gas, and a high frequency output of 38 W and an acceleration voltage of 80 kV were used. A concentration of × 10 15 l / cm 2 was driven. As the high-frequency output, an appropriate value of about 20 W to 150 W is used. When making a PMOS TFT, diborane (B 2 H 6 ) with a concentration of 5% diluted in hydrogen is used as a source gas, the high frequency output is changed from 20 W to 150 W, the acceleration voltage is 60 kV, and 5 × 10 15 l / cm. Type in a density of about 2 . When a CMOS TFT is formed, one of NMOS and PMOS is alternately covered with a mask using a suitable mask material such as polyimide resin, and each ion implantation is performed by the above-described method.

次に層間絶縁膜109を5000Å堆積する。本実施例1では層間絶縁膜としてSiO2をPECVD法にて形成した。PECVD法では原料ガスとしてTEOS(Si−(O−CH2−CH34)と酸素(O2)を用いて基板温度300℃にて形成した。プラズマは13.56MHzのrf波により、出力800W、真空度8.0torrとの条件で立てられた。TEOSの流量は200sccmでO2の流量は8000sccmであった。この時SiO2膜の成膜速度は120Å/sであった。こうしたイオン注入と層間絶縁膜形成後、酸素雰囲気下300℃で1時間熱処理を施して注入イオンの活性化及び層間絶縁膜の焼き締めを行った。この熱処理温度は300℃から350℃が好ましい。 Next, 5000 μm of interlayer insulating film 109 is deposited. In Example 1, SiO 2 was formed by PECVD as an interlayer insulating film. In the PECVD method, TEOS (Si— (O—CH 2 —CH 3 ) 4 ) and oxygen (O 2 ) were used as source gases at a substrate temperature of 300 ° C. The plasma was generated by a 13.56 MHz rf wave under conditions of an output of 800 W and a degree of vacuum of 8.0 torr. The TEOS flow rate was 200 sccm and the O 2 flow rate was 8000 sccm. At this time, the deposition rate of the SiO 2 film was 120 Å / s. After such ion implantation and formation of the interlayer insulating film, heat treatment was performed at 300 ° C. for 1 hour in an oxygen atmosphere to activate the implanted ions and to burn the interlayer insulating film. The heat treatment temperature is preferably 300 ° C to 350 ° C.

その後、コンタクトホールを開け、ソース・ドレイン取り出し電極110をスパッター法などで形成し、薄膜半導体装置が完成する。(図1(d))ソース・ドレイン取り出し電極としてはインジウム錫酸化物(ITO)やアルミニウム(Al)が用いられる。これらの導電体スパッター時の基板温度は100℃から250℃程度である。   Thereafter, contact holes are opened, and source / drain extraction electrodes 110 are formed by sputtering or the like, thereby completing a thin film semiconductor device. (FIG. 1D) Indium tin oxide (ITO) or aluminum (Al) is used as a source / drain extraction electrode. The substrate temperature during sputtering of these conductors is about 100 ° C. to 250 ° C.

このようにして試作した薄膜半導体装置のトランジスタ特性を測定したところ、ソース・ドレイン電圧Vds=4V,ゲート電圧Vgs=10Vでトランジスタをオンさせた時のソース・ドレイン電流Idsをオン電流IONと定義して、95%の信頼係数でION=(23.3+1.73、−1.51)×10-6Aであった。 When the transistor characteristics of the thin film semiconductor device manufactured in this way were measured, the source / drain current Ids when the transistor was turned on with the source / drain voltage Vds = 4 V and the gate voltage Vgs = 10 V was defined as the on-current ION. Thus, ION = (23.3 + 1.73, −1.51) × 10 −6 A with a 95% reliability coefficient.

また、Vds=4V、Vgs=0Vでトランジスタをオフさせたときのオフ電流はIOFF=(1.16+0.38、−0.29)×10-12Aであった。ここで測定は温度25℃の元で、チャンネル部の長さL=10μm、幅W=10μmのトランジスタに対してなされた。飽和電流領域から求めた有効電子移動度(J.Levinson et al.J,Appl,Phys.53,1193´82)は、μ=50.92±3.26cm2/v.secであった。一方従来技術の低温プロセスpoly−Si TFTに於いてはION=(18.7+2.24、−2.09)×10-6A、IOFF=(4.85+3.88、−3.27)×10-12Aであった。このように本発明により高移動度を有し、ゲート電圧の10Vの変調に対してIdsが7桁以上も変化し、さらにばらつきが小さくきわめて優良で均一な薄膜半導体装置を工程最高温度を425℃以下で、しかも工程最高温度に維持されている期間を数時間以内とする低温工程で初めて現実化した。前述の如くレーザー結晶化の均一性は基板内、ロット間を問わず重要な課題であった。 The off-state current when the transistor was turned off at Vds = 4 V and Vgs = 0 V was IOFF = (1.16 + 0.38, −0.29) × 10 −12 A. Here, the measurement was performed at a temperature of 25 ° C. for a transistor having a channel portion length L = 10 μm and a width W = 10 μm. The effective electron mobility (J. Levinson et al. J, Appl, Phys. 53 , 1193'82) obtained from the saturation current region is μ = 50.92 ± 3.26 cm 2 / v. sec. On the other hand, in the conventional low-temperature process poly-Si TFT, ION = (18.7 + 2.24, −2.09) × 10 −6 A, IOFF = (4.85 + 3.88, −3.27) × 10 -12 A. As described above, according to the present invention, a thin film semiconductor device having a high mobility, an Ids changing by 7 digits or more with respect to a modulation of 10 V of the gate voltage, and an extremely excellent and uniform thin film semiconductor device with a small variation is 425 ° C. In the following, it was realized for the first time in a low-temperature process in which the period maintained at the maximum process temperature is within several hours. As described above, the uniformity of laser crystallization has been an important issue regardless of whether in the substrate or between lots.

然るに本発明によると、オン電流もオフ電流もそれらのばらつきを大幅に低減できている。とりわけオフ電流の均一性は従来技術に比べて著しく改善され、LCDに本発明の薄膜半導体装置を適用した場合、LCD画面全体に渡り均一な高画質が得られることとなる。   However, according to the present invention, both the on-current and the off-current can greatly reduce the variation thereof. In particular, the uniformity of off-current is remarkably improved as compared with the prior art, and when the thin film semiconductor device of the present invention is applied to an LCD, a uniform high image quality can be obtained over the entire LCD screen.

また、この均一性の改善はレーザー源の変動に対して初期シリコン膜が安定であることを意味しており、すなわちロット間の変動に対しても本発明は著しい改善をしていることになる。このように本発明によりレーザー照射等のエネルギー照射を利したシリコンの結晶化をきわめて安定的に実施できるようになった。発明者の実験によると初期シリコン膜を450℃未満の低温で、かつシリコン膜の堆積速度を2Å/min程度以上として形成したときにレーザーの変動に対して安定で、しかもECR−PECVD装置を用いずに形成したSiO2膜をゲート絶縁膜として用いても良好なトランジスタ特性を有する薄膜半導体装置が作成されることが判明した。さらにこうして得られたpoly−Si膜は後述するようにイオン・ドーピング法によるライトリー・ドープト・ドレイン(LDD)構造作成に対しても安定でその活性化も容易である。これは斯様な条件下にて形成されたa−Si膜は微細結晶子を含有しない完全な非晶質構造を有しており、かつ、a−Si膜を構成する各構成要子が大きい塊からでき上がっていることに遠因している。a−Si膜が微細結晶子を含んでいないが故、エネルギー照射に伴う結晶化は照射領域内で均一に進む。 This improvement in uniformity means that the initial silicon film is stable with respect to the variation of the laser source, that is, the present invention has made a significant improvement even with respect to the variation between lots. . As described above, according to the present invention, crystallization of silicon using energy irradiation such as laser irradiation can be performed extremely stably. According to the inventor's experiment, when the initial silicon film is formed at a low temperature of less than 450 ° C. and the deposition rate of the silicon film is set to about 2 Å / min or more, it is stable against the fluctuation of the laser, and the ECR-PECVD apparatus is used. It has been found that a thin-film semiconductor device having good transistor characteristics can be produced even if an SiO 2 film formed without using it is used as a gate insulating film. Further, the poly-Si film obtained in this way is stable and easy to activate for the formation of a lightly doped drain (LDD) structure by an ion doping method, as will be described later. This is because the a-Si film formed under such conditions has a completely amorphous structure that does not contain fine crystallites, and each component constituting the a-Si film is large. It is far from being made up of lumps. Since the a-Si film does not contain fine crystallites, crystallization accompanying energy irradiation proceeds uniformly in the irradiation region.

同時にa−Si膜が大きい塊から構成されているために、結晶化した際の各結晶粒のサイズが大きくなり、高性能な電気特性が得られる訳である。すなわち初期a−Si膜の成膜条件を最適化することで理想的なa−Si膜を得、これらを結晶化させることにより均一で高品質のpoly−Si膜が得られるのである。従来技術に則るa−Si膜はLPCVD法で堆積温度を550℃程度としたり、或いはPECVD法に於いても基板温度を400℃程度にするなどと初期a−Si膜の品質に関して何等配慮が払われていなかったために、前述の如き課題が生じていたのである。   At the same time, since the a-Si film is composed of large lumps, the size of each crystal grain when crystallized increases, and high-performance electrical characteristics can be obtained. That is, an ideal a-Si film can be obtained by optimizing the film formation conditions of the initial a-Si film, and a uniform and high-quality poly-Si film can be obtained by crystallizing these. The a-Si film conforming to the prior art has a deposition temperature of about 550 ° C. by the LPCVD method or the substrate temperature of about 400 ° C. by the PECVD method. The problem as described above was caused because it was not paid.

本発明のもう一つの要旨はpoly−Si膜形成後の工程温度を350℃以下に押さえることにある。これによりMOS界面や絶縁膜質を安定化できるからである。その意味に於いて本発明は図1に示すが如き上ゲート型TFTに対して特に有効である。下ゲート型TFTの場合、ゲート絶縁膜形成後にシリコン膜が堆積され、さらにその後レーザー照射等の結晶化が行われるため、MOS界面やゲート絶縁膜の一部は必然的に1000℃近くの高温熱環境に短時間といえども晒されてしまう。この熱環境はMOS界面を粗らし、さらにはMOS界面近傍の絶縁膜の化学組成や結合状態を変えてしまう。こうした結果トランジスタ特性が悪化したり、ばらつきが大きくなるとの弊害をもたらすのである。   Another gist of the present invention is to suppress the process temperature after forming the poly-Si film to 350 ° C. or lower. This is because the MOS interface and the insulating film quality can be stabilized. In that sense, the present invention is particularly effective for an upper gate type TFT as shown in FIG. In the case of the lower gate type TFT, a silicon film is deposited after the gate insulating film is formed, and further crystallization such as laser irradiation is performed. It is exposed to the environment even for a short time. This thermal environment roughens the MOS interface and further changes the chemical composition and bonding state of the insulating film near the MOS interface. As a result, the transistor characteristics are deteriorated and the variation becomes large.

(実施例2)
本願発明の別の実施例を矢張り図1(a)〜(d)を用いて説明する。
本実施例2では基板101として300mm×300mmの無アルカリガラス(日本電気硝子社OA−2)と300mm×300mmの結晶化ガラス((株)オハラTRC−5)を用いた。OA−2の歪点は650℃程度であり、TRC−5は結晶化ガラスであるため歪点は定義できないが、700℃程度までの温度ならば全く基板の変形や歪みは認められないため、実質的な歪点は700℃程度以上と言える。まず基板101上にPECVD法で下地保護膜となる酸化硅素膜102を形成した。酸化硅素膜の形成条件は実施例1のゲート絶縁膜形成条件と同一である。酸化硅素膜の膜厚は300nmであり、その表面粗さは中心線平均粗さで0.98nmである。実施例1のゲート絶縁膜と同様に酸化膜形成の直前と直後に酸素プラズマを其々15秒間照射した。
(Example 2)
Another embodiment of the present invention will be described with reference to FIGS. 1 (a) to 1 (d).
In Example 2, 300 mm × 300 mm non-alkali glass (Nippon Electric Glass Co., Ltd. OA-2) and 300 mm × 300 mm crystallized glass (Ohara TRC-5) were used as the substrate 101. The strain point of OA-2 is about 650 ° C., and since TRC-5 is a crystallized glass, the strain point cannot be defined, but if the temperature is up to about 700 ° C., no deformation or distortion of the substrate is recognized at all. The substantial strain point can be said to be about 700 ° C. or higher. First, a silicon oxide film 102 serving as a base protective film was formed on the substrate 101 by PECVD. The conditions for forming the silicon oxide film are the same as those for forming the gate insulating film in the first embodiment. The film thickness of the silicon oxide film is 300 nm, and the surface roughness is 0.98 nm in terms of centerline average roughness. Similar to the gate insulating film of Example 1, oxygen plasma was irradiated for 15 seconds immediately before and immediately after the oxide film formation.

次に後に薄膜半導体装置の能動層と化す真性シリコン膜を500Å程度堆積した。真性シリコン膜は実施例1と同様(2−3)項で説明した高真空型LPCVD装置にて、原料ガスであるジシラン(Si26)を400sccm流し堆積温度425℃、圧力320mtorrで堆積した。堆積速度は1.30nm/minである。35枚のOA−2基板と35枚のTRC−5基板は其々一枚ずつの二枚一組として、TRC−5基板を下側にし(TRC−5基板の表面が下向き)、OA−2基板を上側(OA−2基板の表面が上向き)として裏面同士を合わせて、基板間隔10mmで250℃に保たれた成膜室に設置された。成膜室内で半導体膜が成膜される部分の面積は88262cm2となり、単位面積当たりのジシラン流量は4.53×10-3sccm/cm2である。基板設置後挿入温度の250℃から堆積温度の425℃まで一時間費やして昇温し、425℃で熱平行状態が得られた後シリコン膜を40分間堆積した。成膜中の圧力はLPCVD装置の圧力調整器により320mtorrに保たれた。このように堆積されたシリコン膜の膜厚は52.4nmであった。 Next, an intrinsic silicon film, which later becomes an active layer of the thin film semiconductor device, was deposited by about 500 mm. The intrinsic silicon film was deposited at a deposition temperature of 425 ° C. and a pressure of 320 mtorr by flowing 400 sccm of disilane (Si 2 H 6 ) as a source gas in the high vacuum type LPCVD apparatus described in the section (2-3) as in Example 1. . The deposition rate is 1.30 nm / min. The 35 OA-2 substrates and 35 TRC-5 substrates are in pairs, each with the TRC-5 substrate facing down (the surface of the TRC-5 substrate faces down), and OA-2 The substrates were placed on the upper side (the surface of the OA-2 substrate faced up), and the back surfaces were put together and placed in a film formation chamber maintained at 250 ° C. with a substrate interval of 10 mm. The area of the portion where the semiconductor film is formed in the deposition chamber is 88262 cm 2 , and the disilane flow rate per unit area is 4.53 × 10 −3 sccm / cm 2 . After the substrate was placed, the temperature was raised from 250 ° C. as the insertion temperature to 425 ° C. as the deposition temperature, and after a heat parallel state was obtained at 425 ° C., a silicon film was deposited for 40 minutes. The pressure during film formation was maintained at 320 mtorr by the pressure regulator of the LPCVD apparatus. The thickness of the silicon film thus deposited was 52.4 nm.

次にこのa−Si膜に光学エネルギー又は電磁波エネルギーを短時間照射してa−Siを熔融結晶化し、多結晶シリコン(poly−Si)へと改質する。本実施例2でもキセノン・クロライド(XeCl)のエキシマ・レーザー(波長308nm)を照射した。レーザー照射直前には基板を1.67%のフッ化水素酸水溶液に20秒間浸して半導体膜表面の自然酸化膜を取り除いた。酸化膜除去からレーザー照射までの時間は約20分であった。半導体膜の結晶化が終了した後、以下実施例1と全く同じ工程で低温プロセスによるpoly−Si TFTを製造した。   Next, the a-Si film is irradiated with optical energy or electromagnetic wave energy for a short period of time to melt and crystallize a-Si to be modified into polycrystalline silicon (poly-Si). In Example 2 as well, xenon chloride (XeCl) excimer laser (wavelength: 308 nm) was irradiated. Immediately before laser irradiation, the substrate was immersed in a 1.67% hydrofluoric acid aqueous solution for 20 seconds to remove the natural oxide film on the surface of the semiconductor film. The time from removal of the oxide film to laser irradiation was about 20 minutes. After the crystallization of the semiconductor film was completed, a poly-Si TFT was manufactured by a low-temperature process in exactly the same steps as in Example 1 below.

このようにして試作した薄膜半導体装置のトランジスタ特性を測定したところ、オン電流は95%の信頼係数でION=(41.9+2.60、−2.25)×10-6Aであった。また、オフ電流はIOFF=(6.44+2.11、−1.16)×10-13Aであった。ここで測定条件は実施例1と同じである。有効電子移動度はμ=90.13±4.61cm2/v.secであり、きわめて優良な薄膜半導体装置が簡単な工程で、しかも安定的に製造された。 When the transistor characteristics of the thin film semiconductor device thus fabricated were measured, the on-current was ION = (41.9 + 2.60, −2.25) × 10 −6 A with a 95% reliability coefficient. The off-state current was IOFF = (6.44 + 2.11, −1.16) × 10 −13 A. Here, the measurement conditions are the same as in Example 1. The effective electron mobility is μ = 90.13 ± 4.61 cm 2 / v. A very good thin film semiconductor device was manufactured in a simple process and stably.

(実施例3)
実施例1に詳述した方法にてpoly−Si膜を形成した後、このpoly−Si膜をパターニングせずに実施例1に詳述したゲート絶縁膜に相当するSiO2膜を堆積し、さらに実施例1に詳述したイオン・ドーピング法にてpoly−Si膜にPH3等の不純物イオンを注入した。poly−Si膜やSiO2膜の膜厚及び成膜条件は実施例1と全く同一である。不純物イオン注入条件も注入量を3×1013cm-2とした他は実施例1のイオン注入と同じである。本実施例3は実施例1にて説明したTFTでLDD領域を作成していることに相当している。
(Example 3)
After forming a poly-Si film by the method described in detail in Example 1, a SiO 2 film corresponding to the gate insulating film described in detail in Example 1 is deposited without patterning the poly-Si film, Impurity ions such as PH 3 were implanted into the poly-Si film by the ion doping method described in detail in Example 1. The film thickness and film forming conditions of the poly-Si film and the SiO 2 film are exactly the same as those in the first embodiment. Impurity ion implantation conditions are the same as those in Example 1 except that the implantation amount is set to 3 × 10 13 cm −2 . The third embodiment corresponds to creating an LDD region with the TFT described in the first embodiment.

燐イオン注入後、矢張り実施例1と同様に酸素中にて300℃で一時間の熱処理を施した。その後絶縁膜を剥離して、燐イオンを含有したn型poly−Si膜のシート抵抗を測定した結果、基板の周辺部7mmを除いた221mm□の正方形領域内でシート抵抗値は95%の信頼係数で(14±2.6)kΩ/□であった。従来はSSDM´93(solld state Devices and Materials 1993)p.437に記載されているように水素注入をするなどの特殊工程を付加せねば、活性化ができなかった。しかもそのときのシート抵抗値は50kΩ以上と高く、そのばらつきも10kΩ以上あった。これに対して本発明ではイオン・ドーピング法で簡単に低抵抗なLDD領域を作成でき、そのばらつきも従来の四分の一以下とし得るのである。   After phosphorus ion implantation, heat treatment was performed at 300 ° C. for one hour in oxygen in the same manner as in Example 1 in which the arrows were formed. Thereafter, the insulating film was peeled off, and the sheet resistance of the n-type poly-Si film containing phosphorus ions was measured. As a result, the sheet resistance value was 95% reliable within a 221 mm square area excluding the peripheral portion of the substrate 7 mm. The coefficient was (14 ± 2.6) kΩ / □. Conventionally, SSDM'93 (sold state Devices and Materials 1993) p. Activation could not be performed without adding a special process such as hydrogen injection as described in 437. Moreover, the sheet resistance at that time was as high as 50 kΩ or more, and the variation was 10 kΩ or more. On the other hand, in the present invention, an LDD region having a low resistance can be easily formed by an ion doping method, and the variation can be reduced to a quarter or less of the conventional one.

(実施例4)
本実施例4では13.56MHzのrf波を用いたPECVD法で下地保護膜と半導体膜を連続成膜し、その後結晶化を施して薄膜半導体装置を作成する。
Example 4
In Example 4, a base protective film and a semiconductor film are continuously formed by PECVD using 13.56 MHz rf waves, and then crystallized to produce a thin film semiconductor device.

基板101は360mm×465mm×0.7mmの無アルカリガラスを用いた。ガラス基板をPECVD装置に設置する前にこの基板の一枚前に成膜された薄膜を成膜室から取り除く。すなわち成膜室の洗浄を15秒間行う。洗浄条件はrf出力1600W(0.8W/cm2)、電極間距離40mm、NF3流量3200sccm、アルゴン流量800sccm、圧力1.0torrである。次に真空引きを15秒間施した後に成膜室にバッシベーション膜として窒化硅素膜を15秒間堆積する。堆積条件はrf出力300W(0.15W/cm2)、電極間距離40mm、圧力1.2torr、窒素流量3500sccm、アンモニア流量500sccm、モノシラン流量100sccmである。真空引きを15秒間施した後に基板を成膜室に設置する。 The substrate 101 was made of alkali-free glass having a size of 360 mm × 465 mm × 0.7 mm. Before the glass substrate is placed in the PECVD apparatus, the thin film formed on the front side of the substrate is removed from the deposition chamber. That is, the film forming chamber is cleaned for 15 seconds. The cleaning conditions were an rf output of 1600 W (0.8 W / cm 2 ), an interelectrode distance of 40 mm, an NF 3 flow rate of 3200 sccm, an argon flow rate of 800 sccm, and a pressure of 1.0 torr. Next, after vacuuming for 15 seconds, a silicon nitride film is deposited for 15 seconds as a passivation film in the film formation chamber. Deposition conditions are an rf output of 300 W (0.15 W / cm 2 ), a distance between electrodes of 40 mm, a pressure of 1.2 torr, a nitrogen flow rate of 3500 sccm, an ammonia flow rate of 500 sccm, and a monosilane flow rate of 100 sccm. After evacuation for 15 seconds, the substrate is placed in the film formation chamber.

ロードロック室に準備されていた基板が成膜室に設置されるまでの時間は約10秒間である。次の下地保護膜堆積前に安定化期間を30秒間設ける。安定化期間はプラズマを立てぬことを除いて全てのプロセス・パラメーターは下地保護膜の堆積条件と同一である。下地保護膜から半導体膜成膜に掛けて下部平板電極温度は360℃で基板表面温度は340℃程度である。安定化期間終了後、下地保護膜を堆積する。下地保護膜は窒化硅素膜と酸化硅素膜を積層する。まず窒化硅素膜をrf出力800W、電極間距離25mm、圧力1.2torr、窒化流量3500sccm、アンモニア流量500sccm、モノシラン100sccmで30秒間堆積する。   The time until the substrate prepared in the load lock chamber is installed in the film formation chamber is about 10 seconds. A stabilization period is provided for 30 seconds before the next base protective film deposition. All the process parameters are the same as the deposition conditions of the underlying protective film except that no plasma is generated during the stabilization period. From the base protective film to the semiconductor film formation, the lower plate electrode temperature is 360 ° C. and the substrate surface temperature is about 340 ° C. After the stabilization period, a base protective film is deposited. As the base protective film, a silicon nitride film and a silicon oxide film are laminated. First, a silicon nitride film is deposited for 30 seconds at an rf output of 800 W, an electrode distance of 25 mm, a pressure of 1.2 torr, a nitriding flow rate of 3500 sccm, an ammonia flow rate of 500 sccm, and monosilane of 100 sccm.

続いて酸化硅素膜をrf出力900W、電極間距離25mm、圧力1.5torr、モノシラン流量250sccm、N2O流量7000sccmで30秒間堆積した。窒化後と酸化膜の膜厚は其々およそ150nmで計300nm程度の下地保護膜が形成される。酸化膜形成に連続して酸素プラズマを20秒間照射する。酸素プラズマ照射条件はrf波出力900W(0.45W/cm2)、電極間距離12mm、圧力0.65torr、酸素流量3000sccmである。真空引きを15秒間行った後に水素プラズマを20秒間照射する。水素プラズマ条件はrf出力100W(0.05W/cm2)、電極間距離25mm、圧力0.5torr、水素流量1400sccmである。水素プラズマに連続して半導体膜を60秒間堆積する。堆積条件はrf出力600W(0.3W/cm2)、電極間距離35mm、圧力1.5torr、アルゴン流量14SLM、モノシラン流量200sccmである。 Subsequently, a silicon oxide film was deposited for 30 seconds at an rf output of 900 W, a distance between electrodes of 25 mm, a pressure of 1.5 torr, a monosilane flow rate of 250 sccm, and an N 2 O flow rate of 7000 sccm. After nitriding and the thickness of the oxide film are approximately 150 nm, a base protective film of about 300 nm in total is formed. Oxygen plasma is irradiated for 20 seconds continuously after forming the oxide film. The oxygen plasma irradiation conditions are an rf wave output of 900 W (0.45 W / cm 2 ), a distance between electrodes of 12 mm, a pressure of 0.65 torr, and an oxygen flow rate of 3000 sccm. After evacuation for 15 seconds, hydrogen plasma is irradiated for 20 seconds. The hydrogen plasma conditions are an rf output of 100 W (0.05 W / cm 2 ), a distance between electrodes of 25 mm, a pressure of 0.5 torr, and a hydrogen flow rate of 1400 sccm. A semiconductor film is deposited for 60 seconds continuously with hydrogen plasma. Deposition conditions are an rf output of 600 W (0.3 W / cm 2 ), a distance between electrodes of 35 mm, a pressure of 1.5 torr, an argon flow rate of 14 SLM, and a monosilane flow rate of 200 sccm.

これにより略50nmの非晶質シリコン膜が堆積される。半導体膜堆積後15秒間真空引きを行い、水素プラズマを20秒間照射する。この水素プラズマ条件は半導体膜堆積前の水素プラズマ条件と同一である。次に真空引きを15秒間行った後、酸素プラズマを20秒間照射する。酸素プラズマ条件は電極間距離を45mmとしたことを除いて下地保護膜後の酸素プラズマ条件に同一である。最後に15秒間の真空引きを行った後に約10秒間で基板を成膜室より取り出す。この工程によるとタクト時間6分10秒で下地保護膜と半導体膜の連続成膜が可能となる。   As a result, an amorphous silicon film of approximately 50 nm is deposited. A vacuum is drawn for 15 seconds after the semiconductor film is deposited, and hydrogen plasma is irradiated for 20 seconds. The hydrogen plasma conditions are the same as the hydrogen plasma conditions before the semiconductor film deposition. Next, after vacuuming for 15 seconds, oxygen plasma is irradiated for 20 seconds. The oxygen plasma conditions are the same as the oxygen plasma conditions after the base protective film except that the distance between the electrodes is 45 mm. Finally, after evacuating for 15 seconds, the substrate is taken out of the film formation chamber in about 10 seconds. According to this process, the base protective film and the semiconductor film can be continuously formed in a tact time of 6 minutes and 10 seconds.

この後は実施例2と全く同じ工程で薄膜半導体装置を作成した。
このようにして試作した薄膜半導体装置のトランジスタ特性を測定したところ、95%の信頼係数でオン電流はION=(19.6+1.54、−1.49)×10-6Aであり、オフ電流はIOFF=(7.23+2.76、−2.72)×10-13Aであった。また、有効電子移動度はμ=36.83±2.35cm2/v.secであった。測定条件は実施例1に準じている。
Thereafter, a thin film semiconductor device was formed by the same process as in Example 2.
When the transistor characteristics of the thin film semiconductor device manufactured in this way were measured, the ON current was ION = (19.6 + 1.54, −1.49) × 10 −6 A with a 95% reliability coefficient, and the off current Was IOFF = (7.23 + 2.76, -2.72) × 10 −13 A. The effective electron mobility is μ = 36.83 ± 2.35 cm 2 / v. sec. The measurement conditions are in accordance with Example 1.

(実施例5)
次に(2−11)項で説明して来たPECVD装置を用いて、レーザー照射等の結晶化を必要としない結晶性半導体膜の350℃程度以下の低温堆積方法及びそれを用いた薄膜半導体装置の製造方法とその特徴を詳述する。基板は(2−1)の項で述べた方法で準備される。半導体膜及び原料ガスは(2−2)の項で述べた物が総て適用可能だが、ここでは一例としてシリコン膜を取り上げ、原料気体としてはモノシラン(SiH4)を用いる。
本実施例5では基板101として360mm×465mm×1.1mmの無アルカリガラス(日本電気硝子社OA−2)を用い、下地保護膜はAPCVD法でSiH4とO2を原料ガスとして2000ÅのSiO2膜を堆積した。基板温度は300℃であった。
次に薄膜半導体装置の能動層と化す真性シリコン膜を750Å程度堆積した。
(Example 5)
Next, using the PECVD apparatus described in the section (2-11), a low temperature deposition method of about 350 ° C. or lower for a crystalline semiconductor film that does not require crystallization such as laser irradiation, and a thin film semiconductor using the same The method of manufacturing the device and its features will be described in detail. The substrate is prepared by the method described in the section (2-1). As the semiconductor film and the source gas, all the materials described in the section (2-2) are applicable, but here, a silicon film is taken as an example, and monosilane (SiH 4 ) is used as a source gas.
In the fifth embodiment, 360 mm × 465 mm × 1.1 mm non-alkali glass (Nippon Electric Glass Co., Ltd. OA-2) is used as the substrate 101, and the base protective film is 2000 kg of SiOH using SiH 4 and O 2 as source gases by the APCVD method. Two films were deposited. The substrate temperature was 300 ° C.
Next, an intrinsic silicon film to be an active layer of the thin film semiconductor device was deposited by about 750 mm.

真性シリコン膜は前項(2−11)にて記述したVHS−PECVD装置にて、原料ガスであるモノシラン(SiH4)を50sccm流し追加気体として希ガス族元素の一種であるアルゴン(Ar)を4800sccm流して堆積した。VHS波出力は715W、反応室内圧力0.8torr、平行平板電極間距離35.0mm、下部平板電極温度400℃、基板表面温度340℃の成膜条件であった。 Intrinsic silicon film is supplied with 50 sccm of monosilane (SiH 4 ) as a source gas and 4800 sccm of argon (Ar), which is a kind of rare gas group element, as an additional gas in the VHS-PECVD apparatus described in (2-11) above. Flowed and deposited. The VHS wave output was 715 W, the reaction chamber pressure was 0.8 torr, the parallel plate electrode distance was 35.0 mm, the lower plate electrode temperature was 400 ° C., and the substrate surface temperature was 340 ° C.

こうして得られた半導体膜は高純度のシリコン膜で、堆積直後の状態(As−deposited状態)で多結晶状態となっている。多波長分散型分光エリプソメトリーにて結晶化率を測定したところ、結晶化率は78%の値を示した。通常分光エリプソメトリーにて得られる結晶化率が30%未満であれば非晶質状態(amorphous状態)であり、70%以上であれば多結晶状態(poly−crystalline状態)、30%から70%の間であれば混晶状態(mixed状態)と考えられる。従って得られた膜はAs−deposited状態で明らかに多結晶状態にある。実際ラマン分光法でも結晶状態を示す520cm-1付近の波数域に鋭いラマン・シフトを検出し、さらにX線回折法では{220}方向に比較的強く配向していることが確認された。 The semiconductor film thus obtained is a high-purity silicon film and is in a polycrystalline state immediately after deposition (As-deposited state). When the crystallization rate was measured by multiwavelength dispersion type spectroscopic ellipsometry, the crystallization rate showed a value of 78%. If the crystallinity obtained by spectroscopic ellipsometry is less than 30%, it is in an amorphous state (amorphous state), and if it is 70% or more, it is in a polycrystalline state (poly-crystalline state), 30% to 70%. If it is between, it is considered to be a mixed crystal state (mixed state). Therefore, the obtained film is clearly in a polycrystalline state in the As-deposited state. In fact, a sharp Raman shift was detected in the wave number region near 520 cm −1, which shows the crystalline state, also by Raman spectroscopy, and it was confirmed that the X-ray diffraction method was relatively strongly oriented in the {220} direction.

次にこのシリコン膜をパターニングし、トランジスタの能動層となるチャンネル部半導体膜103を作成した(図1(a))。以下実施例1に詳述した薄膜半導体装置の製造方法と全く同じ方法でゲート絶縁膜形成(図1(b))、ゲート電極形成、イオン注入によるソース・ドレイン領域及びチャンネル形成(図1(c))、層間絶縁膜形成、注入イオンの活性化及び層間絶縁膜の焼き締めの熱処理、コンタクト・ホール開穴とソース・ドレイン取り出し電極形成を経て、薄膜半導体装置は完成する(図1(d))。従って本実施例5では、半導体膜形成という第1工程以後の工程最高温度は300℃である。
ゲート絶縁膜形成工程や注入イオンの活性化及び層間絶縁膜の焼き締めの熱処理工程の温度も高くとも350℃以下でなければならない。換言すれば(2−10)で詳述したように、半導体膜形成という第1工程以後の工程最高温度が350℃以下であることが優良な薄膜半導体装置を大面積に均一、かつ安定的に製造する上で必要不可欠となる。
Next, this silicon film was patterned to form a channel portion semiconductor film 103 which becomes an active layer of the transistor (FIG. 1A). Hereinafter, gate insulating film formation (FIG. 1B), gate electrode formation, source / drain regions and channel formation by ion implantation are performed in exactly the same manner as the manufacturing method of the thin film semiconductor device described in detail in Example 1 (FIG. 1C )), Through the formation of the interlayer insulating film, the activation of the implanted ions and the annealing of the interlayer insulating film, and the formation of the contact hole opening and the source / drain extraction electrode, the thin film semiconductor device is completed (FIG. 1D). ). Therefore, in Example 5, the maximum process temperature after the first process of forming the semiconductor film is 300 ° C.
The temperature of the heat treatment process for forming the gate insulating film and activating the implanted ions and baking the interlayer insulating film must be at most 350 ° C. or less. In other words, as described in detail in (2-10), a thin film semiconductor device excellent in that the process maximum temperature after the first process of forming a semiconductor film is 350 ° C. or less can be uniformly and stably in a large area. Indispensable for manufacturing.

このようにして試作した薄膜半導体装置のトランジスタ特性を測定したところ、ソース・ドレイン電圧Vds=4V,ゲート電圧Vgs=10Vでトランジスタをオンさせたときのソース・ドレイン電流Idsをオン電流IONと定義して、95%の信頼係数でION=(1.22+0.11、−0.10)×10-6Aであった。また、Vds=4V、Vgs=0Vでトランジスタをオフさせたときのオフ電流はIOFF=(1.18+0.35、−0.30)×10-13Aであった。ここで測定は温度25℃の元で、チャンネル部の長さL=10μm、幅W=10μmのトランジスタに対してなされた。飽和電流領域から求めた有効電子移動度(J.Levinson et al.J,Appl,Phys.53,1193´82)は、μ=3.41±0.22cm2/v.secであった。 When the transistor characteristics of the thin film semiconductor device fabricated in this way were measured, the source / drain current Ids when the transistor was turned on with the source / drain voltage Vds = 4V and the gate voltage Vgs = 10V was defined as the on-current ION. Thus, ION = (1.22 + 0.11, −0.10) × 10 −6 A with a 95% reliability coefficient. The off-state current when the transistor was turned off at Vds = 4 V and Vgs = 0 V was IOFF = (1.18 + 0.35, −0.30) × 10 −13 A. Here, the measurement was performed at a temperature of 25 ° C. for a transistor having a channel portion length L = 10 μm and a width W = 10 μm. The effective electron mobility (J. Levinson et al. J, Appl, Phys. 53 , 1193'82) determined from the saturation current region is μ = 3.41 ± 0.22 cm 2 / v. sec.

本実施例5の工程最高温度はVHS−PECVD装置で半導体膜を成膜するときの下部平板電極温度の400℃であり、そのときの基板表面温度は340℃であった。この例が示すようにきわめて低い工程温度で、レーザー照射等の結晶化を施す必要もない簡便な製造方法にて結晶性薄膜半導体装置に一種であるpoly−Si TFTを作成することに成功した。オン電流や移動度の値はレーザー照射を用いた実施例1には遠く及ばない物の、従来400℃程度の工程最高温度で製造しているa−Si TFTに比べると4倍から10倍近く高い値となっている。   The maximum process temperature in Example 5 was 400 ° C. of the lower plate electrode temperature when the semiconductor film was formed by the VHS-PECVD apparatus, and the substrate surface temperature at that time was 340 ° C. As shown in this example, a poly-Si TFT, which is a kind of crystalline thin-film semiconductor device, has been successfully produced by a simple manufacturing method that does not require crystallization such as laser irradiation at an extremely low process temperature. The values of on-current and mobility are not far from those of Example 1 using laser irradiation, but are nearly 4 to 10 times that of an a-Si TFT which is conventionally manufactured at a process maximum temperature of about 400 ° C. High value.

また、本実施例5ではゲート電極をマスクにイオン注入法にてソース・ドレイン領域を形成している。しかも300℃から350℃との低温で注入イオンの活性化を行っているため、ソース・ドレイン領域からチャンネル領域への注入イオンは実質的に全く拡散していない。そのためゲート電極とソース・ドレイン領域の重なりはイオン注入時の横方向の飛程偏差で定まり、その値は数百Å以下となる。すなわち、ゲート電極端とソース・ドレイン端がきわめてよく一致するいわゆるセルフ・アライン構造となっている。それ故ソース・ゲート間及びドレイン・ゲート間の寄生容量がa−Si TFTに比べてきわめて小さくなっている。これら二つの事実により、本発明の薄膜半導体装置をアクティブ・マトリクス型液晶表示装置(LCD)の画素スイッチング素子として用いた場合、従来a−Si TFTでは達成不能であった高精細LCD(画素数が多いLCD)や、明るいLCD(付加容量を減らした、又はなくした開口率の高いLCD)或いは高集積LCD(単位面積あたりの画素数が多いLCD)が容易に実現できるのである。   In the fifth embodiment, source / drain regions are formed by ion implantation using the gate electrode as a mask. Moreover, since the implanted ions are activated at a low temperature of 300 ° C. to 350 ° C., the implanted ions from the source / drain regions to the channel region are substantially not diffused at all. Therefore, the overlap between the gate electrode and the source / drain regions is determined by the lateral range deviation during ion implantation, and the value is several hundreds of m or less. That is, a so-called self-aligned structure in which the gate electrode end and the source / drain end are in good agreement. Therefore, the parasitic capacitance between the source and gate and between the drain and gate is extremely small as compared with the a-Si TFT. Due to these two facts, when the thin film semiconductor device of the present invention is used as a pixel switching element of an active matrix type liquid crystal display device (LCD), a high-definition LCD (having the number of pixels which cannot be achieved by conventional a-Si TFTs). Many LCDs), bright LCDs (LCDs with a high aperture ratio with reduced or eliminated additional capacitance) or highly integrated LCDs (LCDs with a large number of pixels per unit area) can be easily realized.

(実施例6)
次にマイクロ波PECVD装置を用いて、レーザー照射等の結晶化を必要としない結晶性半導体膜の350℃程度以下の低温堆積方法及びそれを用いた薄膜半導体装置の製造方法とその特徴を詳述する。基板は(2−1)項で述べた方法で準備される。半導体膜及び原料ガスは(2−2)項で述べた物が総て適用可能だが、ここでは一例としてシリコン膜を取り上げ、原料気体としてはモノシラン(SiH4)を用いる。
(Example 6)
Next, a microwave PECVD apparatus is used to describe in detail the low-temperature deposition method of a crystalline semiconductor film of about 350 ° C. or lower, which does not require crystallization such as laser irradiation, and the manufacturing method and characteristics of a thin film semiconductor device using the same. To do. The substrate is prepared by the method described in the section (2-1). As the semiconductor film and the source gas, all the materials described in the section (2-2) can be applied. Here, a silicon film is taken as an example, and monosilane (SiH 4 ) is used as a source gas.

本実施例6では基板101として300mm×300mm×1.1mmの無アルカリガラス(日本電気硝子社OA−2)を用い、下地保護膜及び半導体膜はマイクロ波PECVD法装置の一種であるECR−PECVD装置にて基板温度100℃で連続成膜した。マイクロ波は2.45GHzを用いた。下地保護膜である酸化硅素膜はSiH4とO2を原料ガスとして200nmに堆積した。酸素流量は100sccm,シラン流量60sccm,マイクロ波出力2250w、反応室内圧力2.35mtorr,堆積速度8.0nm/sが下地保護膜の堆積条件であった。酸化硅素膜形成後成膜室へのシラン供給を止め、連続して酸素プラズマ照射を10秒間施した。 In Example 6, non-alkali glass (Nippon Electric Glass Co., Ltd. OA-2) of 300 mm × 300 mm × 1.1 mm is used as the substrate 101, and the base protective film and the semiconductor film are ECR-PECVD which is a kind of microwave PECVD method apparatus. Continuous film formation was performed at a substrate temperature of 100 ° C. using an apparatus. The microwave used was 2.45 GHz. The silicon oxide film, which is a base protective film, was deposited to 200 nm using SiH 4 and O 2 as source gases. The deposition conditions for the undercoat protective film were an oxygen flow rate of 100 sccm, a silane flow rate of 60 sccm, a microwave output of 2250 w, a reaction chamber pressure of 2.35 mtorr, and a deposition rate of 8.0 nm / s. After the formation of the silicon oxide film, supply of silane to the film formation chamber was stopped, and oxygen plasma irradiation was continuously performed for 10 seconds.

酸素プラズマ照射中の圧力は1.85mtorrであった。次に真空引きを10秒間行った後、水素流量100sccm、マイクロ波出力2000w、反応室内圧力1.97mtorrの条件下にて下地保護膜に水素プラズマを照射した。さらに真空を破らずに連続して薄膜半導体装置の能動層と化す真性シリコン膜を75nm程度堆積した。原料ガスであるモノシラン(SiH4)を25sccm流し追加気体として希ガス族元素の一種であるアルゴン(Ar)を825sccm流して堆積した。マイクロ波出力は2250Wで反応室内圧力13.0mtorr、堆積速度2.5nm/sの成膜条件であった。半導体膜堆積後半導体膜表面を保護することと半導体膜中の未反応対を終端化する目的で再び水素プラズマ照射と酸素プラズマ照射を連続して行った。水素プラズマと酸素プラズマの照射条件は下地保護膜に対してなされた物と同一である。こうして得られた半導体膜は高純度のシリコン膜で、堆積直後の状態(As−deposited状態)で多結晶状態となっている。多波長分散型分光エリプソメトリーにて結晶化率を測定したところ、結晶化率は85%の値を示した。 The pressure during oxygen plasma irradiation was 1.85 mtorr. Next, after evacuating for 10 seconds, the undercoat protective film was irradiated with hydrogen plasma under the conditions of a hydrogen flow rate of 100 sccm, a microwave output of 2000 w, and a reaction chamber pressure of 1.97 mtorr. Further, an intrinsic silicon film which becomes an active layer of a thin film semiconductor device was continuously deposited to about 75 nm without breaking the vacuum. A source gas, monosilane (SiH 4 ), was flowed at 25 sccm, and an additional gas, argon (Ar), a kind of rare gas group element, was flowed at 825 sccm for deposition. The microwave output was 2250 W, the reaction chamber pressure was 13.0 mtorr, and the deposition rate was 2.5 nm / s. After the semiconductor film was deposited, hydrogen plasma irradiation and oxygen plasma irradiation were again performed again for the purpose of protecting the surface of the semiconductor film and terminating unreacted pairs in the semiconductor film. Irradiation conditions of hydrogen plasma and oxygen plasma are the same as those applied to the base protective film. The semiconductor film thus obtained is a high-purity silicon film and is in a polycrystalline state immediately after deposition (As-deposited state). When the crystallization rate was measured by multi-wavelength dispersion type spectroscopic ellipsometry, the crystallization rate showed a value of 85%.

次にこのシリコン膜をパターニングし、トランジスタの能動層となるチャンネル部半導体膜103を作成した(図1(a))。以下実施例1に詳述した薄膜半導体装置の製造方法と全く同じ方法でゲート絶縁膜形成(図1(b))、ゲート電極形成、イオン注入によるソース・ドレイン領域及びチャンネル形成(図1(c))、層間絶縁膜形成、注入イオンの活性化及び層間絶縁膜の焼き締めの熱処理、コンタクト・ホール開穴とソース・ドレイン取り出し電極形成を経て、薄膜半導体装置は完成する(図1(d))。従って本実施例6では、全工程を通じての最高温度は300℃である。   Next, this silicon film was patterned to form a channel portion semiconductor film 103 which becomes an active layer of the transistor (FIG. 1A). Hereinafter, the gate insulating film formation (FIG. 1B), gate electrode formation, source / drain regions and channel formation by ion implantation are performed in exactly the same manner as the method of manufacturing the thin film semiconductor device described in detail in Example 1 (FIG. 1C). )), Through the formation of the interlayer insulating film, the activation of the implanted ions and the annealing of the interlayer insulating film, the formation of the contact hole opening and the source / drain extraction electrode, the thin film semiconductor device is completed (FIG. 1D). ). Therefore, in Example 6, the maximum temperature throughout the entire process is 300 ° C.

このようにして試作した薄膜半導体装置のトランジスタ特性を測定したところ、95%の信頼係数でオン電流はION=(1.71+0.13、−0.12)×10-6Aであり、オフ電流はIOFF=(1.07+0.33、−0.28)×10-13Aであった。また、有効電子移動度はμ=4.68±0.20cm2/v.secであった。測定条件は実施例1に準じている。本願発明によると特に結晶化工程を導入せずとも、全工程を300℃程度以下で行ってpoly−Si TFTを製造することができるのである。 When the transistor characteristics of the thin film semiconductor device manufactured in this way were measured, the ON current was ION = (1.71 + 0.13, −0.12) × 10 −6 A with a 95% reliability coefficient, and the off current Was IOFF = (1.07 + 0.33, −0.28) × 10 −13 A. The effective electron mobility is μ = 4.68 ± 0.20 cm 2 / v. sec. The measurement conditions are in accordance with Example 1. According to the present invention, a poly-Si TFT can be manufactured by performing all steps at about 300 ° C. or less without particularly introducing a crystallization step.

(実施例7)
本実施例ではVHS−PECVD法で得られた半導体膜にレーザー照射を施して熔融結晶化を行い、薄膜半導体装置を作成する。製造プロセスは実施例5に半導体膜が堆積された直後にレーザー照射の工程を加えた物となる。また、レーザー照射方法は実施例1に示したレーザー照射方法で、第1回目のレーザー照射エネルギー密度を130mJ/cm2に、第2回目のレーザー照射エネルギー密度を240mJ/cm2と変更した物である。
(Example 7)
In this embodiment, laser irradiation is performed on a semiconductor film obtained by the VHS-PECVD method to perform melt crystallization to produce a thin film semiconductor device. The manufacturing process is a product obtained by adding a laser irradiation step immediately after the semiconductor film is deposited in Example 5. Further, the laser irradiation method is the laser irradiation method shown in Example 1, in which the first laser irradiation energy density is changed to 130 mJ / cm 2 and the second laser irradiation energy density is changed to 240 mJ / cm 2. is there.

このようにして試作した薄膜半導体装置のトランジスタ特性を測定したところ、95%の信頼係数でオン電流はION=(22.4+1.70、−1.55)×10-6Aであり、オフ電流はIOF=(1.27+0.30、−0.26)×10-12Aであった。また、有効電子移動度はμ=47.95±3.13cm2/v.secであった。測定条件は実施例1に準じている。 When the transistor characteristics of the thin film semiconductor device manufactured in this way were measured, the ON current was ION = (22.4 + 1.70, −1.55) × 10 −6 A with a 95% reliability coefficient, and the off current Was IOF = (1.27 + 0.30, −0.26) × 10 −12 A. The effective electron mobility is μ = 47.95 ± 3.13 cm 2 / v. sec. The measurement conditions are in accordance with Example 1.

(実施例8)
本実施例ではマイクロ波−PECVD法で得られた半導体膜にレーザー照射を施して熔融結晶化を行い、薄膜半導体装置を作成する。製造プロセスは実施例6に半導体膜が堆積された直後にレーザー照射の工程を加えた物となる。また、レーザー照射方法は実施例1に示したレーザー照射方法で、第1回目のレーザー照射エネルギー密度を150mJ/cm2に、第2回目のレーザー照射エネルギー密度を270mJ/cm2と変更した物である。
(Example 8)
In this embodiment, laser irradiation is performed on a semiconductor film obtained by a microwave-PECVD method to perform melt crystallization to produce a thin film semiconductor device. The manufacturing process is a product obtained by adding a laser irradiation step to Example 6 immediately after the semiconductor film is deposited. Also, the laser irradiation method is the laser irradiation method shown in Example 1, with the first laser irradiation energy density changed to 150 mJ / cm 2 and the second laser irradiation energy density changed to 270 mJ / cm 2. is there.

このようにして試作した薄膜半導体装置のトランジスタ特性を測定したところ、95%の信頼係数でオン電流はION=(39.8+2.45、−1.57)×10-6Aであり、オフ電流はIOFF=(5.80+2.09、−1.26)×10-13Aであった。また、有効電子移動度はμ=85.63±4.38cm2/v.secであった。測定条件は実施例1に準じている。 When the transistor characteristics of the thin film semiconductor device manufactured in this way were measured, the ON current was ION = (39.8 + 2.45, −1.57) × 10 −6 A with a 95% reliability coefficient, and the off current Was IOFF = (5.80 + 2.09, −1.26) × 10 −13 A. The effective electron mobility is μ = 85.63 ± 4.38 cm 2 / v. sec. The measurement conditions are in accordance with Example 1.

(実施例9)
上記した実施例で得られた各種薄膜半導体装置を画素用TFTと駆動回路用TFTとして用いたアクティブマトリクス基板を製造した。得られたアクティブマトリクス基板を基板の一方に用いた液晶パネルを製造した。得られた液晶パネルを外部の周辺駆動回路やバックライトユニットとともに液晶表示装置のモジュールを製造したところ、TFT自体の性能が高品質であり、またその製造工程も安定しているため、表示品質の高い液晶表示装置を安定的に低コストで製造することができた。また、TFTの性能が極めて高く、必要な駆動回路をアクティブマトリクス基板上に形成できるため(ドライバ内蔵)、外部の周辺駆動回路との実装構造が単純化され、小型軽量な液晶表示装置とすることができた。
Example 9
An active matrix substrate was manufactured using the various thin film semiconductor devices obtained in the above-described embodiments as pixel TFTs and drive circuit TFTs. A liquid crystal panel using the obtained active matrix substrate as one of the substrates was manufactured. When the liquid crystal display module was manufactured together with the external peripheral drive circuit and backlight unit from the obtained liquid crystal panel, the performance of the TFT itself was high, and the manufacturing process was stable. A high liquid crystal display device could be stably manufactured at low cost. In addition, since the TFT performance is extremely high and the necessary drive circuit can be formed on the active matrix substrate (with built-in driver), the mounting structure with the external peripheral drive circuit is simplified, and a small and lightweight liquid crystal display device is obtained. I was able to.

また、そのような液晶表示装置をフルカラーのノートPCの筐体に組み込んだところ、小型軽量で表示品質のよいフルカラーのノートPCを安価に製造することができた。
産業上の利用可能性 以上のように、本発明の薄膜半導体装置の製造方法によると、安価なガラス基板の使用が可能である低温プロセスを用いて高性能な薄膜半導体装置を製造することができる。従って、本発明をアクティブ・マトリックス液晶表示装置の製造に適用した場合には、大型で高品質な液晶表示装置を容易にかつ安定的に製造することができる。また、他の電子回路の製造に適用した場合にも高品質な電子回路を容易にかつ安定的に製造することができる。
In addition, when such a liquid crystal display device was incorporated into the casing of a full-color notebook PC, a full-color notebook PC having a small size and light weight and good display quality could be manufactured at low cost.
INDUSTRIAL APPLICABILITY As described above, according to the method for manufacturing a thin film semiconductor device of the present invention, a high performance thin film semiconductor device can be manufactured using a low temperature process that allows the use of an inexpensive glass substrate. . Therefore, when the present invention is applied to the production of an active matrix liquid crystal display device, a large and high quality liquid crystal display device can be produced easily and stably. Further, even when applied to the manufacture of other electronic circuits, a high-quality electronic circuit can be easily and stably manufactured.

また、本発明の薄膜半導体装置は、安価でかつ高性能であるため、アクティブ・マトリックス液晶表示装置のアクティブマトリクス基板として最適なものとなっている。特に高い性能を要求されるドライバ内蔵のアクティブマトリクス基板として最適なものとなっている。   Further, since the thin film semiconductor device of the present invention is inexpensive and has high performance, it is optimal as an active matrix substrate for an active matrix liquid crystal display device. In particular, it is optimal as an active matrix substrate with a built-in driver that requires high performance.

また、本発明の液晶表示装置は、安価でかつ高性能であるため、フルカラーのノートPCをはじめ、各種ディスプレイとして最適なものとなっている。
また、本発明の電子機器は、安価でかつ高性能であるため、一般に広く受け入れられるであろう。
Further, since the liquid crystal display device of the present invention is inexpensive and has high performance, it is optimal for various displays including full-color notebook PCs.
In addition, the electronic device of the present invention is generally widely accepted because it is inexpensive and has high performance.

本発明の薄膜半導体装置の製造工程Manufacturing process of thin film semiconductor device of the present invention 本発明に用いたVHS−プラズマ化学気相堆積装置(VHS−PECVD装置)VHS-plasma chemical vapor deposition apparatus (VHS-PECVD apparatus) used in the present invention 本発明に用いたホット・ウォール型縦型LPCVD装置Hot wall type vertical LPCVD apparatus used in the present invention 通常のホット・ウォール型縦型LPCVD装置Normal hot wall type vertical LPCVD equipment 成膜室中の圧力Pと成膜室の排気速度Sと気体流量Qとの関係Relationship between the pressure P in the film forming chamber, the exhaust speed S of the film forming chamber, and the gas flow rate Q

符号の説明Explanation of symbols

101・・・基板
102・・・下地保護膜
103・・・半導体膜
104・・・ゲート絶縁膜
105・・・ゲート電極
106・・・不純物イオン
107・・・ソース・ドレイン領域
108・・・チャネル領域
109・・・層間絶縁膜
110・・・ソース・ドレイン取り出し電極

DESCRIPTION OF SYMBOLS 101 ... Substrate 102 ... Base protective film 103 ... Semiconductor film 104 ... Gate insulating film 105 ... Gate electrode 106 ... Impurity ion 107 ... Source / drain region 108 ... Channel Region 109 ... Interlayer insulating film 110 ... Source / drain extraction electrode

Claims (64)

少なくとも基板表面の一部に絶縁性物質である下地保護膜が設けられた基板と、該基板の下地保護膜上に形成されトランジスタの能動層をなしている半導体膜と、を有する薄膜半導体装置に於いて、 前記下地保護膜はその表面粗さが中心線平均粗さで3.0nm以下であることを特徴とする薄膜半導体装置。 A thin film semiconductor device having a substrate provided with a base protective film which is an insulating material on at least a part of the substrate surface, and a semiconductor film formed on the base protective film of the substrate and forming an active layer of a transistor The base protective film has a surface roughness of 3.0 nm or less in terms of center line average roughness. 前記下地保護膜はその表面粗さが中心線平均粗さで1.5nm以下であることを特徴とする請求の範囲第1項に記載の薄膜半導体装置。 2. The thin film semiconductor device according to claim 1, wherein the undercoat protective film has a surface roughness of 1.5 nm or less in terms of center line average roughness. 少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 表面粗さが中心線平均粗さで1.5nm以下である下地保護膜上に半導体膜を成膜する第1の工程と、該半導体膜を熔融結晶化させる第2工程と、を有する工程を有することを特徴とする薄膜半導体装置の製造方法。 In a method of manufacturing a thin film semiconductor device, a base protective film that is an insulating material is provided on at least a part of a substrate surface, a semiconductor film is further formed on the base protective film, and the semiconductor film is used as an active layer of a transistor. A step having a first step of forming a semiconductor film on a base protective film having a surface roughness of 1.5 nm or less in terms of center line average roughness, and a second step of melt crystallization of the semiconductor film A method for manufacturing a thin film semiconductor device, comprising: 少なくとも基板表面の一部に絶縁性物質である下地保護膜が設けられた基板と、該基板の下地保護膜上に形成されトランジスタの能動層をなしている半導体膜と、を有する薄膜半導体装置に於いて、 前記下地保護膜は少なくとも二種類の異なった膜が積層された積層膜であり、該二種類の異なった膜のうち最上層をなす膜が酸化硅素(SiOx、0<x≦2)膜であることを特徴とする薄膜半導体装置。 A thin film semiconductor device having a substrate provided with a base protective film which is an insulating material on at least a part of the substrate surface, and a semiconductor film formed on the base protective film of the substrate and forming an active layer of a transistor The undercoat protective film is a laminated film in which at least two different films are laminated, and the uppermost film of the two different films is silicon oxide (SiO x , 0 <x ≦ 2 A thin film semiconductor device characterized by being a film. 前記二種類の異なった膜のうち下層をなす膜が窒化硅素(Si3x、0<x≦4)膜であることを特徴とする請求の範囲第4項に記載の薄膜半導体装置。 5. The thin film semiconductor device according to claim 4, wherein the lower layer of the two different types of films is a silicon nitride (Si 3 N x , 0 <x ≦ 4) film. 前記酸化硅素膜の膜厚が100nmから500nmの間にあり、前記窒化硅素膜の膜厚が50nmから500nmの間にあることを特徴とする請求の範囲第5項に記載の薄膜半導体装置。 6. The thin film semiconductor device according to claim 5, wherein the film thickness of the silicon oxide film is between 100 nm and 500 nm, and the film thickness of the silicon nitride film is between 50 nm and 500 nm. 少なくとも基板表面の一部に絶縁性物質である下地保護膜が設けられた基板と、該基板の下地保護膜上に形成された半導体膜とゲート絶縁膜とゲート電極とを有する電界効果トランジスタと、該電界効果トランジスタの配線間の電気的絶縁性をとる層間絶縁膜と、を有する薄膜半導体装置に於いて、 前記下地保護膜の膜厚と前記ゲート絶縁膜の膜厚と前記層間絶縁膜の膜厚との和が2μm以下であることを特徴とする薄膜半導体装置。 A substrate provided with a base protective film that is an insulating material on at least a part of the substrate surface, a field effect transistor having a semiconductor film, a gate insulating film, and a gate electrode formed on the base protective film of the substrate; In a thin film semiconductor device having an interlayer insulating film that takes electrical insulation between wirings of the field effect transistor, the film thickness of the base protective film, the film thickness of the gate insulating film, and the film of the interlayer insulating film A thin film semiconductor device having a sum of thickness and 2 μm or less. 少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 一台のPECVD装置により該下地保護膜と該半導体膜とを連続的に成膜する成膜工程であって、 該PECVD装置の成膜室内に付着したる薄膜を取り除く第1工程と、 該成膜室にパッシベーション膜を成膜する第2工程と、 該成膜室内に基板を設置する第3工程と、 該基板上に下地保護膜を成膜する第4工程と、 該下地保護膜上に半導体膜を成膜する第5工程と、 該成膜室内から該基板を取り出す第6工程と、を有する成膜工程を有することを特徴とする薄膜半導体装置の製造方法。 In a method of manufacturing a thin film semiconductor device, a base protective film that is an insulating material is provided on at least a part of a substrate surface, a semiconductor film is further formed on the base protective film, and the semiconductor film is used as an active layer of a transistor. A film forming step of continuously forming the base protective film and the semiconductor film by a single PECVD apparatus, the first step removing a thin film adhering to the film forming chamber of the PECVD apparatus; A second step of depositing a passivation film in the deposition chamber; a third step of installing a substrate in the deposition chamber; a fourth step of depositing a base protection film on the substrate; A method of manufacturing a thin film semiconductor device, comprising: a film forming step including: a fifth step of forming a semiconductor film; and a sixth step of taking out the substrate from the film formation chamber. 基板面積(S)が90000mm2以上である基板の少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 複数の基板をLPCVD装置の成膜室内に設置して該半導体膜をLPCVD法により成膜する際、LPCVD装置成膜室内の基板間隔を(d(mm))としたとき、 d≧0.02×S1/2の関係式を満たす条件下にて半導体膜を成膜する工程を有することを特徴とする薄膜半導体装置の製造方法。 A base protective film which is an insulating material is provided on at least a part of the substrate surface of a substrate having a substrate area (S) of 90000 mm 2 or more, and a semiconductor film is formed over the base protective film. In a method of manufacturing a thin film semiconductor device as an active layer, when a plurality of substrates are placed in a film formation chamber of an LPCVD apparatus and the semiconductor film is formed by LPCVD, the substrate interval in the LPCVD apparatus film formation chamber is set to ( d (mm)), a method for manufacturing a thin film semiconductor device, comprising a step of forming a semiconductor film under conditions satisfying a relational expression of d ≧ 0.02 × S 1/2 . 少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上にシリコンを含有する半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 高次シラン(Sin2n+2:nは2以上の整数)を原料気体としてLPCVD法により該半導体膜を成膜し、単位面積当たりの高次シラン流量(R)が1.13×10-3sccm/cm2以上の条件下にて半導体膜を成膜する工程を有することを特徴とする薄膜半導体装置の製造方法。 Manufacturing a thin film semiconductor device in which a base protective film which is an insulating material is provided on at least a part of a substrate surface, a semiconductor film containing silicon is formed on the base protective film, and the semiconductor film is used as an active layer of a transistor In the method, the semiconductor film is formed by LPCVD using high order silane (Si n H 2n + 2 : n is an integer of 2 or more) as a source gas, and the high order silane flow rate (R) per unit area is 1. A method of manufacturing a thin film semiconductor device comprising a step of forming a semiconductor film under a condition of 1.13 × 10 −3 sccm / cm 2 or more. Rが2.27×10-3sccm/cm2以上の条件下にて半導体膜を成膜する工程を有することを特徴とする請求の範囲第10項に記載の薄膜半導体装置の製造方法。 11. The method of manufacturing a thin film semiconductor device according to claim 10, further comprising a step of forming a semiconductor film under a condition that R is 2.27 × 10 −3 sccm / cm 2 or more. 少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上にシリコンを含有する半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 堆積温度が450℃未満で高次シラン(Sin2n+2:nは2以上の整数)を原料気体の少なくとも一種として使用するLPCVD法により前記半導体膜を成膜し、その際に半導体膜の堆積速度(DR)が0.20nm/min以上の条件下にて半導体膜を成膜する工程を有することを特徴とする薄膜半導体装置の製造方法。 Manufacturing a thin film semiconductor device in which a base protective film which is an insulating material is provided on at least a part of a substrate surface, a semiconductor film containing silicon is formed on the base protective film, and the semiconductor film is used as an active layer of a transistor In the method, the semiconductor film is formed by LPCVD using a deposition temperature of less than 450 ° C. and using high-order silane (Si n H 2n + 2, n is an integer of 2 or more) as at least one kind of source gas, In that case, the manufacturing method of the thin film semiconductor device which has the process of forming a semiconductor film on the conditions whose deposition rate (DR) of a semiconductor film is 0.20 nm / min or more. DRが0.60nm/min以上の条件下にて半導体膜を成膜する工程を有することを特徴とする請求の範囲第12項に記載の薄膜半導体装置の製造方法。 13. The method of manufacturing a thin film semiconductor device according to claim 12, further comprising a step of forming a semiconductor film under a condition where DR is 0.60 nm / min or more. 少なくとも基板表面の一部に絶縁性物質である下地保護膜が設けられた基板と、該基板の下地保護膜上に形成されトランジスタの能動層をなしている半導体膜と、を有する薄膜半導体装置に於いて、 前記半導体膜は堆積温度が450℃未満のLPCVD法にて成膜された後に結晶化されることにより形成された半導体膜であって、膜厚が10nm以上140nm以下である半導体膜であることを特徴とする薄膜半導体装置。 A thin film semiconductor device having a substrate provided with a base protective film which is an insulating material on at least a part of the substrate surface, and a semiconductor film formed on the base protective film of the substrate and forming an active layer of a transistor The semiconductor film is a semiconductor film formed by being crystallized after being deposited by LPCVD with a deposition temperature of less than 450 ° C. and having a thickness of 10 nm to 140 nm. There is a thin film semiconductor device. 少なくともガラス基板表面に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 ホット・ウォール型縦型LPCVD装置により前記半導体膜を成膜し、 その際に該ホット・ウオール型縦型LPCVD装置内に異なった歪点を有する少なくとも二種類以上の複数のガラス基板を二枚一組として裏面同士を合わせて略水平に設置し、 該二枚一組のガラス基板のうち歪点の大きい方のガラス基板を下側とした状態にて半導体膜を堆積する成膜工程を有することを特徴とする薄膜半導体装置の製造方法。 In a method of manufacturing a thin film semiconductor device in which a semiconductor film is formed at least on the surface of a glass substrate, and the semiconductor film is used as an active layer of a transistor, the semiconductor film is formed by a hot wall type vertical LPCVD apparatus, In the hot wall type vertical LPCVD apparatus, at least two or more types of glass substrates having different strain points are set as two sets, and the back surfaces thereof are aligned and set substantially horizontally. A method for manufacturing a thin film semiconductor device, comprising: a film forming step of depositing a semiconductor film with a glass substrate having a larger strain point on a lower side of the glass substrate. 少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 PECVD装置により前記半導体膜を成膜し、 その際、下地保護膜に酸素プラズマを照射する第1工程と、 真空を破ることなく連続して該下地保護膜上に半導体膜を成膜する第2工程と、を有する成膜工程を有することを特徴とする薄膜半導体装置の製造方法。 In a method of manufacturing a thin film semiconductor device, a base protective film that is an insulating material is provided on at least a part of a substrate surface, a semiconductor film is further formed on the base protective film, and the semiconductor film is used as an active layer of a transistor. A first step of irradiating the base protective film with oxygen plasma, and a step of continuously forming a semiconductor film on the base protective film without breaking a vacuum. A method for manufacturing a thin film semiconductor device, comprising: a film forming step including two steps. 前記第1工程と前記第2工程との間に成膜室の真空引きを行うことを特徴とする請求の範囲第16項に記載の薄膜半導体装置の製造方法。 17. The method for manufacturing a thin film semiconductor device according to claim 16, wherein the film forming chamber is evacuated between the first step and the second step. 少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 PECVD装置により前記半導体膜を成膜し、 その際、下地保護膜に水素プラズマを照射する第1工程と、 真空を破ることなく連続して該下地保護膜上に半導体膜を成膜する第2工程と、を有する成膜工程を有することを特徴とする薄膜半導体装置の製造方法。 In a method of manufacturing a thin film semiconductor device, a base protective film that is an insulating material is provided on at least a part of a substrate surface, a semiconductor film is further formed on the base protective film, and the semiconductor film is used as an active layer of a transistor. The semiconductor film is formed by a PECVD apparatus, and at that time, the first step of irradiating the base protective film with hydrogen plasma, and the semiconductor film is continuously formed on the base protective film without breaking the vacuum. A method for manufacturing a thin film semiconductor device, comprising: a film forming step including two steps. 少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 PECVD装置により該半導体膜を成膜し、 その際、下地保護膜に酸素プラズマを照射する第1工程と、 真空を破ることなく連続して下地保護膜に水素プラズマを照射する第2工程と、 さらに真空を破ることなく連続して該下地保護膜上に半導体膜を成膜する第3工程と、を有する成膜工程を有することを特徴とする薄膜半導体装置の製造方法。 In a method of manufacturing a thin film semiconductor device, a base protective film that is an insulating material is provided on at least a part of a substrate surface, a semiconductor film is further formed on the base protective film, and the semiconductor film is used as an active layer of a transistor. A first step of depositing the semiconductor film with a PECVD apparatus, and irradiating the base protective film with oxygen plasma, and a second step of continuously irradiating the base protective film with hydrogen plasma without breaking the vacuum; And a third step of forming a semiconductor film on the base protective film continuously without breaking a vacuum, and a method of manufacturing a thin film semiconductor device, comprising: 前記第1工程と前記第2工程との間に成膜室の真空引きを行うことを特徴とする請求の範囲第19項に記載の薄膜半導体装置の製造方法。 20. The method for manufacturing a thin film semiconductor device according to claim 19, wherein the film forming chamber is evacuated between the first step and the second step. 少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜土に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 PECVD装置により前記半導体膜を成膜し、 その際、該下地保護膜土に半導体膜を成膜する第1工程と、 真空を破ることなく連続して該半導体膜に水素プラズマを照射する第2工程と、を有する成膜工程を有することを特徴とする薄膜半導体装置の製造方法。 In a method of manufacturing a thin film semiconductor device, a base protective film that is an insulating material is provided on at least a part of a substrate surface, a semiconductor film is further formed on the base protective film soil, and the semiconductor film is used as an active layer of a transistor. A first step of forming the semiconductor film by a PECVD apparatus, and forming a semiconductor film on the underlying protective film soil; and a first step of irradiating the semiconductor film with hydrogen plasma without breaking the vacuum. A method for manufacturing a thin film semiconductor device, comprising: a film forming step including two steps. 少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜土に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 PECVD装置により前記半導体膜を成膜し、 その際、該下地保護膜上に半導体膜を成膜する第1工程と、 真空を破ることなく連続して該半導体膜に酸素プラズマを照射する第2工程と、を有する成膜工程を有することを特徴とする薄膜半導体装置の製造方法。 In a method of manufacturing a thin film semiconductor device, a base protective film that is an insulating material is provided on at least a part of a substrate surface, a semiconductor film is further formed on the base protective film soil, and the semiconductor film is used as an active layer of a transistor. A first step of forming the semiconductor film by a PECVD apparatus, and forming a semiconductor film on the undercoat protective film; and a step of irradiating the semiconductor film with oxygen plasma continuously without breaking a vacuum. A method for manufacturing a thin film semiconductor device, comprising: a film forming step including two steps. 少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 PECVD装置により前記半導体膜を成膜し、 その際、該下地保護膜上に半導体膜を成膜する第1工程と、 真空を破ることなく連続して該半導体膜に水素プラズマを照射する第2工程と、 さらに真空を破ることなく連続して該半導体膜に酸素プラズマを照射する第3工程と、を有する成膜工程を有することを特徴とする薄膜半導体装置の製造方法。 In a method of manufacturing a thin film semiconductor device, a base protective film that is an insulating material is provided on at least a part of a substrate surface, a semiconductor film is further formed on the base protective film, and the semiconductor film is used as an active layer of a transistor. A first step of forming the semiconductor film by a PECVD apparatus, and forming a semiconductor film on the undercoat protective film, and a first step of irradiating the semiconductor film with hydrogen plasma continuously without breaking a vacuum. A method of manufacturing a thin film semiconductor device, comprising: a film forming step including two steps and a third step of continuously irradiating the semiconductor film with oxygen plasma without breaking a vacuum. 少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 下地保護膜上に半導体膜を成膜する第1工程と、 該半導体膜膜表面から酸化膜を除去する第2工程と、 酸化膜除去後直ちに該半導体膜を熔融結晶化させる第3工程と、を有することを特徴とする薄膜半導体装置の製造方法。 In a method of manufacturing a thin film semiconductor device, a base protective film that is an insulating material is provided on at least a part of a substrate surface, a semiconductor film is further formed on the base protective film, and the semiconductor film is used as an active layer of a transistor. A first step of forming a semiconductor film on the base protective film; a second step of removing the oxide film from the surface of the semiconductor film; a third step of melt crystallization of the semiconductor film immediately after the removal of the oxide film; A method for manufacturing a thin film semiconductor device, comprising: 少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 PECVD法により堆積速度が0.1nm/s程度以上の条件下にて混晶質の半導体膜を成膜する第1工程と、 該半導体膜を熔融結晶化させる第2工程と、を有することを特徴とする薄膜半導体装置の製造方法。 In a method of manufacturing a thin film semiconductor device, a base protective film that is an insulating material is provided on at least a part of a substrate surface, a semiconductor film is further formed on the base protective film, and the semiconductor film is used as an active layer of a transistor. And a first step of forming a mixed crystal semiconductor film under a condition of a deposition rate of about 0.1 nm / s or more by PECVD, and a second step of melt crystallization of the semiconductor film. A method of manufacturing a thin film semiconductor device. 前記第1工程が堆積速度が3.7nm/s程度以上の条件下にて混晶質の半導体膜を成膜する工程であることを特徴とする請求の範囲第25項に記載の薄膜半導体装置の製造方法。 26. The thin film semiconductor device according to claim 25, wherein the first step is a step of forming a mixed crystal semiconductor film under a condition where the deposition rate is about 3.7 nm / s or more. Manufacturing method. 少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 該半導体膜の構成元素を含有する化学物質と不活性気体を原料気体とし、不活性気体のガスの流量に対する半導体膜の構成元素を含有する化学物質のガスの流量の流量比を1/33未満とした条件下にてPECVD法により混晶質の半導体膜を成膜する第1工程と、 該半導体膜を熔融結晶化させる第2工程と、を有することを特徴とする薄膜半導体装置の製造方法。 In a method of manufacturing a thin film semiconductor device, a base protective film that is an insulating material is provided on at least a part of a substrate surface, a semiconductor film is further formed on the base protective film, and the semiconductor film is used as an active layer of a transistor. The flow rate ratio of the flow rate of the chemical substance gas containing the constituent elements of the semiconductor film to the flow rate of the inert gas gas is set to 1/33. Manufacturing a thin film semiconductor device comprising: a first step of forming a mixed crystal semiconductor film by PECVD under a condition of less than a second step; and a second step of melt crystallization of the semiconductor film. Method. 前記第1工程が前記流量比を1/124から40.67/1の間とした条件下にてPECVD法により混晶質の半導体膜を成膜する工程であることを特徴とする請求の範囲第27項に記載の薄膜半導体装置の製造方法。 The first step is a step of forming a mixed crystal semiconductor film by PECVD under a condition in which the flow rate ratio is between 1/124 and 40.67 / 1. 28. A method of manufacturing a thin film semiconductor device according to item 27. 少なくとも基板表面の一部に絶縁性物質である下地保護膜が設けられた基板と、該基板の下地保護膜上に形成されトランジスタの能動層をなしている半導体膜と、を有する薄膜半導体装置に於いて、 前記半導体膜はPECVD法にて成膜された後に結晶化されることにより形成された半導体膜であって、膜厚が9nm以上135nm以下の半導体膜であることを特徴とする薄膜半導体装置。 A thin film semiconductor device having a substrate provided with a base protective film which is an insulating material on at least a part of the substrate surface, and a semiconductor film formed on the base protective film of the substrate and forming an active layer of a transistor The semiconductor film is a semiconductor film formed by PECVD and then crystallized, and is a semiconductor film having a thickness of 9 nm to 135 nm. apparatus. 少なくとも表面の一部が絶縁性物質である基板の該絶縁性物質上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 減圧化学気相堆積法(LPCVD法)により堆積温度450℃未満の温度で半導体膜を堆積する第1工程と、 該半導体膜に光学エネルギー又は電磁波エネルギー照射を施す第2工程と、を有し、 かつ、該第2工程の終了以降の工程最高温度が350℃以下であることを特徴とする薄膜半導体装置の製造方法。 In a method of manufacturing a thin film semiconductor device, a semiconductor film is formed on an insulating material of a substrate having at least a part of the surface being an insulating material, and the semiconductor film is used as an active layer of a transistor. A first step of depositing a semiconductor film at a deposition temperature of less than 450 ° C. by a method (LPCVD method), and a second step of irradiating the semiconductor film with optical energy or electromagnetic energy, and the second step A method of manufacturing a thin film semiconductor device, wherein the maximum process temperature after the end of the process is 350 ° C. or lower. 前記第1工程が堆積温度430℃以下の温度で半導体膜を堆積する工程であることを特徴とする請求の範囲第30項に記載の薄膜半導体装置の製造方法。 31. The method of manufacturing a thin film semiconductor device according to claim 30, wherein the first step is a step of depositing a semiconductor film at a deposition temperature of 430 ° C. or lower. 少なくとも表面の一部が絶縁性物質である基板の該絶縁性物質上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 堆積温度350℃以下で半導体膜を形成する第1工程と、 該半導体膜に光学エネルキー又は電磁波エネルギー照射を施す第2工程と、を有し、 かつ、該第2工程の終了以降の工程最高温度が350℃以下であることを特徴とする薄膜半導体装置の製造方法。 In a method of manufacturing a thin film semiconductor device in which a semiconductor film is formed on an insulating material of a substrate having at least a part of an insulating material, and the semiconductor film is used as an active layer of a transistor, a deposition temperature of 350 ° C. or lower And a second step of irradiating the semiconductor film with optical energy or electromagnetic energy, and a maximum process temperature after the end of the second step is 350 ° C. or lower. A method for manufacturing a thin film semiconductor device, comprising: 第1工程がプラズマ化学気相堆積法(PECVD法)により行われることを特徴とする請求の範囲第32項に記載の薄膜半導体装置の製造方法。 The method of manufacturing a thin film semiconductor device according to claim 32, wherein the first step is performed by a plasma enhanced chemical vapor deposition method (PECVD method). 第1工程がスパッター法により行われることを特徴とする請求の範囲第32項に記載の薄膜半導体装置の製造方法。 The method of manufacturing a thin film semiconductor device according to claim 32, wherein the first step is performed by a sputtering method. 少なくとも表面の一部が絶縁性物質である基板の該絶縁性物質上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 VHFプラズマ化学気相堆積法(VHF−PECVD法)により半導体膜を形成する第1工程を有し、 かつ、該第1工程の終了以降の工程最高温度が350℃以下であることを特徴とする薄膜半導体装置の製造方法。 In a method of manufacturing a thin film semiconductor device in which a semiconductor film is formed on an insulating material of a substrate whose surface is at least a part of an insulating material, and the semiconductor film is used as an active layer of a transistor, a VHF plasma chemical vapor phase A thin-film semiconductor device having a first step of forming a semiconductor film by a deposition method (VHF-PECVD method), and having a maximum process temperature of 350 ° C. or lower after the end of the first step Method. 前記第1工程にて半導体膜を形成する際、該半導体膜の膜厚を20nmから150nmの間とすることを特徴とする請求の範囲第35項に記載の薄膜半導体装置の製造方法。 36. The method of manufacturing a thin film semiconductor device according to claim 35, wherein when the semiconductor film is formed in the first step, the film thickness of the semiconductor film is between 20 nm and 150 nm. 前記第1工程により半導体膜を形成する際、該半導体膜の構成元素を含有する化学物質を原料気体とし、さらに追加気体として希ガス族元素を用いることを特徴とする請求の範囲第35項又は第36項に記載の薄膜半導体装置の製造方法。 36. When the semiconductor film is formed by the first step, a chemical substance containing a constituent element of the semiconductor film is used as a raw material gas, and a rare gas group element is used as an additional gas. Item 37. A method for manufacturing a thin film semiconductor device according to Item 36. 前記半導体膜の構成元素を含有する化学物質がシラン(SiH4、Si26,Si38)であることを特徴とする請求の範囲第37項に記載の薄膜半導体装置の製造方法。 38. The method of manufacturing a thin film semiconductor device according to claim 37, wherein the chemical substance containing the constituent element of the semiconductor film is silane (SiH 4 , Si 2 H 6 , Si 3 H 8 ). 前記希ガス族元素がヘリウム(He)であることを特徴とする請求の範囲第37項又は第38項に記載の薄膜半導体装置の製造方法。 39. A method of manufacturing a thin film semiconductor device according to claim 37 or 38, wherein the rare gas group element is helium (He). 前記希ガス族元素がネオン(Ne)であることを特徴とする請求の範囲第37項又は第38項に記載の薄膜半導体装置の製造方法。 39. A method of manufacturing a thin film semiconductor device according to claim 37 or 38, wherein the rare gas group element is neon (Ne). 前記希ガス族元素がアルゴン(Ar)であることを特徴とする請求の範囲第37項又は第38項に記載の薄膜半導体装置の製造方法。 39. The method of manufacturing a thin film semiconductor device according to claim 37 or 38, wherein the rare gas group element is argon (Ar). 少なくとも表面の一部が絶縁性物質である基板の該絶縁性物質上に結晶性半導体膜を形成し、該結晶性半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 マイクロ波プラズマ化学気相堆積法(マイクロ波−PECVD法)により結晶性半導体膜を形成する第1工程を有し、 かつ、該第1工程以後の工程最高温度が350℃以下であることを特徴とする薄膜半導体装置の製造方法。 In a method of manufacturing a thin film semiconductor device, a crystalline semiconductor film is formed on an insulating material of a substrate whose surface is an insulating material, and the crystalline semiconductor film is used as an active layer of a transistor. A first step of forming a crystalline semiconductor film by a wave plasma chemical vapor deposition method (microwave-PECVD method), and a maximum process temperature after the first step is 350 ° C. or lower. A method for manufacturing a thin film semiconductor device. 前記第1工程により結晶性半導体膜を形成する際、該結晶性半導体膜の膜厚を20nmから150nmの間とすることを特徴とする請求の範囲第42項に記載の薄膜半導体装置の製造方法。 43. The method of manufacturing a thin film semiconductor device according to claim 42, wherein when the crystalline semiconductor film is formed in the first step, the thickness of the crystalline semiconductor film is set between 20 nm and 150 nm. . 前記第1工程にて結晶性半導体膜を形成する際、該結晶性半導体膜の構成元素を含有する化学物質を原料気体とし、さらに追加気体として希ガス族元素を用いることを特徴とする請求の範囲第42項又は第43項に記載の薄膜半導体装置の製造方法。 The crystalline semiconductor film is formed in the first step, a chemical substance containing a constituent element of the crystalline semiconductor film is used as a source gas, and a rare gas group element is used as an additional gas. 44. A method of manufacturing a thin film semiconductor device according to item 42 or 43. 前記結晶性半導体膜の構成元素を含有する化学物質がシラン(SiH4、Si26,Si38)であることを特徴とする請求の範囲第44項に記載の薄膜半導体装置の製造方法。 45. The method of manufacturing a thin film semiconductor device according to claim 44, wherein the chemical substance containing the constituent element of the crystalline semiconductor film is silane (SiH 4 , Si 2 H 6 , Si 3 H 8 ). Method. 前記希ガス族元素がヘリウム(He)であることを特徴とする請求の範囲第44項又は第45項に記載の薄膜半導体装置の製造方法。 46. The method of manufacturing a thin film semiconductor device according to claim 44, wherein the rare gas group element is helium (He). 前記希ガス族元素がネオン(Ne)であることを特徴とする請求の範囲第44項又は第45項に記載の薄膜半導体装置の製造方法。 46. The method of manufacturing a thin film semiconductor device according to claim 44, wherein the rare gas group element is neon (Ne). 前記希ガス族元素がアルゴン(Ar)であることを特徴とする請求の範囲第44項又は第45項に記載の薄膜半導体装置の製造方法。 46. The method for manufacturing a thin film semiconductor device according to claim 44, wherein the rare gas group element is argon (Ar). 少なくとも表面の一部が絶縁性物質である基板の該絶縁性物質土に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 VHFプラズマ化学気相堆積法(VHF−PECVD法)により半導体膜を形成する第1工程と、 該半導体膜を結晶化させる第2工程とを有し、 かつ、該第2工程以後の工程最高温度が350℃以下であることを特徴とする薄膜半導体装置の製造方法。 In a method of manufacturing a thin film semiconductor device in which a semiconductor film is formed on the insulating material soil of a substrate whose surface is at least a part of an insulating material, and the semiconductor film is used as an active layer of a transistor, a VHF plasma chemical vapor phase is provided. A first step of forming a semiconductor film by a deposition method (VHF-PECVD method), and a second step of crystallizing the semiconductor film, and a maximum process temperature after the second step is 350 ° C. or lower. A method for manufacturing a thin film semiconductor device, comprising: 前記第2工程にて結晶化された半導体膜の膜厚を10nmから150nmの間とすることを特徴とする請求の範囲第49項に記載の薄膜半導体装置の製造方法。 50. The method of manufacturing a thin film semiconductor device according to claim 49, wherein the thickness of the semiconductor film crystallized in the second step is between 10 nm and 150 nm. 前記第1工程にて半導体膜を形成する際、該半導体膜の構成元素を含有する化学物質を原料気体とし、さらに追加気体として希ガス族元素を用いることを特徴とする請求の範囲第49項又は第50項に記載の薄膜半導体装置の製造方法。 50. The semiconductor device according to claim 49, wherein when forming the semiconductor film in the first step, a chemical substance containing a constituent element of the semiconductor film is used as a source gas, and a rare gas group element is used as an additional gas. Or the manufacturing method of the thin film semiconductor device of 50th term | claim. 前記半導体膜の構成元素を含有する化学物質がシラン(SiH4、Si26,Si38)であることを特徴とする請求の範囲第51項に記載の薄膜半導体装置の製造方法。 Method of manufacturing a thin film semiconductor device according to a range Section 51 claims, characterized in that chemical substances containing the structural element of the semiconductor film is a silane (SiH 4, Si 2 H 6 , Si 3 H 8). 前記希ガス族元素がヘリウム(He)であることを特徴とする請求の範囲第51項又は第52項に記載の薄膜半導体装置の製造方法。 53. The method of manufacturing a thin film semiconductor device according to claim 51, wherein the rare gas group element is helium (He). 前記希ガス族元素がネオン(Ne)であることを特徴とする請求の範囲第51項又は第52項に記載の薄膜半導体装置の製造方法。 53. The method of manufacturing a thin film semiconductor device according to claim 51, wherein the rare gas group element is neon (Ne). 前記希ガス族元素がアルゴン(Ar)であることを特徴とする請求の範囲第51項又は第52項に記載の薄膜半導体装置の製造方法。 53. The method of manufacturing a thin film semiconductor device according to claim 51, wherein the rare gas group element is argon (Ar). 少なくとも表面の一部が絶縁性物質である基板の該絶縁性物質上に結晶性半導体膜を形成し、該結晶性半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、 マイクロ波プラズマ化学気相堆積法(マイクロ波−PECVD法)により半導体膜を形成する第1工程と、 該半導体膜を結晶化させる第2工程と、を有し かつ、該第2工程以後の工程最高温度が350℃以下であることを特徴とする薄膜半導体装置の製造方法。 In a method of manufacturing a thin film semiconductor device, a crystalline semiconductor film is formed on an insulating material of a substrate whose surface is an insulating material, and the crystalline semiconductor film is used as an active layer of a transistor. A first step of forming a semiconductor film by a microwave plasma chemical vapor deposition method (microwave-PECVD method) and a second step of crystallizing the semiconductor film, and the highest step after the second step A method for manufacturing a thin film semiconductor device, wherein the temperature is 350 ° C. or lower. 前記第2工程により結晶化された半導体膜の膜厚を10nmから150nmの間とすることを特徴とする請求の範囲第56項記載の薄膜半導体装置の製造方法。 57. The method of manufacturing a thin film semiconductor device according to claim 56, wherein the thickness of the semiconductor film crystallized in the second step is between 10 nm and 150 nm. 前記第1工程にて結晶性半導体膜を形成する際、該結晶性半導体膜の構成元素を含有する化学物質を原料気体とし、さらに追加気体として希ガス族元素を用いることを特徴とする請求の範囲第56項又は第57項に記載の薄膜半導体装置の製造方法。 The crystalline semiconductor film is formed in the first step, a chemical substance containing a constituent element of the crystalline semiconductor film is used as a source gas, and a rare gas group element is used as an additional gas. 58. A method of manufacturing a thin film semiconductor device according to item 56 or 57. 前記結晶性半導体膜の構成元素を含有する化学物質がシラン(SiH4、Si26,Si38)であることを特徴とする請求の範囲第58項記載の薄膜半導体装置の製造方法。 59. A method of manufacturing a thin film semiconductor device according to claim 58, wherein the chemical substance containing the constituent element of the crystalline semiconductor film is silane (SiH 4 , Si 2 H 6 , Si 3 H 8 ). . 前記希ガス族元素がヘリウム(He)であることを特徴とする請求の範囲第58項又は第59項に記載の薄膜半導体装置の製造方法。 60. The method of manufacturing a thin film semiconductor device according to claim 58, wherein the rare gas group element is helium (He). 前記希ガス族元素がネオン(Ne)であることを特徴とする請求の範囲第58項又は請求の範囲第59項に記載の薄膜半導体装置の製造方法。 60. The method of manufacturing a thin film semiconductor device according to claim 58 or 59, wherein the rare gas group element is neon (Ne). 前記希ガス族元素がアルゴン(Ar)であることを特徴とする請求の範囲第58項又は第59項に記載の薄膜半導体装置の製造方法。 60. The method of manufacturing a thin film semiconductor device according to claim 58, wherein the rare gas group element is argon (Ar). 請求の範囲第1項、第2項、第4項、第5項、第6項、第7項、第14項及び第29項のうちいずれかの項に記載の薄膜半導体装置を備えたことを特徴とする液晶表示装置。 A thin film semiconductor device according to any one of claims 1, 2, 4, 5, 6, 7, 14, and 29 is provided. A liquid crystal display device. 請求の範囲第63項に記載の液晶表示装置を備えたことを特徴とする電子機器。
An electronic apparatus comprising the liquid crystal display device according to claim 63.
JP2005031152A 1994-06-15 2005-02-07 Semiconductor device, active matrix substrate, and electronic equipment Pending JP2005167280A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2005031152A JP2005167280A (en) 1994-06-15 2005-02-07 Semiconductor device, active matrix substrate, and electronic equipment

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP13337494 1994-06-15
JP7214495 1995-03-29
JP2005031152A JP2005167280A (en) 1994-06-15 2005-02-07 Semiconductor device, active matrix substrate, and electronic equipment

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP1996500675 Division 1995-06-15

Publications (1)

Publication Number Publication Date
JP2005167280A true JP2005167280A (en) 2005-06-23

Family

ID=34743305

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005031152A Pending JP2005167280A (en) 1994-06-15 2005-02-07 Semiconductor device, active matrix substrate, and electronic equipment

Country Status (1)

Country Link
JP (1) JP2005167280A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8440548B2 (en) 2010-08-06 2013-05-14 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of microcrystalline silicon film and manufacturing method of thin film transistor
US8901556B2 (en) 2012-04-06 2014-12-02 Semiconductor Energy Laboratory Co., Ltd. Insulating film, method for manufacturing semiconductor device, and semiconductor device

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8440548B2 (en) 2010-08-06 2013-05-14 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of microcrystalline silicon film and manufacturing method of thin film transistor
US8901556B2 (en) 2012-04-06 2014-12-02 Semiconductor Energy Laboratory Co., Ltd. Insulating film, method for manufacturing semiconductor device, and semiconductor device
US9318317B2 (en) 2012-04-06 2016-04-19 Semiconductor Energy Laboratory Co., Ltd. Insulating film, method for manufacturing semiconductor device, and semiconductor device
US9570626B2 (en) 2012-04-06 2017-02-14 Semiconductor Energy Laboratory Co., Ltd. Insulating film, method for manufacturing semiconductor device, and semiconductor device
US10096719B2 (en) 2012-04-06 2018-10-09 Semiconductor Energy Laboratory Co., Ltd. Insulating film, method for manufacturing semiconductor device, and semiconductor device
US10741694B2 (en) 2012-04-06 2020-08-11 Semiconductor Energy Laboratory Co., Ltd. Insulating film, method for manufacturing semiconductor device, and semiconductor device
US11437523B2 (en) 2012-04-06 2022-09-06 Semiconductor Energy Laboratory Co., Ltd. Insulating film, method for manufacturing semiconductor device, and semiconductor device

Similar Documents

Publication Publication Date Title
JP4466775B2 (en) Method for manufacturing thin film semiconductor device
US6673126B2 (en) Multiple chamber fabrication equipment for thin film transistors in a display or electronic device
JP2001007024A (en) Method of forming of polycrystalline silicon film
WO2004079826A1 (en) Method for manufacturing thin film transistor, display, and electronic device
JP4258476B2 (en) Method for manufacturing thin film semiconductor device
JP3999138B2 (en) Semiconductor device manufacturing method, display device manufacturing method, and electronic device manufacturing method
JP2002313721A (en) Semiconductor laminate manufacturing method, laminate manufacturing method, semiconductor element and electronic apparatus
JP3924828B2 (en) Method for manufacturing crystalline semiconductor film and method for manufacturing thin film transistor
JP2005167280A (en) Semiconductor device, active matrix substrate, and electronic equipment
JP2004288864A (en) Thin film semiconductor, manufacturing method thereof, electro-optical device and electronic equipment
JP4200530B2 (en) Thin film transistor manufacturing method
JP2003174036A (en) Thin film transistor and manufacturing method therefor
JP3680677B2 (en) Semiconductor element manufacturing apparatus and semiconductor element manufacturing method
JP3881715B2 (en) Crystalline semiconductor film forming method, active matrix device manufacturing method, and electronic device manufacturing method
JP2000286211A (en) Method for manufacturing thin-film semiconductor device
JP3911947B2 (en) Method for manufacturing field effect transistor
JP2005197576A (en) Method for manufacturing thin-film transistor, electro-optical device, and electronic device
JP2005259818A (en) Method of crystallizing semiconductor film, method of manufacturing thin film transistor, electrooptic device, and electronic apparatus
JP2002289862A (en) Method for manufacturing semiconductor thin-film transistor
JP2001053278A (en) Thin film transistor and manufacture of display device wherein it is used
JP2004349581A (en) Manufacturing method of thin film transistor, electrooptical device, and electronic apparatus
JP2000260707A (en) Formation of polycrystalline silicon film
JP2002237600A (en) Manufacturing method of thin-film transistor
JP2004273629A (en) Method for manufacturing thin-film transistor, electrooptical apparatus, and electronic equipment
JP2002237599A (en) Manufacturing method of thin-film transistor

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050309

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050309

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080805

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081002

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20081028