JP2005033136A - Method of designing semiconductor integrated circuit - Google Patents

Method of designing semiconductor integrated circuit Download PDF

Info

Publication number
JP2005033136A
JP2005033136A JP2003273594A JP2003273594A JP2005033136A JP 2005033136 A JP2005033136 A JP 2005033136A JP 2003273594 A JP2003273594 A JP 2003273594A JP 2003273594 A JP2003273594 A JP 2003273594A JP 2005033136 A JP2005033136 A JP 2005033136A
Authority
JP
Japan
Prior art keywords
crosstalk
net
timing
affected
path
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003273594A
Other languages
Japanese (ja)
Inventor
Hiroki Takamori
弘樹 高森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP2003273594A priority Critical patent/JP2005033136A/en
Publication of JP2005033136A publication Critical patent/JP2005033136A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To avoid the occurrence of another wiring error and timing error as well as a large number of steps of correcting a layout, the errors and steps being caused by restricting parallel wiring on a net affected by a crosstalk, raising the type of a driving cell, and imposing a wiring constraint such as shield wiring and double-width and double-pitch wiring. <P>SOLUTION: A delay change caused by the crosstalk is conversely used for timing convergence. That is, by reversing all the logics of cells belonging to a net affected by the crosstalk or an affecting net, a signal transition is made backward in terms of time on the net affected by the crosstalk. Thus, a timing margin can be obtained in a path whose timing is made critical by the delay change caused by the crosstalk. Further, a layout can be corrected only by changing the type of the cells and thus it is possible to avoid an increase in the number of layout steps and prevent a layout correction from degrading the timing convergence. <P>COPYRIGHT: (C)2005,JPO&NCIPI

Description

本発明は半導体集積回路の設計方法にかかわり、クロストークに起因するタイミング収束の不具合を改善する技術に関するものである。   The present invention relates to a method for designing a semiconductor integrated circuit, and relates to a technique for improving a timing convergence problem caused by crosstalk.

近年のプロセスの微細化に伴って、メタル配線の幅やピッチが小さくなるに従って、隣接並行配線におけるカップリング容量によって遅延変動が起こすクロストーク現象が発生しやすくなり、タイミング収束に影響を与えている。   Along with the recent miniaturization of the process, as the width and pitch of the metal wiring become smaller, the crosstalk phenomenon caused by the delay variation due to the coupling capacitance in the adjacent parallel wiring tends to occur, which affects the timing convergence. .

従来、このクロストーク現象に対する設計フローとしては、クロストークを発生させないレイアウト手法(特許文献1を参照)と、クロストークによる遅延変動を考慮したタイミング収束方法が提案されている。   Conventionally, as a design flow for this crosstalk phenomenon, a layout method that does not generate crosstalk (see Patent Document 1) and a timing convergence method that considers delay variation due to crosstalk have been proposed.

前記レイアウト手法とは、下記に示すようなEDA(Electronic DesignAutomation)ツールと連動させたクロストーク回避方法である。   The layout method is a crosstalk avoidance method linked with an EDA (Electronic Design Automation) tool as described below.

クロストークの影響を受けるネットを駆動するセルのタイプアップ
クロストークの影響を受けるネットをシールド
クロストークの影響を受けるネットの配線長を抑制
クロストークの影響を受けるネットの倍幅、倍ピッチ化
また、前記タイミング収束方法とは、クロストークによる遅延変動を考慮して遅延計算を行い、その結果を用いたタイミング解析によってタイミングエラーを取り除く手法である。
特開平10−308451号公報(第3−5頁、第1−5図)
Type-up of cells that drive nets affected by crosstalk Shield nets affected by crosstalk Suppress the wiring length of nets affected by crosstalk Increase the width and pitch of nets affected by crosstalk or The timing convergence method is a method of calculating a delay in consideration of a delay variation due to crosstalk and removing a timing error by a timing analysis using the result.
JP-A-10-308451 (page 3-5, FIG. 1-5)

上記クロストーク対策におけるレイアウト手法においては、レイアウト修正工数の増加を招くのはもちろんのこと、レイアウト修正したことで却って、新たな配線エラー(配線バイオレーション)、タイミングエラー(タイミングバイオレーション)、クロストーク発生などを誘発させる可能性がある。   In the above-mentioned layout method for crosstalk countermeasures, not only will layout man-hours increase, but new layout errors (wiring violations), timing errors (timing violations), and crosstalk will be rejected by correcting the layout. There is a possibility of inducing the occurrence.

また、上記クロストーク対策におけるタイミング収束方法においては、修正箇所は絞られるものの、上記と同様の問題が発生することに変わりはない。   Moreover, in the timing convergence method in the above-described crosstalk countermeasure, although the points to be corrected are narrowed down, the same problem as described above is still generated.

本発明は、上記のような従来の課題を解決するものであり、従来技術にみられるレイアウト修正にかかわる工数増加を抑制するとともに、繰り返し修正を不要となし、短期間に小規模かつ簡易な修正方法によってタイミング収束を改善できるようにすることを目的としている。   The present invention solves the above-described conventional problems, suppresses an increase in man-hours related to layout correction as seen in the prior art, eliminates the need for repeated correction, and makes small and simple corrections in a short period of time. It aims to improve timing convergence by the method.

上記の課題を解決するために、本発明は次のような手段を講じる。すなわち、クロストークによる遅延変動を積極的に利用してタイミング改善を図るものである。   In order to solve the above problems, the present invention takes the following measures. In other words, the delay variation due to crosstalk is actively used to improve timing.

第1の解決手段として、本発明による半導体集積回路の設計方法は、クロストークによって遅延が変動しタイミング収束に悪影響を与える箇所に対して、その遅延変動を時間的に反対に発生させることによりタイミング改善することを特徴とするものである。   As a first solving means, the semiconductor integrated circuit design method according to the present invention provides a timing by causing the delay variation to occur in the opposite direction with respect to a portion where the delay varies due to crosstalk and adversely affects timing convergence. It is characterized by improvement.

上記の第1の解決手段をより具体的レベルで次のように記述することができる。   The above first solution can be described at a more specific level as follows.

すなわち、レイアウト設計後にクロストークを考慮しないタイミング解析を実施する工程と、クロストークを考慮したタイミング解析を実施する工程と、前記2つのタイミング解析工程による解析結果を比較する工程と、前記比較結果からクロストークの影響を受けるクリティカルパスを抽出する工程と、前記抽出工程で抽出したパス内のクロストークの影響を受けるネットまたはクロストークの影響を与えるネットを探索する工程と、前記クロストークの影響を受けるネットまたは与えるネットに属するセルの論理を反転させる工程とを有する半導体集積回路の設計方法である。   That is, a step of performing timing analysis without considering crosstalk after layout design, a step of performing timing analysis considering crosstalk, a step of comparing analysis results of the two timing analysis steps, and the comparison result A step of extracting a critical path affected by crosstalk, a step of searching for a net affected by crosstalk in the path extracted in the extraction step or a net affecting the crosstalk, and the influence of the crosstalk. A method for designing a semiconductor integrated circuit, comprising: inverting a logic of a cell belonging to a receiving net or a given net.

この第1の解決手段の方法による作用は次のとおりである。すなわち、タイミング的に厳しいパスに対して、ある別のネットがさらにタイミング的に厳しい方向に遅延変動するようなクロストークの影響を与える場合に、双方のネットうちのいずれか一方のネットにおけるドライバセルとレシーバセルの論理を反転させる。具体的には、バッファをインバータに変更し、或いはインバータをバッファに変更する。これにより、波形の傾きが逆になって、遅延変動の時間上の向きが逆になり、結果として、タイミングマージンを確保し、タイミング収束を改善することができる。このタイミング収束の改善において、従来技術にみられるレイアウト修正にかかわる工数増加を抑制でき、また繰り返し修正が不要となり、短期間に小規模かつ簡易な修正方法によってタイミング収束を改善することができる。   The operation of the first solving means is as follows. In other words, when a crosstalk that causes delay variation in a timing that is more severe in terms of timing is given to a path that is severe in timing, the driver cell in one of both nets And the logic of the receiver cell is inverted. Specifically, the buffer is changed to an inverter, or the inverter is changed to a buffer. As a result, the slope of the waveform is reversed, and the time direction of the delay variation is reversed. As a result, the timing margin can be secured and the timing convergence can be improved. In the improvement of the timing convergence, it is possible to suppress an increase in the number of man-hours related to the layout correction seen in the prior art, and it becomes unnecessary to repeat the correction, and the timing convergence can be improved by a small and simple correction method in a short time.

第2の解決手段として、本発明による半導体集積回路の設計方法は、上記第1の解決手段において、さらに、改善すべき遅延変動分を制御するために、前記クロストークの影響を受けるネットにおけるドライバセルと前記クロストークの影響を与えるネットにおけるドライバセルのタイプを最適な組み合わせに変更することを特徴とするものである。   As a second solution, the semiconductor integrated circuit design method according to the present invention is the driver in the net affected by the crosstalk in order to control the delay variation to be improved in the first solution. The type of the driver cell in the cell and the net that affects the crosstalk is changed to an optimal combination.

上記の第2の解決手段をより具体的レベルで次のように記述することができる。   The second solution described above can be described at a more specific level as follows.

すなわち、上記第1の解決手段の具体的記述において、その論理反転の工程に次いで、さらに、前記クロストークの影響を与えるネットと前記クロストークの影響を受けるネットに属するセルのタイプを変更する工程を有するものである。   That is, in the specific description of the first solving means, following the logical inversion step, the step of changing the type of the cell that belongs to the net affected by the crosstalk and the net belonging to the net affected by the crosstalk. It is what has.

この第2の解決手段の方法による作用は次のとおりである。すなわち、クロストークの影響を与えるネットとクロストークの影響を受けるネットの各ドライバセルのタイプ比またはトランジション比を大きくすることにより、クロストークの影響を大きくし、タイミング改善をさらに効果あるものにすることができる。   The operation of the second solving means is as follows. In other words, by increasing the type ratio or transition ratio of each driver cell between the net affected by the crosstalk and the net affected by the crosstalk, the influence of the crosstalk is increased to further improve the timing. be able to.

第3の解決手段として、本発明による半導体集積回路の設計方法は、タイミングマージンの少ないクリティカルパスまたはタイミングエラーのあるパスに対して、その近辺に配線されているネットを前記クリティカルパスに並行配線させ、クロストークを起こさせることによりタイミング改善することを特徴とするものである。   As a third solution, a semiconductor integrated circuit design method according to the present invention allows a net routed in the vicinity of a critical path with a small timing margin or a path with a timing error to be wired in parallel to the critical path. The timing is improved by causing crosstalk.

上記の第3の解決手段をより具体的レベルで次のように記述することができる。   The third solution described above can be described at a more specific level as follows.

すなわち、レイアウト設計後にクロストークを考慮しないタイミング解析を実施する工程と、前記タイミング解析結果からクリティカルパスまたはエラーパスを抽出する工程と、前記抽出パス内でクロストークの影響を受けやすいネットを抽出する工程と、前記抽出したネット周辺で前記抽出ネットと近いタイミングで状態遷移するネットを抽出する工程と、前記2つの抽出ネットを並行配線させる工程と、前記並行配線の2つのネットの位相関係を調べ、クロストークによる遅延変動においてタイミング収束を助ける方向に前記位相関係を調整する工程とを有する半導体集積回路の設計方法である。   That is, a step of performing timing analysis without considering crosstalk after layout design, a step of extracting a critical path or an error path from the timing analysis result, and a net that is susceptible to crosstalk in the extraction path is extracted. A step of extracting a net whose state transitions around the extracted net at a timing close to the extracted net, a step of wiring the two extracted nets in parallel, and a phase relationship between the two nets of the parallel wiring And a step of adjusting the phase relationship in a direction that helps timing convergence in delay variation due to crosstalk.

この第3の解決手段の方法による作用は次のとおりである。すなわち、タイミング解析によって判明したクリティカルパス、或いはタイミングエラーパスに対して、クロストークの影響を受けやすい対象ネットを抽出し、その近辺で同じタイミングで遷移するネットを探索する。さらに、この探索ネットを対象ネットに隣接並行配線させることによってクロストークを発生させ、ネットにおけるセルの論理を反転させることによって、タイミングの改善する方向に遅延変動させる。さらに、並行配線の2つのネットの位相関係を調べ、クロストークによる遅延変動においてタイミング収束を助ける方向に前記位相関係を調整する。具体的には、セットアップタイミングを確保する場合は、双方のネットで同相関係とするのがよく、ホールドタイミングを確保する場合は、双方のネットで逆相関係とするのがよい。   The effect | action by the method of this 3rd solution means is as follows. That is, a target net that is easily affected by crosstalk is extracted from a critical path or a timing error path that is found by timing analysis, and a net that transitions at the same timing in the vicinity thereof is searched. Furthermore, crosstalk is generated by wiring the search net adjacent to the target net, and the logic of the cells in the net is inverted, thereby delay variation in the direction of improving timing. Further, the phase relationship between the two nets of the parallel wiring is examined, and the phase relationship is adjusted in a direction that helps timing convergence in the delay variation due to crosstalk. Specifically, when securing the setup timing, it is better to have an in-phase relationship between both nets, and when securing the hold timing, it is better to have an anti-phase relationship between both nets.

この方法により、状態遷移関係にある2つのネットを優先して対応することにより、3つ以上のネット群に対しても、上記方法を適用してのタイミング収束の改善が可能となり、適用範囲を拡張することができる。   By this method, it is possible to improve the timing convergence by applying the above method to three or more net groups by preferentially handling two nets in a state transition relationship. Can be extended.

以上のように本発明によれば、クロストークの影響を受けてタイミング収束がクリティカルになるパス、或いはタイミングエラーの発生するパスに対して、クロストークの影響を与えるネット、または影響を受けるネットに属するセルの論理を反転させることによって、このクロストークの影響を時間的に反対に発生させ、前記パスに対して、タイミングマージンを確保する。   As described above, according to the present invention, a path whose timing convergence becomes critical due to the influence of crosstalk, or a net that affects the crosstalk or a net that is affected by a path where a timing error occurs. By inverting the logic of the cell to which it belongs, this crosstalk effect occurs in the opposite direction, and a timing margin is ensured for the path.

このように本発明の半導体集積回路の設計方法におけるタイミング改善方法は、クロストークの影響による遅延変動によってタイミング収束がクリティカルになる、或いはタイミングエラーが発生するパスに対しては、そのクロストークの現象をタイミング改善に役立てることを基本としている。換言すれば、クロストークによる遅延変動を積極的に利用してタイミング改善を図るものである。   As described above, the timing improvement method in the semiconductor integrated circuit design method according to the present invention has a crosstalk phenomenon for a path in which timing convergence becomes critical due to delay variation due to the influence of crosstalk or a timing error occurs. To improve timing. In other words, the timing improvement is made by actively utilizing the delay variation due to crosstalk.

すなわち、本発明によれば、クロストークの影響を受けるネット、或いはクロストークの影響を与えるネットに属するセルの論理を反転させるだけで、回路動作を変更することなく信号遷移を逆にさせ、従来技術にみられたレイアウト工数増大、繰り返しのレイアウト修正を必要とすることなく、タイミング改善を実現することができる。   That is, according to the present invention, the signal transition is reversed without changing the circuit operation only by reversing the logic of the net affected by the crosstalk or the cell belonging to the net affected by the crosstalk. The timing improvement can be realized without increasing the number of layout steps and the need for repeated layout corrections.

また、本発明の基本的な考え方を利用して、クロストークの影響を受けていないタイミングのクリティカルなパス、或いはタイミングエラーの発生しているパスに対しても、クロストークの影響を受けやすいネットが存在する場合には、そのネットの近辺に配線されているクロストークの影響を与える可能性のあるネットを探索し、両ネットを隣接並行配線させ、必要であれば、前記と同様のタイミング改善方法を適用し、クロストークを利用したタイミング改善を実現できる。すなわち、状態遷移関係にある2つのネットを優先して対応することにより、3つ以上のネット群に対しても、上記方法を適用してのタイミング収束の改善が可能となり、適用範囲を拡張することができる。   In addition, by using the basic concept of the present invention, a network that is easily affected by crosstalk can be applied to a critical path at a timing that is not affected by crosstalk or a path that has a timing error. If there is a network, search for a net that may be affected by crosstalk that is routed in the vicinity of the net, route both nets adjacent to each other, and if necessary, improve the timing as described above The method can be applied to improve timing using crosstalk. In other words, it is possible to improve the timing convergence by applying the above method to three or more net groups by preferentially dealing with two nets in a state transition relationship, thereby extending the application range. be able to.

以下、本発明にかかわる半導体集積回路の設計方法の実施の形態を図面に基づいて詳細に説明する。   DESCRIPTION OF THE PREFERRED EMBODIMENTS Embodiments of a semiconductor integrated circuit design method according to the present invention will be described below in detail with reference to the drawings.

(実施の形態1)
本発明の実施の形態1における半導体集積回路の設計方法でのタイミング改善方法について説明する。
(Embodiment 1)
A timing improvement method in the semiconductor integrated circuit design method according to the first embodiment of the present invention will be described.

図1は、本発明の実施の形態1における半導体集積回路の設計方法を適用してタイミング改善を行う対象回路の構成を示す回路図(物理的イメージ)である。   FIG. 1 is a circuit diagram (physical image) showing a configuration of a target circuit that performs timing improvement by applying the semiconductor integrated circuit design method according to the first embodiment of the present invention.

図1において、Aはクロストークの影響を受けるネットを含むタイミング収束がクリティカル、或いはタイミングエラー(バイオレーション)が発生しているパスを示す。また、パスAにおいて、11Aはクロストークの影響を受けるネット、12Aはネット11Aのドライバセル、13Aはネット11Aのレシーバセル、14Aは信号を出力するフリップフロップ、15Aは信号を受けるフリップフロップ、16Aはパス中の組み合わせ回路、17Aはパスのデータをラッチするクロックネットを示す。Bは前記パスAにクロストークの影響を与えるパスの一部であり、ネット11Bはネット11Aにクロストークの影響を与えるネット、12Bはネット11Bのドライバセル、13Bはネット11Bのレシーバセルを示す。   In FIG. 1, A indicates a path in which timing convergence including a net affected by crosstalk is critical or a timing error (violation) occurs. In path A, 11A is a net affected by crosstalk, 12A is a driver cell of net 11A, 13A is a receiver cell of net 11A, 14A is a flip-flop that outputs a signal, 15A is a flip-flop that receives a signal, 16A Indicates a combinational circuit in the path, and 17A indicates a clock net for latching the path data. B is a part of the path that affects the cross A, the net 11B is a net that affects the net 11A, 12B is a driver cell of the net 11B, and 13B is a receiver cell of the net 11B. .

図2は、ある動作条件においてセットアップタイミング改善する前の図1のパスA,Bの信号波形図である。図2において、21Aはネット11Aの信号波形図、21Bはネット11Bの信号波形図である。   FIG. 2 is a signal waveform diagram of paths A and B in FIG. 1 before the setup timing is improved under certain operating conditions. In FIG. 2, 21A is a signal waveform diagram of the net 11A, and 21B is a signal waveform diagram of the net 11B.

図3は、図2と同じ動作条件においてセットアップタイミング改善した後の図1のパスA,Bの信号波形図である。図3において、31Aはネット11Aの信号波形図、31Bはネット11Bの信号波形図である。   FIG. 3 is a signal waveform diagram of paths A and B in FIG. 1 after the setup timing is improved under the same operating conditions as in FIG. In FIG. 3, 31A is a signal waveform diagram of the net 11A, and 31B is a signal waveform diagram of the net 11B.

以上のような回路構成における実施の形態1のタイミング改善方法について、その1実施例を図4のフロー図に従って以下に示す。   One example of the timing improvement method of the first embodiment in the circuit configuration as described above will be described below according to the flowchart of FIG.

ステップ41においてレイアウト設計を行い、次いで、ステップ42において、クロストークの影響を考慮しないタイミング解析を行うとともに、ステップ43においてクロストークを考慮したタイミング解析を行う。次いで、ステップ44において、ステップ42のタイミング解析結果とステップ43のタイミング解析結果を比較し、次いで、ステップ45において、クロストークの影響を受けタイミング収束がクリティカルなパスを抽出する。次いで、ステップ46において、その抽出ネット内において、クロストークの影響を受けているネットと、そのネットにクロストークの影響を与えているネットを探索する。   In step 41, layout design is performed, and then in step 42, timing analysis not considering the influence of crosstalk is performed, and in step 43, timing analysis considering crosstalk is performed. Next, at step 44, the timing analysis result at step 42 is compared with the timing analysis result at step 43. Next, at step 45, a path whose timing convergence is critical under the influence of crosstalk is extracted. Next, in step 46, the extracted net is searched for a net affected by the crosstalk and a net affecting the net.

次いで、ステップ47かステップ48のいずれかを実行する。ステップ47においては、クロストークの影響を与えるネットに属するセルの論理を反転する。また、ステップ48においては、クロストークの影響を受けるネットに属するセルの論理を反転する。具体的には、バッファの場合はインバータに変更し、インバータの場合はバッファに変更する。   Then, either step 47 or step 48 is executed. In step 47, the logic of the cell belonging to the net having the influence of crosstalk is inverted. In step 48, the logic of the cell belonging to the net affected by the crosstalk is inverted. Specifically, the buffer is changed to an inverter, and the inverter is changed to a buffer.

今、ステップ41〜46によって、クロストークの影響を受けるネットとして図1のネット11Aが探索され、クロストークの影響を与えるネットとして図1のネット11Bが探索されたとする。   Now, assume that the net 11A in FIG. 1 is searched as a net affected by the crosstalk in steps 41 to 46, and the net 11B in FIG. 1 is searched as a net affecting the crosstalk.

このとき、ネット11Aとネット11Bの信号波形が、それぞれ21A,21Bの実線から点線のように状態遷移する場合、ドライバセル12Bとレシーバセル13Bのセルの論理を反転させる。これにより、ネット11Aとネット11Bの信号波形は、31A,31Bの実線から点線へと遷移タイミングが変化する。   At this time, when the signal waveforms of the net 11A and the net 11B transition from the solid lines 21A and 21B to the dotted lines, respectively, the logic of the cells of the driver cell 12B and the receiver cell 13B is inverted. Thereby, the transition timing of the signal waveforms of the net 11A and the net 11B changes from the solid lines 31A and 31B to the dotted lines.

或いは、前記と同様にクロストークの影響を受けるネット11Aに対して、ドライバセル12A、レシーバセル13Aのセルの論理を反転させる。   Alternatively, the logic of the cell of the driver cell 12A and the receiver cell 13A is inverted with respect to the net 11A affected by the crosstalk as described above.

上記どちらかの方法により、パスAのトータル遅延値を低減することができ、結果としてセットアップタイミングを改善することができる。   By either of the above methods, the total delay value of the path A can be reduced, and as a result, the setup timing can be improved.

ホールドタイムの改善方法については、前記のセットアップタイミング改善方法において、図2と図3の信号波形の関係を反対にした上で、同様の方法を適用することにより実現することができる。   The improvement method of the hold time can be realized by applying the same method in the above-described setup timing improvement method with the relationship between the signal waveforms in FIGS. 2 and 3 reversed.

(実施の形態2)
本発明の実施の形態2における半導体集積回路の設計方法でのタイミング改善方法について説明する。本実施の形態は、対象ネットに属するセルのタイプ変更を実施するものである。
(Embodiment 2)
A timing improvement method in the semiconductor integrated circuit design method according to the second embodiment of the present invention will be described. In the present embodiment, the cell type belonging to the target net is changed.

図5は、本発明の実施の形態2における半導体集積回路の設計方法を適用してタイミング改善を行う対象回路の構成を示す回路図(物理的イメージ)である。図5において、実施の形態1の図1におけるのと同じ符号は同一構成要素を指しているので、詳しい説明は省略する。   FIG. 5 is a circuit diagram (physical image) showing a configuration of a target circuit that performs timing improvement by applying the semiconductor integrated circuit design method according to the second embodiment of the present invention. In FIG. 5, the same reference numerals as those in FIG. 1 of the first embodiment indicate the same components, and detailed description thereof will be omitted.

本実施の形態2のタイミング改善方法について、その1実施例を図6のフロー図に従って以下に示す。   One example of the timing improvement method according to the second embodiment will be described below in accordance with the flowchart of FIG.

図6において、ステップ41〜48は図4のステップ41〜48と全く同様の設計フローである。クロストークの影響を与えるネットに含まれるセルの論理を反転させるステップ47に次いで、或いは、クロストークの影響を受けるネットに含まれるセルの論理を反転させるステップ48に次いで、ステップ49において、論理反転後におけるクロストークの影響度を大きくしてタイミング改善を進めるために、図5におけるドライバセル12Bおよびレシーバセル13Aをタイプアップし、ドライバセル12Aおよびレシーバセル13Bをタイプダウンする。タイプ比を調整することに代えて、トランジション比を調整するのでもよい。   In FIG. 6, steps 41 to 48 are the same design flow as steps 41 to 48 in FIG. In step 49, the logic inversion is performed following the step 47 of inverting the logic of cells included in the net affected by the crosstalk, or the step 48 of inverting the logic of the cells included in the net affected by the crosstalk. In order to increase the influence level of the later crosstalk and improve the timing, the driver cell 12B and the receiver cell 13A in FIG. 5 are typed up, and the driver cell 12A and the receiver cell 13B are typed down. Instead of adjusting the type ratio, the transition ratio may be adjusted.

これにより、クロストークによる遅延変動値の絶対値を大きくでき、さらなるタイミング改善を実現することができる。   Thereby, the absolute value of the delay fluctuation value due to crosstalk can be increased, and further timing improvement can be realized.

(実施の形態3)
本発明の実施の形態3における半導体集積回路の設計方法でのタイミング改善方法について説明する。
(Embodiment 3)
A timing improvement method in the semiconductor integrated circuit design method according to the third embodiment of the present invention will be described.

図7は、本発明の実施の形態3における半導体集積回路の設計方法を適用してタイミング改善を行う対象回路の構成を示す回路図(物理的イメージ)である。図7(a)はタイミング改善前の状態の回路図、図7(b)はタイミング改善後の状態の回路図である。図7において、実施の形態1の図1におけるのと同じ符号は同一構成要素を指しているので、詳しい説明は省略する。   FIG. 7 is a circuit diagram (physical image) showing a configuration of a target circuit that performs timing improvement by applying the semiconductor integrated circuit design method according to the third embodiment of the present invention. FIG. 7A is a circuit diagram in a state before timing improvement, and FIG. 7B is a circuit diagram in a state after timing improvement. In FIG. 7, the same reference numerals as those in FIG. 1 of the first embodiment indicate the same components, and detailed description thereof will be omitted.

図7において、Aはタイミング収束がクリティカルなパス、或いはタイミングエラーの発生しているパスの一部であり、61Aはクロストークの影響を受けやすいネットを示す。また、パスBにおいて、61Bはネット61Aと同じタイミングで状態遷移するタイミング改善前のネット、62Bはタイミング改善後のネットを示す。パスC,Dはネット61Aと隣接して配線されたネットを含むパスの一部であり、61C,61Dはタイミング改善前のネットを、62C,62Dはタイミング改善後のネットをそれぞれ示す。   In FIG. 7, A is a path whose timing convergence is critical or a part of a path where a timing error has occurred, and 61A represents a net that is susceptible to crosstalk. In the path B, 61B indicates a net before timing improvement in which state transition is performed at the same timing as the net 61A, and 62B indicates a net after timing improvement. Paths C and D are part of a path including a net wired adjacent to the net 61A, 61C and 61D indicate nets before timing improvement, and 62C and 62D indicate nets after timing improvement, respectively.

以上のような回路構成における実施の形態3のタイミング改善方法について、その1実施例を図8のフロー図に従って以下に示す。   One example of the timing improvement method of the third embodiment in the circuit configuration as described above will be described below according to the flowchart of FIG.

ステップ71においてレイアウト設計を行い、次いで、ステップ72において、クロストークの影響を考慮しないタイミング解析を行い、次いで、ステップ73において、タイミング解析結果に基づいて、タイミング収束がクリティカルになるパス、或いはタイミングエラーが発生しているパスを抽出する。次いで、ステップ74において、その抽出したパスの中でクロストークの影響を受けている、或いは影響を受ける可能性のある長配線のネットを抽出する。次いで、ステップ75において、その抽出したネットの周辺に配線されているネットを探索し、抽出したネットと比較的近いタイミングで状態遷移するネットを抽出する。   In step 71, layout design is performed. In step 72, timing analysis is performed without considering the influence of crosstalk. In step 73, a path or timing error in which timing convergence becomes critical based on the timing analysis result. Extract the path where the is occurring. Next, in step 74, a net of a long wiring affected by or possibly affected by crosstalk is extracted in the extracted path. Next, in step 75, a net wired around the extracted net is searched, and a net whose state transition is relatively close to the extracted net is extracted.

次いで、ステップ76において、ステップ74で抽出したネットとステップ75で抽出したネットについて、隣接並行配線させるために経路変更する。次いで、ステップ77において、ネット同士の位相関係をチェックし、
クロストークによる遅延変動においてタイミング収束を助ける方向に前記位相関係を調整する。
Next, in step 76, the route is changed so that the net extracted in step 74 and the net extracted in step 75 are adjacently wired in parallel. Next, in step 77, the phase relationship between the nets is checked,
The phase relationship is adjusted in a direction that helps timing convergence in delay variation due to crosstalk.

セットアップタイミングを確保する場合、逆相関係であればステップ78に進み、図4のフローに従って同相(立ち上がり同士または立ち下り同士)に変更する。   If the setup timing is to be secured, if the phase relationship is reversed, the process proceeds to step 78, and the phase is changed to the same phase (rises or falls) according to the flow of FIG.

今、ステップ74で抽出したネットを図7のネット61A、ステップ75で探索した候補のネットを図7のネット61Bとすると、パスAのセットアップタイミングを確保する場合においては、ネット61Aとネット62Bを隣接並行配線させるために、図7(a)のネット61Bから図7(b)のネット62Bに経路変更し、それに伴って、図7(a)のネット61Cは図7(b)のネット62Cに経路変更し、図7(a)のネット61Dは図7(b)のネット62Dに経路変更する。   If the net extracted in step 74 is the net 61A in FIG. 7 and the candidate net searched in step 75 is the net 61B in FIG. 7, when securing the setup timing of the path A, the net 61A and the net 62B are In order to perform adjacent parallel wiring, the route is changed from the net 61B in FIG. 7A to the net 62B in FIG. 7B, and accordingly, the net 61C in FIG. 7A is changed to the net 62C in FIG. 7B. 7A, the net 61D in FIG. 7A changes to the net 62D in FIG. 7B.

さらに、ネット61Aとネット62Bの位相関係をチェックし、逆相関係であれば、図4のフローに従って同相(立ち上がり同士または立ち下り同士)に変更する。   Further, the phase relationship between the net 61A and the net 62B is checked, and if the phase relationship is reversed, the phase is changed to the same phase (rising or falling) according to the flow of FIG.

同様にホールドタイミングを確保する場合においては、ネット61Aとネット62Bを逆相(立ち上がりと立ち下がり、或いは立ち下がりと立ち上がり)に変更する。   Similarly, when securing the hold timing, the net 61A and the net 62B are changed to opposite phases (rising and falling, or falling and rising).

これらの方法により、クロストークによる遅延変動を積極的に利用してタイミング改善を図るので、配線のリソースを直接犠牲にすることなく、タイミングマージンを確保することができる。   With these methods, the timing variation is actively utilized by making use of the delay variation due to crosstalk, so that the timing margin can be secured without directly sacrificing the wiring resources.

本発明の実施の形態1における半導体集積回路の設計方法を適用してタイミング改善を行う対象回路の構成を示す回路図1 is a circuit diagram showing a configuration of a target circuit for improving timing by applying a method for designing a semiconductor integrated circuit according to Embodiment 1 of the present invention; 本発明の実施の形態1において、クロストークの影響を受けるネット・与えるネットが同相の場合の信号の状態遷移図In the first embodiment of the present invention, a signal state transition diagram when a net affected by crosstalk and a given net are in phase 本発明の実施の形態1において、クロストークの影響を受けるネット・与えるネットが同相の場合の信号の状態遷移図In the first embodiment of the present invention, a signal state transition diagram when a net affected by crosstalk and a given net are in phase 本発明の実施の形態1における半導体集積回路の設計方法のクロストークの影響を利用してタイミング改善する設計フロー図Design flow diagram for improving timing using the influence of crosstalk in the method of designing a semiconductor integrated circuit according to the first embodiment of the present invention 本発明の実施の形態2における半導体集積回路の設計方法を適用してタイミング改善を行う対象回路の構成を示す回路図The circuit diagram which shows the structure of the object circuit which improves a timing by applying the design method of the semiconductor integrated circuit in Embodiment 2 of this invention 本発明の実施の形態2において、クロストークの発生を利用し、かつセルタイプ変更を最適化することでタイミング改善する設計フロー図Design flow diagram for improving timing by using occurrence of crosstalk and optimizing cell type change in Embodiment 2 of the present invention 本発明の実施の形態3における半導体集積回路の設計方法を適用してタイミング改善を行う対象回路の構成を示す回路図The circuit diagram which shows the structure of the object circuit which improves a timing by applying the design method of the semiconductor integrated circuit in Embodiment 3 of this invention 本発明の実施の形態3において、クロストークを発生させることによってタイミングを改善する設計フロー図Design flow diagram for improving timing by generating crosstalk in Embodiment 3 of the present invention

符号の説明Explanation of symbols

11A,61A クロストークの影響を受けるネット
11B,61B クロストークの影響を与えるネット
12A,13A ネット11Aに属するセル
12B,13B ネット11Bに属するセル
14A,15A クリティカルパス上のフリップフロップ
16A クリティカルパス上の組み合わせ回路
17A クリティカルパスの信号をラッチするクロックネット
21A,31A ネット11Aのクロストークによる変動を含めた波形図
21B,31B ネット11Bの波形図
11A, 61A Net affected by crosstalk 11B, 61B Net affected by crosstalk 12A, 13A Cell belonging to net 11A 12B, 13B Cell belonging to net 11B 14A, 15A Flip-flop on critical path 16A On critical path Combination circuit 17A Clock nets 21A, 31A for latching critical path signals Waveform diagram including fluctuation due to crosstalk of net 11A 21B, 31B Waveform diagram of net 11B

Claims (6)

半導体集積回路の設計方法において、クロストークによって遅延が変動しタイミング収束に悪影響を与える箇所に対して、その遅延変動を時間的に反対に発生させることによりタイミング改善することを特徴とする半導体集積回路の設計方法。 In a method of designing a semiconductor integrated circuit, the timing is improved by causing the delay variation to occur in the opposite direction with respect to a portion where the delay varies due to crosstalk and adversely affects timing convergence. Design method. レイアウト設計後にクロストークを考慮しないタイミング解析を実施する工程と、
クロストークを考慮したタイミング解析を実施する工程と、
前記2つのタイミング解析工程による解析結果を比較する工程と、
前記比較結果からクロストークの影響を受けるクリティカルパスを抽出する工程と、
前記抽出工程で抽出したパス内のクロストークの影響を受けるネットまたはクロストークの影響を与えるネットを探索する工程と、
前記クロストークの影響を受けるネットまたは与えるネットに属するセルの論理を反転させる工程と
を有する半導体集積回路の設計方法。
A process of performing timing analysis without considering crosstalk after layout design,
A process of performing timing analysis in consideration of crosstalk;
Comparing the analysis results of the two timing analysis steps;
Extracting a critical path affected by crosstalk from the comparison result;
Searching for a net affected by crosstalk or a net affecting the crosstalk in the path extracted in the extraction step;
A method of designing a semiconductor integrated circuit, comprising: inverting a logic of a net affected by the crosstalk or a cell belonging to the given net.
さらに、改善すべき遅延変動分を制御するために、前記クロストークの影響を受けるネットにおけるドライバセルと前記クロストークの影響を与えるネットにおけるドライバセルのタイプを最適な組み合わせに変更することを特徴とする請求項1に記載の半導体集積回路の設計方法。 Further, in order to control the delay variation to be improved, the driver cell in the net affected by the crosstalk and the type of the driver cell in the net affected by the crosstalk are changed to an optimum combination. The method for designing a semiconductor integrated circuit according to claim 1. 前記論理反転の工程に次いで、さらに、前記クロストークの影響を与えるネットと前記クロストークの影響を受けるネットに属するセルのタイプを変更する工程を有する請求項2に記載の半導体集積回路の設計方法。 3. The method of designing a semiconductor integrated circuit according to claim 2, further comprising a step of changing a type of a cell belonging to the net affected by the crosstalk and a net affected by the crosstalk after the logic inversion step. . タイミングマージンの少ないクリティカルパスまたはタイミングエラーのあるパスに対して、その近辺に配線されているネットを前記クリティカルパスに並行配線させ、クロストークを起こさせることによりタイミング改善することを特徴とする半導体集積回路の設計方法。 A semiconductor integrated circuit characterized in that for a critical path with a small timing margin or a path with a timing error, a net routed in the vicinity of the path is wired in parallel to the critical path and crosstalk is caused to improve timing. Circuit design method. レイアウト設計後にクロストークを考慮しないタイミング解析を実施する工程と、
前記タイミング解析結果からクリティカルパスまたはエラーパスを抽出する工程と、
前記抽出パス内でクロストークの影響を受けやすいネットを抽出する工程と、
前記抽出したネット周辺で前記抽出ネットと近いタイミングで状態遷移するネットを抽出する工程と、
前記2つの抽出ネットを並行配線させる工程と、
前記並行配線の2つのネットの位相関係を調べ、クロストークによる遅延変動においてタイミング収束を助ける方向に前記位相関係を調整する工程と
を有する半導体集積回路の設計方法。
A process of performing timing analysis without considering crosstalk after layout design,
Extracting a critical path or an error path from the timing analysis result;
Extracting a net susceptible to crosstalk within the extraction path;
Extracting a net that makes a state transition around the extracted net at a timing close to the extracted net;
A step of wiring the two extraction nets in parallel;
A method of designing a semiconductor integrated circuit, comprising: examining a phase relationship between two nets of the parallel wiring and adjusting the phase relationship in a direction that helps timing convergence in a delay variation due to crosstalk.
JP2003273594A 2003-07-11 2003-07-11 Method of designing semiconductor integrated circuit Pending JP2005033136A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003273594A JP2005033136A (en) 2003-07-11 2003-07-11 Method of designing semiconductor integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003273594A JP2005033136A (en) 2003-07-11 2003-07-11 Method of designing semiconductor integrated circuit

Publications (1)

Publication Number Publication Date
JP2005033136A true JP2005033136A (en) 2005-02-03

Family

ID=34210788

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003273594A Pending JP2005033136A (en) 2003-07-11 2003-07-11 Method of designing semiconductor integrated circuit

Country Status (1)

Country Link
JP (1) JP2005033136A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009231312A (en) * 2008-03-19 2009-10-08 Renesas Technology Corp Semiconductor integrated circuit and design method of semiconductor integrated circuit
US8049286B2 (en) 2007-05-09 2011-11-01 Sony Corporation Semiconductor device and semiconductor device manufacturing method

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8049286B2 (en) 2007-05-09 2011-11-01 Sony Corporation Semiconductor device and semiconductor device manufacturing method
JP2009231312A (en) * 2008-03-19 2009-10-08 Renesas Technology Corp Semiconductor integrated circuit and design method of semiconductor integrated circuit

Similar Documents

Publication Publication Date Title
US7231622B2 (en) Method for correcting crosstalk
JP4501728B2 (en) Crosstalk error control device, crosstalk error control method, and crosstalk error control program
US7207022B2 (en) Layout design method for semiconductor integrated circuits
JPWO2001082145A1 (en) Crosstalk analysis method, method for designing or manufacturing electronic circuit device using the same, and recording medium for electronic circuit library therefor
JPH09293094A (en) Layout design device
US8181130B1 (en) Method for jitter reduction by shifting current consumption
US20110295536A1 (en) Clock jitter analyzing method and apparatus
JP2005093563A (en) Semiconductor integrated circuit and method of designing same
JP2005033136A (en) Method of designing semiconductor integrated circuit
JP2004280439A (en) Crosstalk noise detecting method, method for designing semiconductor integrated circuit and design verifying method
US20020188911A1 (en) Gated clock generating circuit and method of modifying the circuit
JP4521309B2 (en) Semiconductor integrated circuit analysis apparatus and analysis method
JP2006344165A (en) Layout design device, layout design method, layout design program and recording medium
US20080209368A1 (en) Layout design method, layout design apparatus, and computer product
JP2008250583A (en) Layout design device and layout method
JP5645754B2 (en) Multi-cycle path detection device and multi-cycle path detection program
US11256838B2 (en) Establishing method for timing model
US7913207B2 (en) Method and apparatus for verifying logic circuit
JP2000194734A (en) Back annotation method for semiconductor integrated circuit
JP2010160580A (en) Method, apparatus, and program for correcting hold error
JP2002313916A (en) Device and method for designing layout of semiconductor integrated circuit
JP3978222B2 (en) Semiconductor integrated circuit design method
JP5234806B2 (en) Logic simulation apparatus and simulation method thereof
JP2001077201A (en) Integrated circuit and design method therefor
JP2011134072A (en) Method for designing semiconductor integrated circuit and program