JP2002313916A - Device and method for designing layout of semiconductor integrated circuit - Google Patents

Device and method for designing layout of semiconductor integrated circuit

Info

Publication number
JP2002313916A
JP2002313916A JP2001113920A JP2001113920A JP2002313916A JP 2002313916 A JP2002313916 A JP 2002313916A JP 2001113920 A JP2001113920 A JP 2001113920A JP 2001113920 A JP2001113920 A JP 2001113920A JP 2002313916 A JP2002313916 A JP 2002313916A
Authority
JP
Japan
Prior art keywords
delay
layout
buffer
circuit
wiring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001113920A
Other languages
Japanese (ja)
Inventor
Tomonori Sawano
知紀 沢野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP2001113920A priority Critical patent/JP2002313916A/en
Publication of JP2002313916A publication Critical patent/JP2002313916A/en
Pending legal-status Critical Current

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a device for designing the layout of a semiconductor integrated circuit in which enhancement in the performance of a logic circuit, high integration of placement and routing, high speed processing, and enhancement of productivity can be realized. SOLUTION: A layout section 11 performs the layout of a designed logic circuit. A delay analysis section 15 makes a decision whether a delay error is present or not from the layout results. If the delay error is present, a relay buffer insertion section 12 inserts a relay buffer so that the delay error is eliminated. When a block becoming an obstacle for routing is present at an insertion place, a relay buffer moving section 13 alters placement to the outside of the block. If no effect of a delay error improvement is attained, a relay buffer altering section 14 alters the number or the type of the relay buffer.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、LSI(半導体集
積回路)などの論理回路を自動的にレイアウトする半導
体集積回路のレイアウト設計装置及びレイアウト設計方
法に関する。
The present invention relates to a layout design apparatus and a layout design method for a semiconductor integrated circuit for automatically laying out a logic circuit such as an LSI (semiconductor integrated circuit).

【0002】[0002]

【従来の技術】一般に、LSIの論理回路における回路
素子の配置及び配線等のレイアウトは、コンピュータを
用いたCAD(Computer Aided Des
ign)システムにより行われている。通常、この種の
CADシステム(以下、レイアウト設計装置と称す)
は、論理回路のレイアウトを設計する機能に加え、設計
された当該論理回路が、同期等の種々の理由に基づく遅
延時間の要請を満足するかどうかを検証する機能を有す
る。
2. Description of the Related Art In general, layout of circuit elements in an LSI logic circuit, such as arrangement and wiring, is performed by CAD (Computer Aided Des) using a computer.
Ign) system. Usually, this kind of CAD system (hereinafter referred to as layout design device)
Has a function of designing the layout of a logic circuit and a function of verifying whether or not the designed logic circuit satisfies a request for a delay time based on various reasons such as synchronization.

【0003】以下、具体的に説明する。従来のレイアウ
ト設計装置は、論理回路の論理接続情報に基づいて、総
配線長の短縮、配線性の向上を目的とするレイアウト設
計を行う。そして、レイアウト設計装置は、レイアウト
設計の結果に基づいて、遅延解析を行う。ここで、パス
の遅延エラーが発生した場合、手作業にて配置配線の修
正を行ったり、論理接続情報を修正して再度レイアウト
設計を実施したりすることにより、遅延エラーを除去し
ていた。
[0003] The following is a specific description. A conventional layout design apparatus performs a layout design for the purpose of shortening the total wiring length and improving the wiring property based on the logical connection information of the logic circuit. Then, the layout design device performs a delay analysis based on the result of the layout design. Here, when a path delay error occurs, the delay error has been removed by manually modifying the placement and routing, or modifying the logical connection information and re-designing the layout.

【0004】また、遅延制約の厳しいパス上のゲート
を、当該遅延制約に対する違反が起こらないように、手
作業にて、予め近付けて配置したり、場合によっては、
手作業にて配線を行ったりして遅延エラーを改善してい
た。また、遅延解析手段を備えた自動配置配線手段を用
いて遅延エラーを改善するレイアウト設計装置も提案さ
れていた。当該レイアウト設計装置では、論理回路の論
理接続関係を変更することなく、回路素子の配置及び配
線処理の手法等を工夫し、遅延エラーが解消するまで、
配置配線を繰り返し行っていた。
In addition, gates on paths with strict delay constraints are manually arranged close to each other in advance so as not to violate the delay constraints.
Wiring was done manually to improve delay errors. In addition, a layout design apparatus that improves a delay error by using an automatic placement and routing unit having a delay analysis unit has also been proposed. In the layout design apparatus, without changing the logical connection relationship of the logic circuit, devising a method of arrangement and wiring processing of circuit elements, until the delay error is eliminated.
Placement and wiring were repeated.

【0005】[0005]

【発明が解決しようとする課題】しかし、このようなレ
イアウト設計装置では、手作業による配置配線の修正を
したり、論理接続情報のみに基づいて、遅延制約を満足
するまで再度配置配線を繰り返したりするため時間がか
かる等の問題があった。そこで、中継ゲートを挿入する
手法を採用して遅延エラーを解消するレイアウト設計装
置も提案されている。この種の技術としては、例えば、
特開平6−243199号公報、特開平6−33404
2号公報、特開平9−293094号公報等に開示され
た技術がある。
However, in such a layout design apparatus, the placement and routing is manually corrected, and the placement and routing is repeated again until the delay constraint is satisfied based on only the logical connection information. To take a long time. Therefore, a layout design apparatus that eliminates a delay error by adopting a method of inserting a relay gate has been proposed. Examples of this type of technology include:
JP-A-6-243199, JP-A-6-33404
No. 2, JP-A-9-293094 and the like.

【0006】特開平6−243199号公報には、バッ
ファゲートを挿入する際に、前段素子と後段素子の中間
点より前段よりに挿入することが示されている。そのた
めの方法としてチップの特定領域に論理とは無関係な予
備ゲートエリアを設定しておく方法が示されている。ま
た、特開平6−334042号公報には、中継バッファ
挿入位置確保を不要とするためレイアウトパターンに制
限を設ける方法が示されている。しかし、この特開平6
−243199と特開平6−334042号公報に開示
された従来技術によれば、不要になるかもしれない予備
領域を予め確保するために、レイアウトの自由度に制限
を設けなくてはならないという問題点がある。
Japanese Patent Application Laid-Open No. 6-243199 discloses that when a buffer gate is inserted, the buffer gate is inserted before the intermediate point between the former element and the latter element. As a method therefor, there is shown a method of setting a spare gate area irrelevant to logic in a specific area of a chip. In addition, Japanese Patent Application Laid-Open No. 6-334042 discloses a method in which a layout pattern is limited in order to make it unnecessary to secure a relay buffer insertion position. However, Japanese Patent Application Laid-Open
According to the prior art disclosed in Japanese Patent Application Laid-Open No. 243199/1994 and Japanese Patent Application Laid-Open No. 6-334042, there is a problem that the degree of freedom in layout must be limited in order to secure a spare area which may become unnecessary in advance. There is.

【0007】一方、特開平9−293094号公報に
は、特定の予備領域を設けず、中継ゲートの配置位置に
他の回路素子が存在する場合には、中継ゲートの配置を
優先し、他の回路素子の位置をずらす方法が示されてい
る。しかし、この特開平9−293094号公報に開示
された従来技術によれば、中継バッファを配置すべき位
置に存在する他の回路素子が、RAM(ランダムアクセ
スメモリ)、ROM(リードオンリーメモリ)、RF
(レジスタファイル)等のハードマクロである場合や、
クロック生成・分配系のような素子およびデータパスの
ような人手設計マクロである場合には、自動で動かすわ
けにはいかない。このような場合、その近傍の配置可能
な位置に中継バッファを移動するのだが、一般にこのよ
うな回路ブロックは巨大なので、移動距離が0.5〜1
mm以上となる。従って、移動に伴う配線長の増分が、
無視できなくなり、中継バッファを挿入しても遅延改善
が果たせない可能性があるという問題がある。
On the other hand, in Japanese Patent Application Laid-Open No. 9-293094, if a specific spare area is not provided and another circuit element is present at the position where the relay gate is arranged, the arrangement of the relay gate is prioritized. A method of shifting the position of a circuit element is shown. However, according to the prior art disclosed in Japanese Patent Application Laid-Open No. 9-293094, other circuit elements located at positions where relay buffers should be arranged include a RAM (random access memory), a ROM (read only memory), RF
(Register file) and other hard macros,
In the case of an element such as a clock generation / distribution system and a manually designed macro such as a data path, it cannot be operated automatically. In such a case, the relay buffer is moved to a position where the relay buffer can be arranged in the vicinity thereof. However, since such a circuit block is generally huge, the moving distance is 0.5 to 1 mm.
mm or more. Therefore, the increment of the wiring length due to the movement is
There is a problem that the delay cannot be improved even if a relay buffer is inserted.

【0008】以下、図2及び図3を参照して、従来のバ
ッファ挿入処理を説明する。図2に示すように、レイア
ウト設計装置が、フリップフロップ201とフリップフ
ロップ206、ゲート202からゲート205をレイア
ウトする。次に、レイアウト設計装置は、レイアウトに
対して遅延解析処理を施す。この解析の結果、フリップ
フロップ201とフリップフロップ206間で遅延エラ
ーが検出されたとする。レイアウト設計装置は、遅延時
間が改善される中継バッファの個数、配置位置、駆動能
力を特定し、図3に示すように、ゲート203と同等の
駆動力の中継バッファ501と中継バッファ502を、
配線209を3等分する位置に挿入する。ここで、上述
の図2に示すように、中継バッファの挿入位置に配線障
害となる他の回路ブロック401が存在した場合、レイ
アウト設計装置は、中継バッファを配置可能な領域に移
動する。この配線障害となるブロック401が前述に示
すような巨大なマクロであった場合、遅延時間が改善さ
れない可能性があるという問題がある。
A conventional buffer insertion process will be described below with reference to FIGS. As shown in FIG. 2, the layout design apparatus lays out a flip-flop 201, a flip-flop 206, and a gate 202 to a gate 205. Next, the layout design device performs a delay analysis process on the layout. As a result of this analysis, it is assumed that a delay error is detected between the flip-flop 201 and the flip-flop 206. The layout design apparatus specifies the number, arrangement position, and driving capability of the relay buffers for which the delay time is improved, and as shown in FIG. 3, replaces the relay buffers 501 and 502 with the same driving force as the gate 203,
The wiring 209 is inserted at a position where the wiring 209 is equally divided into three. Here, as shown in FIG. 2 described above, when another circuit block 401 that causes a wiring failure exists at the insertion position of the relay buffer, the layout design apparatus moves to an area where the relay buffer can be arranged. If the block 401 causing the wiring failure is a huge macro as described above, there is a problem that the delay time may not be improved.

【0009】この発明は、上記の事情を考慮してなされ
たもので、その目的は、中継バッファの挿入を伴う配置
配線の修正処理を効果的に行うことにより、設計される
論理回路の性能向上、配置配線の高集積化及び処理の高
速化を可能としたレイアウト設計装置を提供することを
目的としている。
SUMMARY OF THE INVENTION The present invention has been made in consideration of the above circumstances, and has as its object to improve the performance of a designed logic circuit by effectively performing a placement and wiring correction process involving the insertion of a relay buffer. It is another object of the present invention to provide a layout design apparatus which enables high integration of arrangement and wiring and high-speed processing.

【0010】[0010]

【課題を解決するための手段】この発明は、上記の課題
を解決すべくなされたもので、請求項1に記載の発明
は、設計対象とする半導体集積回路の論理接続情報に基
づいて、各回路素子を配置し、前記回路素子を配線する
レイアウト手段と、前記レイアウト手段により得られる
レイアウトに対して、遅延解析処理を施す遅延解析手段
と、前記遅延解析処理の結果、前記回路素子間で所望の
遅延特性が得られない場合、遅延特性が改善されるよう
に、前記回路素子を接続する配線に中継用のバッファを
挿入するバッファ挿入手段と、前記中継用のバッファの
挿入位置に、他の回路ブロックが存在する場合、前記中
継用のバッファを前記他の回路ブロックの外に移動する
バッファ移動手段と、前記中継用バッファを移動して得
られるレイアウトに対して、遅延解析処理を施し、前記
回路素子間で所望の遅延特性が得られない場合、前記遅
延特性が改善されるように、前記中継用のバッファもし
くは前記回路中の素子の電気的特性を変更するバッファ
変更手段とを具備することを特徴とする半導体集積回路
のレイアウト設計装置である。
SUMMARY OF THE INVENTION The present invention has been made to solve the above-mentioned problems, and the invention according to claim 1 is based on logical connection information of a semiconductor integrated circuit to be designed. Layout means for arranging circuit elements and wiring the circuit elements; delay analysis means for performing delay analysis processing on a layout obtained by the layout means; If the delay characteristics of the relay buffer cannot be obtained, a buffer insertion unit that inserts a relay buffer into the wiring connecting the circuit elements and another insertion position of the relay buffer so that the delay characteristics are improved. When there is a circuit block, a buffer moving means for moving the relay buffer out of the other circuit block; and a layout obtained by moving the relay buffer. Then, a delay analysis process is performed, and when a desired delay characteristic cannot be obtained between the circuit elements, the electrical characteristic of the relay buffer or an element in the circuit is changed so that the delay characteristic is improved. A layout designing apparatus for a semiconductor integrated circuit, comprising: a buffer changing unit for changing the buffer.

【0011】また、請求項2に記載の発明は、請求項1
に記載の半導体集積回路のレイアウト設計装置におい
て、前記遅延解析手段は、配線及び回路素子の電気的特
性を保持する電気的特性記憶部と、各回路素子間の遅延
時間の制限値を保持する遅延情報記憶部と、前記レイア
ウト手段により得られたレイアウトに基づいて、前記電
気的記憶部から前記配線と前記回路素子の電気的特性を
読み出し、前記電気的特性から、前記回路素子間の遅延
時間を算出し、前記遅延情報記憶部から、前記制限値を
読み出し、前記遅延時間と前記制限値を比較し、前記回
路素子間の遅延エラーの有無を検出する遅延解析処理部
とを備えたことを特徴とする。
The invention described in claim 2 is the first invention.
In the layout design apparatus for a semiconductor integrated circuit according to the above, the delay analysis means may include: an electrical characteristic storage unit that retains electrical characteristics of wiring and circuit elements; and a delay that retains a limit value of a delay time between the circuit elements. An information storage unit, based on the layout obtained by the layout unit, reads the electrical characteristics of the wiring and the circuit element from the electrical storage unit, and calculates a delay time between the circuit elements from the electrical characteristic. A delay analysis processing unit for calculating and reading the limit value from the delay information storage unit, comparing the delay time with the limit value, and detecting the presence or absence of a delay error between the circuit elements. And

【0012】また、請求項3に記載の発明は、請求項1
に記載の半導体集積回路のレイアウト設計装置におい
て、前記バッファ挿入手段は、前記遅延エラーを解消す
るように前記中継用のバッファの種類、個数、配置位置
を選択することを特徴とする。また請求項4に記載の発
明は、請求項1に記載の半導体集積回路のレイアウト設
計装置において、前記バッファ移動手段は、前記中継用
のバッファを移動するのに加えて、前記回路ブロックを
移動することを特徴とする。
Further, the invention described in claim 3 is the first invention.
Wherein the buffer insertion means selects the type, number, and arrangement position of the relay buffer so as to eliminate the delay error. According to a fourth aspect of the present invention, in the semiconductor integrated circuit layout designing apparatus according to the first aspect, the buffer moving means moves the circuit block in addition to moving the relay buffer. It is characterized by the following.

【0013】また、請求項5に記載の発明は、請求項1
に記載の半導体集積回路のレイアウト設計装置におい
て、前記バッファ変更手段は、前記中継バッファの種類
と個数を変更することを特徴とする。
The invention described in claim 5 is the first invention.
Wherein the buffer changing means changes the type and the number of the relay buffers.

【0014】また、請求項6に記載の発明は、設計対象
とする半導体集積回路の論理接続情報に基づいて、各回
路素子を配置し、前記回路素子を配線するレイアウト手
段と、前記レイアウト手段により得られるレイアウトに
対して、遅延解析処理を施す遅延解析手段と、前記遅延
解析処理の結果、前記回路素子間で所望の遅延特性が得
られない場合、遅延特性が改善されるように前記回路素
子中のバッファを削除するバッファ削除手段とを具備す
ることを特徴とする半導体集積回路のレイアウト設計装
置である。
According to a sixth aspect of the present invention, there is provided a layout unit for arranging each circuit element and wiring the circuit element based on logical connection information of a semiconductor integrated circuit to be designed, and the layout unit. Delay analysis means for performing delay analysis processing on the obtained layout; and as a result of the delay analysis processing, when a desired delay characteristic cannot be obtained between the circuit elements, the circuit element is designed to improve the delay characteristic. A layout design apparatus for a semiconductor integrated circuit, comprising: a buffer deleting means for deleting a buffer in the semiconductor integrated circuit.

【0015】また、請求項7に記載の発明は、設計対象
とする半導体集積回路の論理接続情報に基づいて、各回
路素子を配線するレイアウト処理を施し、前記レイアウ
ト処理の結果に対して、遅延解析処理を施し、前記遅延
解析処理の結果、前記回路素子間で所望の遅延特性が得
られなかった場合、遅延特性が改善されるように、前記
回路素子を接続する配線に中継用のバッファを挿入し、
前記中継用のバッファの挿入位置に、他の回路ブロック
が存在する場合、前記中継用のバッファを前記他の回路
ブロックの外に移動し、前記中継用のバッファを移動し
て得られるレイアウトに対して、遅延解析処理を施し、
前記回路素子間で、所望の遅延特性が得られない場合、
前記遅延特性が改善されるように、前記中継用のバッフ
ァの電気的特性を変更することを特徴とする半導体集積
回路のレイアウト設計方法である。
According to a seventh aspect of the present invention, a layout process for wiring each circuit element is performed based on logical connection information of a semiconductor integrated circuit to be designed, and a delay is applied to a result of the layout process. Performing an analysis process, if a desired delay characteristic is not obtained between the circuit elements as a result of the delay analysis process, a relay buffer is connected to a wiring connecting the circuit element so that the delay characteristic is improved. Insert
If there is another circuit block at the insertion position of the relay buffer, move the relay buffer out of the other circuit block and move the relay buffer to a layout obtained. And delay analysis processing,
When a desired delay characteristic cannot be obtained between the circuit elements,
A layout design method for a semiconductor integrated circuit, characterized in that electrical characteristics of the relay buffer are changed so that the delay characteristics are improved.

【0016】[0016]

【発明の実施の形態】以下図面を参照して、本実施の形
態のレイアウト設計装置について説明する。図1は、同
実施の形態のレイアウト設計装置の構成を示すブロック
図である。11は、設計対象とする半導体集積回路の論
理接続情報に基づいて、各回路素子を配置し、回路素子
間を配線するレイアウト処理部である。12は、遅延エ
ラーが検出された配線に対し、遅延時間が減少するよう
に中継バッファを挿入するバッファ挿入処理部である。
13は、中継バッファの挿入位置に他の回路ブロックが
存在する場合、配置可能な領域に中継バッファを移動す
るバッファ移動処理部である。14は、バッファ移動処
理後のレイアウト結果に対し再度遅延解析を行い、遅延
エラーが検出された場合、中継バッファの種類や個数を
変更するバッファ変更処理部である。15は、レイアウ
ト処理部11により得られるレイアウトに対して、遅延
解析を行う遅延解析処理部である。記憶部16は、遅延
時間の上限値である遅延制約を記憶する遅延情報記憶部
161と、回路素子及び配線の電気的特性を記憶する電
気特性記憶部162を有する。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS A layout design apparatus according to the present embodiment will be described below with reference to the drawings. FIG. 1 is a block diagram showing a configuration of the layout design apparatus according to the embodiment. Reference numeral 11 denotes a layout processing unit that arranges each circuit element based on logical connection information of a semiconductor integrated circuit to be designed and wires the circuit elements. Reference numeral 12 denotes a buffer insertion processing unit that inserts a relay buffer into a wiring in which a delay error has been detected so as to reduce the delay time.
Reference numeral 13 denotes a buffer movement processing unit that moves the relay buffer to an area where it can be arranged when another circuit block exists at the insertion position of the relay buffer. Reference numeral 14 denotes a buffer change processing unit that performs delay analysis again on the layout result after the buffer moving process, and changes the type and number of relay buffers when a delay error is detected. Reference numeral 15 denotes a delay analysis processing unit that performs a delay analysis on the layout obtained by the layout processing unit 11. The storage unit 16 includes a delay information storage unit 161 that stores a delay constraint that is an upper limit value of a delay time, and an electric characteristic storage unit 162 that stores electric characteristics of circuit elements and wirings.

【0017】図2は、同実施の形態のレイアウト設計装
置のレイアウト設計処理部11によるレイアウトを示す
図である。図3は、同実施の形態のレイアウト設計装置
によるバッファ挿入処理部12によるレイアウトを示す
図である。図4は、同実施の形態のレイアウト装置によ
るバッファ変更処理部14によるレイアウトを示す図で
ある。図2において、201、206は、フリップフロ
ップであり、202から205は、ゲートである。40
1は、ゲート203とゲート204を接続する配線20
9を含み、配置障害となるブロックである。図3におい
て、501、502は、配線遅延を改善する中継バッフ
ァである。
FIG. 2 is a diagram showing a layout by the layout design processing unit 11 of the layout design apparatus according to the embodiment. FIG. 3 is a diagram showing a layout by the buffer insertion processing unit 12 of the layout design apparatus according to the embodiment. FIG. 4 is a diagram showing a layout by the buffer change processing unit 14 by the layout device of the embodiment. In FIG. 2, 201 and 206 are flip-flops, and 202 to 205 are gates. 40
1 is a wiring 20 connecting the gate 203 and the gate 204
9, which is a block that causes an arrangement failure. In FIG. 3, reference numerals 501 and 502 denote relay buffers for improving wiring delay.

【0018】以下、この実施形態の動作(方法)を説明
する。設計対象とする半導体集積回路の論理接続情報に
基づいて、レイアウト処理部11は、各回路素子を配置
し、各回路素子間を配線するレイアウト処理を施す。図
2に示すように、レイアウト処理部11は、フリップフ
ロップ201とフリップ206、ゲート202からゲー
ト205を配置し、各回路素子間を配線207から配線
211によって接続する。
The operation (method) of this embodiment will be described below. Based on the logical connection information of the semiconductor integrated circuit to be designed, the layout processing unit 11 performs a layout process of arranging each circuit element and wiring between the circuit elements. As shown in FIG. 2, the layout processing unit 11 arranges flip-flops 201 and flips 206, and gates 202 to 205, and connects each circuit element with a wiring 207 to a wiring 211.

【0019】レイアウト処理部11によって得られたレ
イアウトに基づいて、遅延解析処理部15は、個々の回
路素子間の配線長を導出する。次に、遅延解析処理部1
5は、記憶部16内の電気的特性記憶部162から配線
長に対する配線抵抗及び配線容量と、配線を駆動するゲ
ートの出力インピーダンスを読み出す。このパラメータ
を用いて、遅延解析処理部15は、各回路素子間の遅延
時間を算出する。また、遅延解析処理部15は、遅延情
報記憶部161から遅延制約を読み出し、当該遅延時間
と当該遅延制約の比較を行い、遅延エラーの有無を検出
する。
On the basis of the layout obtained by the layout processing section 11, the delay analysis processing section 15 derives the wiring length between the individual circuit elements. Next, the delay analysis processing unit 1
Reference numeral 5 reads the wiring resistance and the wiring capacitance with respect to the wiring length and the output impedance of the gate for driving the wiring from the electrical characteristic storage unit 162 in the storage unit 16. Using these parameters, the delay analysis processing unit 15 calculates a delay time between each circuit element. Further, the delay analysis processing unit 15 reads the delay constraint from the delay information storage unit 161, compares the delay time with the delay constraint, and detects the presence or absence of a delay error.

【0020】ここで、フリップフロップ201とフリッ
プフロップ206の間で遅延エラーが検出されたとす
る。バッファ挿入処理部12は、配線長に対する配線抵
抗及び配線容量、ゲートの駆動力から、遅延エラーが解
消される最適な中継バッファの種類、個数、配線位置を
選択する。そして、バッファ挿入処理部12は、選択さ
れた中継バッファを、遅延エラーが検出された回路素子
間に挿入する。この場合、バッファ挿入処理部12は、
配置位置が配線209を3等分する位置を選択し、ゲー
ト205と同等の駆動力を有する中継バッファ501
と、中継バッファ502を暫定的に挿入する。
Here, it is assumed that a delay error is detected between the flip-flop 201 and the flip-flop 206. The buffer insertion processing unit 12 selects an optimum type, number, and wiring position of the relay buffer in which the delay error is eliminated from the wiring resistance and the wiring capacity with respect to the wiring length and the driving force of the gate. Then, the buffer insertion processing unit 12 inserts the selected relay buffer between the circuit elements in which the delay error has been detected. In this case, the buffer insertion processing unit 12
A position where the arrangement position divides the wiring 209 into three equal parts is selected, and the relay buffer 501 having the same driving force as the gate 205 is selected.
Then, the relay buffer 502 is provisionally inserted.

【0021】バッファ挿入処理後のレイアウトに対し
て、遅延解析処理部15は、記憶部16内の電気的特性
記憶部162から、駆動力(例えば出力インピーダン
ス)と、配線長に対する配線抵抗及び配線容量を読み出
し、配線の遅延時間を算出する。また、遅延解析処理部
15は、記憶部16内の遅延情報記憶部161から遅延
制約を読み出す。そして、遅延解析処理部は、当該遅延
時間と当該遅延制約を比較し、遅延エラーの有無を検出
する。
With respect to the layout after the buffer insertion processing, the delay analysis processing unit 15 stores the driving force (for example, output impedance), the wiring resistance and the wiring capacitance with respect to the wiring length from the electrical characteristic storage unit 162 in the storage unit 16 Is read, and the delay time of the wiring is calculated. Further, the delay analysis processing unit 15 reads out the delay constraint from the delay information storage unit 161 in the storage unit 16. Then, the delay analysis processing unit compares the delay time with the delay constraint and detects the presence or absence of a delay error.

【0022】次に、バッファ挿入処理部12によって選
択された、中継バッファ501と中継バッファ502の
挿入位置に配置障害となるブロック401が存在したと
する。バッファ移動処理部13は、このブロックの外側
であって、中継バッファを配置可能な領域に移動する。
この例では、配線経路209上であって、ブロック20
9の近傍に中継バッファを移動している。バッファ移動
処理部13によって変更されたレイアウトに基づいて、
遅延解析処理部15は、フリップフロップ201とフリ
ップフロップ206間の遅延時間を算出し、遅延エラー
の有無を検出する。
Next, it is assumed that there is a block 401, which is selected by the buffer insertion processing unit 12, and is located at the insertion position of the relay buffer 501 and the relay buffer 502, which is an obstacle to the arrangement. The buffer movement processing unit 13 moves to a region outside the block and in which the relay buffer can be arranged.
In this example, on the wiring path 209, the block 20
9 is moved to the vicinity of the relay buffer. Based on the layout changed by the buffer movement processing unit 13,
The delay analysis processing unit 15 calculates a delay time between the flip-flop 201 and the flip-flop 206 and detects whether or not there is a delay error.

【0023】次に、ブロック401が巨大なマクロであ
る等の理由により、遅延解析処理部15が遅延エラーを
検出したとする。そして、バッファ変更処理部14は、
移動した中継バッファに対し、駆動力や個数を変更す
る。具体的には、図4において、バッファ変更処理部1
4は、中継バッファ501を高駆動のものに変更する。
遅延解析処理部15は、バッファ変更処理部14によっ
て変更されたレイアウトに基づいて、遅延解析処理を施
し、遅延エラーの有無を検出する。レイアウト設計装置
は、遅延エラーが解消された場合、中継バッファの種
類、個数、配置位置を決定する。以上により、最適なレ
イアウトが得られる。尚、最終的な中継バッファの種
類、個数、配置位置が決定した段階で、レイアウト処理
部11は、再度、レイアウト処理を実施する。また、上
記実施形態において、バッファ移動処理部13は、中継
バッファをブロック209の近傍に移動しているが、本
発明では、これに限定されず、他の回路ブロックである
ブロック209を移動することも可能である。さらに、
バッファ変更処理部14は、挿入された中継バッファに
対しての変更について述べたが、本発明では、これに限
定されず、回路素子の電気的特性を変更することも可能
である。
Next, it is assumed that the delay analysis processing unit 15 detects a delay error because the block 401 is a huge macro. Then, the buffer change processing unit 14
Change the driving force and number of the moved relay buffers. More specifically, in FIG.
4 changes the relay buffer 501 to a high-drive buffer.
The delay analysis processing unit 15 performs a delay analysis process based on the layout changed by the buffer change processing unit 14, and detects the presence or absence of a delay error. When the delay error has been eliminated, the layout design apparatus determines the type, number, and arrangement position of the relay buffers. As described above, an optimal layout is obtained. When the final type, number, and arrangement position of the relay buffers are determined, the layout processing unit 11 performs the layout processing again. Further, in the above embodiment, the buffer moving processing unit 13 moves the relay buffer to the vicinity of the block 209. However, the present invention is not limited to this, and the buffer moving processing unit 13 may move the block 209 which is another circuit block. Is also possible. further,
Although the buffer change processing unit 14 has described the change to the inserted relay buffer, the present invention is not limited to this, and it is also possible to change the electrical characteristics of the circuit element.

【0024】以下、本実施の形態の遅延解析処理部15
による遅延特性の改善方法を説明する。ただし、ここで
示した遅延の計算方法は一つの例であり、実際にはその
使用テクノロジと解析精度にあった計算手段が用いられ
る。遅延解析処理部15は、それぞれの手段により得ら
れるレイアウト結果から、配線遅延時間Tを算出する。
個々の配線に対して、遅延解析処理部15は、記憶部1
6内の電気的特性記憶部162の配線容量CL、配線抵
抗RL、駆動するゲートの出力インピーダンスRoを読
み出す。これらの読み出したパラメータから、遅延解析
処理部15は、配線遅延時間Tを次式(1)で算出す
る。 T=K・CL(Ro+RL) ・・・・ (1) ここで、Kは、比例定数である。
Hereinafter, the delay analysis processing unit 15 of this embodiment will be described.
The method for improving the delay characteristics by the method will be described. However, the method of calculating the delay shown here is one example, and in practice, a calculation means suitable for the technology used and the analysis accuracy is used. The delay analysis processing unit 15 calculates the wiring delay time T from the layout result obtained by each means.
For each wiring, the delay analysis processing unit 15
The wiring capacitance CL, the wiring resistance RL, and the output impedance Ro of the gate to be driven are read from the electrical characteristic storage unit 162 in the memory 6. From these read parameters, the delay analysis processing unit 15 calculates the wiring delay time T by the following equation (1). T = K · CL (Ro + RL) (1) where K is a proportional constant.

【0025】例えば、レイアウト設計処理部11にてレ
イアウトした結果、配置された回路素子間の配線長が1
0L(Lは単位長さ)、Clが単位長さあたりの配線容
量、Rlが単位長さあたりの配線抵抗とした場合、式
(1)は、次式(2)のように示される。 T1=K・10Cl(Ro+10Rl) ・・・・(2) T1は、レイアウト処理を施した後の配線の遅延時間で
あり、10Cl(Ro+10Rl)に比例した大きさと
なる。遅延解析処理部15にて、当該配線で遅延エラー
が検出されたとする。バッファ挿入処理部12は、当該
配線の遅延時間を改善する最適な、駆動力、個数、挿入
位置を選択する。
For example, as a result of laying out by the layout design processing section 11, the wiring length between the arranged circuit elements is 1
When 0L (L is unit length), Cl is wiring capacity per unit length, and Rl is wiring resistance per unit length, equation (1) is expressed as the following equation (2). T1 = K · 10Cl (Ro + 10Rl) (2) T1 is a delay time of the wiring after the layout processing is performed, and has a magnitude proportional to 10Cl (Ro + 10Rl). It is assumed that the delay analysis processing unit 15 detects a delay error in the wiring. The buffer insertion processing unit 12 selects the optimum driving force, number, and insertion position for improving the delay time of the wiring.

【0026】そして、バッファ挿入処理部12が、中継
バッファの駆動力を、当該配線を駆動したゲートと同等
のものを選択し、当該配線を3分割する位置に中継バッ
ファを挿入したとする。この場合の配線遅延時間T2
は、式(2)を用いて、次式(3)で表される。 T2=K・{3.3Cl(Ro+3.3Rl)・3} ・・・・ (3) 遅延解析処理部15は、式(3)の結果に基づいて、当
該配線の遅延エラーの有無を検出する。
Then, it is assumed that the buffer insertion processing unit 12 selects the driving force of the relay buffer equivalent to the gate driving the wiring, and inserts the relay buffer at a position where the wiring is divided into three. Wiring delay time T2 in this case
Is expressed by the following equation (3) using the equation (2). T2 = K · {3.3Cl (Ro + 3.3Rl) · 3} (3) The delay analysis processing unit 15 detects the presence or absence of a delay error of the wiring based on the result of Expression (3). .

【0027】次に、中継バッファの挿入位置に、配線障
害となるブロックが存在したとする。バッファ移動処理
部13は、このブロックの外側であって、配置可能な領
域に中継バッファを移動する。バッファを移動したこと
によるレイアウト結果から、配線長が1:5.7:3.
3になった場合、配線遅延時間T3は、式(2)を用い
て、次式(4)で示される。 T3=K・{Cl(Ro+Rl)+5.7Cl(Ro+5.7Rl)+ 3.3Cl(Ro+3.3Cl)} ・・・ ・(4) 遅延解析処理部15は、式(4)の計算結果に基づい
て、当該配線の遅延エラーの有無を検出する。
Next, it is assumed that there is a block that causes a wiring failure at the insertion position of the relay buffer. The buffer movement processing unit 13 moves the relay buffer to an area outside the block and in which it can be arranged. From the layout result obtained by moving the buffer, the wiring length is 1: 5.7: 3.
When it becomes 3, the wiring delay time T3 is expressed by the following equation (4) using the equation (2). T3 = K {{Cl (Ro + R1) + 5.7Cl (Ro + 5.7R1) + 3.3Cl (Ro + 3.3Cl)} (4) The delay analysis processing unit 15 is based on the calculation result of Expression (4). Then, the presence or absence of the delay error of the wiring is detected.

【0028】次に、配線障害となるブロックが巨大なマ
クロである等の理由で、当該配線の遅延エラーが検出し
たとする。バッファ変更処理部14は、中継バッファの
種類または個数を変更して、遅延時間を短縮する。例え
ば、配線長の長くなった配線を駆動するゲートの駆動力
を増加させると、このゲートの出力インピーダンスが減
少する。ここで、中継バッファ変更処理部14は、一番
距離の長いゲートの駆動力を増加させ、このゲートの出
力インピーダンスが半分になったとする。この時の配線
遅延時間T4は、式(2)を用いて、次式(5)で表さ
れる。 T4=K・{Cl(Ro+Rl)+5.7Cl(0.5Ro+5.7R l)+3.3Cl(Ro+3.3Cl)} ・・・・(5) 遅延解析処理部15は、式(5)の計算結果に基づい
て、遅延エラーの有無を検出する。以上により、遅延特
性の改善が得られる。また、本レイアウト設計装置は、
それぞれの処理において、遅延エラーが検出されなくな
った時点で、レイアウト処理部11は、レイアウト設計
処理を実施する。
Next, it is assumed that a delay error of the wiring is detected because the block causing the wiring is a huge macro. The buffer change processing unit 14 changes the type or number of the relay buffer to reduce the delay time. For example, when the driving force of a gate for driving a wiring having a longer wiring length is increased, the output impedance of the gate is reduced. Here, it is assumed that the relay buffer change processing unit 14 increases the driving force of the gate having the longest distance, and the output impedance of this gate is halved. The wiring delay time T4 at this time is expressed by the following equation (5) using the equation (2). T4 = K · {Cl (Ro + R1) + 5.7Cl (0.5Ro + 5.7R1) + 3.3Cl (Ro + 3.3Cl)} (5) The delay analysis processing unit 15 calculates the result of equation (5). , The presence or absence of a delay error is detected. As described above, the delay characteristics can be improved. Also, this layout design device
In each process, when the delay error is no longer detected, the layout processing unit 11 performs a layout design process.

【0029】尚、上記の実施形態では、遅延時間の最大
制約に対して、遅延時間を短縮するための方法が示され
ているが、最小制約に対し、遅延時間を増加させるため
の方法も同様に取られる。また、バッファを挿入するこ
とによって改善を行っているが、挿入された
In the above-described embodiment, a method for reducing the delay time with respect to the maximum constraint on the delay time is described. However, the method for increasing the delay time with respect to the minimum constraint is also the same. Taken by Also, the improvement is made by inserting a buffer.

【0030】[0030]

【発明の効果】以上、説明したようにこの発明によれ
ば、設計対象とする論理回路にレイアウト処理を施し、
回路素子間で遅延エラーが発生した際に、パスに中継バ
ッファを挿入することにより、遅延特性を改善すること
ができる効果が得られる。また、中継バッファの挿入位
置に配線障害となるブロックが存在する場合、このブロ
ックの外側であって、配置可能な領域に中継バッファを
自動的に移動することで、遅延時間の変更を最小限に抑
えることができる効果が得られる。バッファ移動処理の
結果、遅延エラーが改善されなかった場合、中継バッフ
ァの種類と個数を変更することにより、遅延特性を改善
することができる効果が得られる。
As described above, according to the present invention, layout processing is performed on a logic circuit to be designed,
By inserting a relay buffer into a path when a delay error occurs between circuit elements, the effect of improving delay characteristics can be obtained. In addition, if there is a block that causes a wiring failure at the position where the relay buffer is inserted, the relay buffer is automatically moved to an area where the relay buffer can be arranged outside this block, thereby minimizing a change in delay time. An effect that can be suppressed can be obtained. If the delay error has not been improved as a result of the buffer moving process, the effect of improving the delay characteristics can be obtained by changing the type and number of the relay buffers.

【図面の簡単な説明】[Brief description of the drawings]

【図1】 本発明の実施の形態のレイアウト設計装置の
構造を示すブロック図を示す。
FIG. 1 is a block diagram showing a structure of a layout design apparatus according to an embodiment of the present invention.

【図2】 本発明の実施の形態のレイアウト設計装置に
よる遅延解析前のレイアウト結果を示す図である。
FIG. 2 is a diagram illustrating a layout result before delay analysis by the layout design apparatus according to the embodiment of the present invention;

【図3】 本発明の実施の形態のレイアウト設計装置に
よる中継バッファを挿入した後のレイアウト結果を示す
図である
FIG. 3 is a diagram showing a layout result after a relay buffer is inserted by the layout design apparatus according to the embodiment of the present invention;

【図4】 本発明の実施の形態によるレイアウト設計装
置の中継バッファを変更した後のレイアウト結果を示す
図である。
FIG. 4 is a diagram showing a layout result after changing a relay buffer of the layout designing apparatus according to the embodiment of the present invention;

【符号の説明】[Explanation of symbols]

11…レイアウト処理部、12…バッファ挿入処理部、
13…バッファ移動処理部、14…バッファ変更処理
部、15…遅延解析処理部、16…記憶部、161…遅
延情報記憶部、162…電気的特性記憶部、201、2
06…フリップフロップ、202、203、204、2
05…ゲート、401…配線障害となるブロック、50
1、502…中継バッファ
11: layout processing unit, 12: buffer insertion processing unit,
13 buffer transfer processing unit, 14 buffer change processing unit, 15 delay analysis processing unit, 16 storage unit, 161 delay information storage unit, 162 electrical characteristic storage unit, 201, 2
06: flip-flop, 202, 203, 204, 2
05: gate, 401: block causing wiring failure, 50
1, 502... Relay buffer

───────────────────────────────────────────────────── フロントページの続き Fターム(参考) 5B046 AA08 BA05 BA06 JA01 5F064 AA06 BB02 BB19 BB26 DD02 DD12 DD13 DD14 EE08 EE42 EE43 EE47 FF07 FF09 FF52 HH06 HH09 HH10  ──────────────────────────────────────────────────続 き Continued on the front page F term (reference) 5B046 AA08 BA05 BA06 JA01 5F064 AA06 BB02 BB19 BB26 DD02 DD12 DD13 DD14 EE08 EE42 EE43 EE47 FF07 FF09 FF52 HH06 HH09 HH10

Claims (7)

【特許請求の範囲】[Claims] 【請求項1】 設計対象とする半導体集積回路の論理接
続情報に基づいて、 各回路素子を配置し、前記回路素子を配線するレイアウ
ト手段と、 前記レイアウト手段により得られるレイアウトに対し
て、遅延解析処理を施す遅延解析手段と、 前記遅延解析処理の結果、前記回路素子間で所望の遅延
特性が得られない場合、遅延特性が改善されるように、
前記回路素子を接続する配線に中継用のバッファを挿入
するバッファ挿入手段と、 前記中継用のバッファの挿入位置に、他の回路ブロック
が存在する場合、前記中継用のバッファを移動するバッ
ファ移動手段と、 前記中継用バッファを移動して得られるレイアウトに対
して、遅延解析処理を施し、前記回路素子間で所望の遅
延特性が得られない場合、前記遅延特性が改善されるよ
うに、前記中継用のバッファもしくは前記回路中の素子
の電気的特性を変更するバッファ変更手段と、 を具備することを特徴とする半導体集積回路のレイアウ
ト設計装置。
1. A layout means for arranging each circuit element and wiring the circuit element based on logical connection information of a semiconductor integrated circuit to be designed, and a delay analysis for a layout obtained by the layout means. Delay analysis means for performing processing, as a result of the delay analysis processing, if a desired delay characteristic is not obtained between the circuit elements, so that the delay characteristic is improved,
Buffer inserting means for inserting a relay buffer into a wiring connecting the circuit elements; and buffer moving means for moving the relay buffer when another circuit block exists at the insertion position of the relay buffer. A delay analysis process is performed on a layout obtained by moving the relay buffer, and when a desired delay characteristic cannot be obtained between the circuit elements, the relaying is performed so that the delay characteristic is improved. And a buffer changing means for changing an electrical characteristic of an element in the circuit or a circuit in the circuit.
【請求項2】 前記遅延解析手段は、 配線及び回路素子の電気的特性を保持する電気的特性記
憶部と、 各回路素子間の遅延時間の制限値を保持する遅延情報記
憶部と、 前記レイアウト手段により得られたレイアウトに基づい
て、前記電気的記憶部から前記配線と前記回路素子の電
気的特性を読み出し、前記電気的特性から、前記回路素
子間の遅延時間を算出し、前記遅延情報記憶部から、前
記制限値を読み出し、前記遅延時間と前記制限値を比較
し、前記回路素子間の遅延エラーの有無を検出する遅延
解析処理部と、 を備えたことを特徴とする請求項1に記載の半導体集積
回路のレイアウト設計装置。
2. The delay analysis unit includes: an electrical characteristic storage unit that holds electrical characteristics of wiring and circuit elements; a delay information storage unit that holds a limit value of delay time between circuit elements; The electrical characteristics of the wiring and the circuit element are read from the electrical storage unit based on the layout obtained by the means, a delay time between the circuit elements is calculated from the electrical characteristic, and the delay information storage is performed. A delay analysis processing unit that reads the limit value from the unit, compares the delay time with the limit value, and detects whether or not there is a delay error between the circuit elements. A layout design apparatus for a semiconductor integrated circuit according to the above.
【請求項3】 前記バッファ挿入手段は、前記遅延エラ
ーを解消するように前記中継用のバッファの種類、個
数、配置位置を選択することを特徴とする請求項1に記
載の半導体集積回路のレイアウト設計装置。
3. The layout of a semiconductor integrated circuit according to claim 1, wherein said buffer inserting means selects the type, number, and arrangement position of said relay buffers so as to eliminate said delay error. Design equipment.
【請求項4】 前記バッファ移動手段は、前記中継用の
バッファを移動するのに加えて、前記回路ブロックを移
動することを特徴とする請求項1に記載の半導体集積回
路のレイアウト設計装置。
4. The semiconductor integrated circuit layout designing apparatus according to claim 1, wherein said buffer moving means moves said circuit block in addition to moving said relay buffer.
【請求項5】 前記バッファ変更手段は、前記中継バッ
ファの種類と個数を変更することを特徴とする請求項1
に記載の半導体集積回路のレイアウト設計装置。
5. The apparatus according to claim 1, wherein the buffer change unit changes the type and the number of the relay buffers.
2. A layout design apparatus for a semiconductor integrated circuit according to claim 1.
【請求項6】 設計対象とする半導体集積回路の論理接
続情報に基づいて、各回路素子を配置し、前記回路素子
を配線するレイアウト手段と、 前記レイアウト手段により得られるレイアウトに対し
て、遅延解析処理を施す遅延解析手段と、 前記遅延解析処理の結果、前記回路素子間で所望の遅延
特性が得られない場合、遅延特性が改善されるように前
記回路素子中のバッファを削除するバッファ削除手段
と、 を具備することを特徴とする半導体集積回路のレイアウ
ト設計装置。
6. A layout unit for arranging each circuit element and wiring the circuit element based on logical connection information of a semiconductor integrated circuit to be designed, and a delay analysis for a layout obtained by the layout unit. Delay analysis means for performing processing; and buffer deletion means for deleting a buffer in the circuit element so as to improve the delay characteristic when a desired delay characteristic cannot be obtained between the circuit elements as a result of the delay analysis processing. A layout design apparatus for a semiconductor integrated circuit, comprising:
【請求項7】 設計対象とする半導体集積回路の論理接
続情報に基づいて、各回路素子を配線するレイアウト処
理を施し、 前記レイアウト処理の結果に対して、遅延解析処理を施
し、 前記遅延解析処理の結果、前記回路素子間で所望の遅延
特性が得られなかった場合、遅延特性が改善されるよう
に、前記回路素子を接続する配線に中継用のバッファを
挿入し、 前記中継用のバッファの挿入位置に、他の回路ブロック
が存在する場合、前記中継用のバッファを前記他の回路
ブロックの外に移動し、 前記中継用のバッファを移動して得られるレイアウトに
対して、遅延解析処理を施し、前記回路素子間で、所望
の遅延特性が得られない場合、前記遅延特性が改善され
るように、前記中継用のバッファの電気的特性を変更す
ることを特徴とする半導体集積回路のレイアウト設計方
法。
7. A layout processing for wiring each circuit element is performed based on logical connection information of a semiconductor integrated circuit to be designed, a delay analysis processing is performed on a result of the layout processing, and the delay analysis processing is performed. As a result, if a desired delay characteristic is not obtained between the circuit elements, a relay buffer is inserted into a wiring connecting the circuit elements so that the delay characteristic is improved, When another circuit block is present at the insertion position, the relay buffer is moved out of the other circuit block, and a delay analysis process is performed on a layout obtained by moving the relay buffer. And when the desired delay characteristics cannot be obtained between the circuit elements, the electrical characteristics of the relay buffer are changed so that the delay characteristics are improved. Layout design method of the body integrated circuit.
JP2001113920A 2001-04-12 2001-04-12 Device and method for designing layout of semiconductor integrated circuit Pending JP2002313916A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2001113920A JP2002313916A (en) 2001-04-12 2001-04-12 Device and method for designing layout of semiconductor integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001113920A JP2002313916A (en) 2001-04-12 2001-04-12 Device and method for designing layout of semiconductor integrated circuit

Publications (1)

Publication Number Publication Date
JP2002313916A true JP2002313916A (en) 2002-10-25

Family

ID=18965076

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001113920A Pending JP2002313916A (en) 2001-04-12 2001-04-12 Device and method for designing layout of semiconductor integrated circuit

Country Status (1)

Country Link
JP (1) JP2002313916A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007027290A (en) * 2005-07-14 2007-02-01 Renesas Technology Corp Method for designing layout of semiconductor integrated circuit
US7453289B2 (en) 2002-10-21 2008-11-18 Advantest Corporation Transmission circuit, CMOS semiconductor device, and design method thereof
WO2009069496A1 (en) * 2007-11-26 2009-06-04 Nec Corporation Methods for analyzing and adjusting semiconductor device, and semiconductor system

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7453289B2 (en) 2002-10-21 2008-11-18 Advantest Corporation Transmission circuit, CMOS semiconductor device, and design method thereof
JP2007027290A (en) * 2005-07-14 2007-02-01 Renesas Technology Corp Method for designing layout of semiconductor integrated circuit
WO2009069496A1 (en) * 2007-11-26 2009-06-04 Nec Corporation Methods for analyzing and adjusting semiconductor device, and semiconductor system
US8261222B2 (en) 2007-11-26 2012-09-04 Nec Corporation Methods for analyzing and adjusting semiconductor device, and semiconductor system
JP5476995B2 (en) * 2007-11-26 2014-04-23 日本電気株式会社 Semiconductor device analysis method and adjustment method, and semiconductor system

Similar Documents

Publication Publication Date Title
US5724250A (en) Method and apparatus for performing drive strength adjust optimization in a circuit design
JP4160733B2 (en) Method and system for designing within a macro wiring across a macro in an integrated circuit
US6990646B2 (en) Hold time error correction method and correction program for integrated circuits
US8001517B2 (en) Layout design method of semiconductor integrated circuit cell to adjust distances inside cell between diffusion layers and borders of cell
JP2882359B2 (en) Layout design equipment
US8392861B2 (en) Method of semiconductor integrated circuit device using library for estimating timing/area to place cells
KR20090077692A (en) Semiconductor-device manufacturing method, semiconductor-device manufacturing program and semiconductor-device manufacturing system
US7178122B2 (en) Semiconductor integrated circuit, method of designing semiconductor integrated circuit, and device for designing the same
US6192508B1 (en) Method for logic optimization for improving timing and congestion during placement in integrated circuit design
US5530654A (en) LSI logic synthesis device and method therefor
US7148135B2 (en) Method of designing low-power semiconductor integrated circuit
JP3256597B2 (en) Automatic placement design method and automatic placement design apparatus
JP4015807B2 (en) Timing-driven layout method
US7114139B2 (en) Device and method for floorplanning semiconductor integrated circuit
US7380231B2 (en) Wire spreading through geotopological layout
JP2002313916A (en) Device and method for designing layout of semiconductor integrated circuit
JP2985833B2 (en) Clock distribution system and method
JP3008849B2 (en) Method and apparatus for designing semiconductor integrated circuit
JP2570595B2 (en) Optimal standard cell selection method
JP2001267429A (en) Layout design device and method
US7146591B2 (en) Method of selecting cells in logic restructuring
JP3017038B2 (en) Design method of semiconductor integrated circuit
JP3230234B2 (en) A delay adjustment method for a semiconductor integrated circuit, a delay adjustment circuit block, and a recording medium.
JPH09330339A (en) Automatic description dividing device
JP3033763B1 (en) Semiconductor integrated circuit delay reduction arrangement processing apparatus and delay reduction arrangement processing method

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20041214

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20050419