JP2004509429A - Plasma reactor with symmetrical parallel conductor coil antenna - Google Patents

Plasma reactor with symmetrical parallel conductor coil antenna Download PDF

Info

Publication number
JP2004509429A
JP2004509429A JP2002508822A JP2002508822A JP2004509429A JP 2004509429 A JP2004509429 A JP 2004509429A JP 2002508822 A JP2002508822 A JP 2002508822A JP 2002508822 A JP2002508822 A JP 2002508822A JP 2004509429 A JP2004509429 A JP 2004509429A
Authority
JP
Japan
Prior art keywords
antenna
plasma reactor
conductors
reactor according
conductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2002508822A
Other languages
Japanese (ja)
Other versions
JP2004509429A5 (en
JP5160717B2 (en
Inventor
ホランド ジョン
トドロウ ヴァレンティン エヌ
バーンズ マイケル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/611,169 external-priority patent/US6685798B1/en
Priority claimed from US09/610,800 external-priority patent/US6409933B1/en
Priority claimed from US09/611,170 external-priority patent/US6694915B1/en
Priority claimed from US09/611,345 external-priority patent/US6462481B1/en
Priority claimed from US09/611,168 external-priority patent/US6414648B1/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2004509429A publication Critical patent/JP2004509429A/en
Publication of JP2004509429A5 publication Critical patent/JP2004509429A5/ja
Application granted granted Critical
Publication of JP5160717B2 publication Critical patent/JP5160717B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本発明は、半導体ワークピースを処理するためのプラズマリアクタにおいて実現される。このリアクタは、側壁と天井を有する真空チャンバ、チャンバ内に一般に天井と向き合うワークピース支持ペデスタル、チャンバへプロセスガスを導入することができるガス入力、及び天上の上にあり、軸対称から少なくともほぼ一様に横に変位しているそれぞれの同軸上のヘリカルソレノイドにおいて天上にほぼ垂直な軸対称の周りに巻かれた第1の複数導体のソレノイド状のインターリーブされた並列導体コイルアンテナを含む。各々のヘリカルソレノイドは、他のヘリカル導体からオフセットされている。他の実施の形態において、アンテナは天上の上にあり、それぞれの同軸上の並んだヘリカルソレノイドにおいて天上にほぼ垂直な対称軸の周りに巻かれた第1の複数導体を有するソレノイド上のセグメント化された並列導体コイルアンテナである。各々のヘリカルソレノイドは、対称軸に垂直な方向に最も近い他のヘリカルソレノイドから、複数の導体の導体幅の大きさの距離だけオフセットされており、それにより各ヘリカルソレノイドは僅かに異なった直径を有する。The present invention is implemented in a plasma reactor for processing semiconductor workpieces. The reactor is on a vacuum chamber with side walls and a ceiling, a workpiece support pedestal generally facing the ceiling in the chamber, a gas input capable of introducing process gas into the chamber, and a top of the ceiling, at least approximately one from axisymmetric. A first multi-conductor solenoid-like interleaved parallel conductor coil antenna wound about axisymmetric substantially perpendicular to the top of each coaxial helical solenoid displaced laterally. Each helical solenoid is offset from the other helical conductor. In another embodiment, the antenna is on the top and the segmentation on the solenoid having a first plurality of conductors wound about a symmetry axis substantially perpendicular to the top in each coaxial side-by-side helical solenoid. The parallel conductor coil antenna. Each helical solenoid is offset from the other helical solenoid closest to the direction perpendicular to the axis of symmetry by a distance equal to the width of the conductors, so that each helical solenoid has a slightly different diameter. Have.

Description

【0001】
(発明の背景)
半導体のマイクロエレクトロニック回路を製造するために使用されるプラズマリアクタは、処理ガスから形成されるプラズマを維持するためにRF誘導結合された磁界を用いることができる。このようなプラズマは、エッチング及び堆積プロセスを行なうのに有用である。一般に、高周波RFソース電力信号がリアクタチャンバの天井近くにあるコイルアンテナに与えられる。チャンバ内のペデスタル上の半導体ウエハまたはワークピースの支持体は、そこへ与えられるバイアスRF信号を有する。コイルアンテナに供給される信号の電力は、チャンバ内のプラズマイオン密度を主に決定するが、一方、ウエハに与えられるバイアス信号の電力はウエハ表面のイオンエネルギーを決定する。この様なコイルアンテナのもつ1つの問題は、例えばアークのようなプラズマにおいて好ましくない影響を誘発する、アンテナの両端に比較的大きな電圧降下があることである。この影響は、コイルアンテナのリアクタンスが周波数に比例するので、コイルアンテナに与えられるソース電力信号の周波数が増大するに従ってより激しくなる。幾つかのリアクタにおいて、この問題は、周波数を例えば約2MHzの低い範囲に制限することによって解決される。都合の悪いことには、このような低い周波数においては、RF電力のプラズマへの結合は余り効率的でない。10MHz〜20MHzの範囲の周波数で、安定した高い密度のプラズマ放電を達成することはしばしば容易である。低い周波数(例えば、2MHz)で動作する他の欠点は、インピーダンスマッチング回路網としてこれらの素子の要素の大きさは非常に大きく、従って、邪魔であるし、費用もかかる。
【0002】
コイルアンテナの有する他の問題は、アンテナへの効率的な誘導結合は、一般に大きな磁気フラックスの密度を形成するコイルの巻き数を増大することによって達成されることである。これは、コイルの誘導性リアクタンスを増大し、(主にプラズマ抵抗から成る)回路の抵抗が一定のままであるので、回路のQ(回路のリアクタンスと抵抗の比)が増加する。これは、変化するチャンバの状態にわたって、インピーダンスマッチングを維持するこのの不安定性及び困難性を次々に導く。コイルのインダクタンスは非常に大きいので、ストレーキャパシタンスと結合して、自己共振がコイルに与えられたRF信号の周波数の近くで発生した場合に、不安定性が特に起きる。
【0003】
これらの問題は、「Inductively Coupled Plasma Reactor With Symmetrical  Parallel Multiple Coils Having A Common RF Terminal:共通のRF端子を有する対称的な並列マルチコイルを有する誘導結合されたプラズマリアクタ」の名称で、Xue−Yu Qian他に与えられ、1999年7月6日に発行された米国特許5,919,389号に記載されているように、外側に多数インターリーブした対称的にスパイラル状に配列された導体を有する誘導性コイルアンテナの発明によって解決されている。アンテナをインターリーブした対称的パターン内で多数の導体に分割することによって、電圧降下が減少される。何故ならば、それがアンテナの複数導体に分割されるからである。従って、ソース電力信号の周波数は、従来のコイルアンテナにおけるように制限されない。この形式のコイルアンテナは、この明細書において、“インターリーブされた”コイルアンテナと呼ばれる。このようなインターリーブされたコイルアンテナは、平坦なパンケーキ形状ばかりでなく、ドーム形状や、側壁の周りに円筒状のスカートを有するドーム形状、またはチャンバの側壁の周りに円筒状のスカートを有する平坦なパンケーキ形状を有するいろいろな構成として開示されている(米国特許5,919,389号)。
【0004】
チャンバの天井の上に置かれているコイルアンテナの1つの制限(従来の形式ばかりでなくインターリーブされた形式も)は、アンテナの隣接する導体間の相互インダクタンスが一般に水平方向にある(一般にRF電力がプラズマに誘導的に結合されなければならない垂直方向に直角である)ことである。これは、プラズマへのパワーデポジションの空間制御を制限する1つの重要なファクターである。本発明の目的は、誘導性結合の空間制御におけるこの制限を克服することである。
【0005】
一般に、内側と外側のコイルアンテナの場合、それらは、物理的に、(それぞれの半径に限られるよりはむしろ)半径方向に、即ち水平に配置されるので、それらの半径方向の位置はそれに従って拡散される。これは、特に水平の真の“パンケーキ”形状である。従って、内側と外側アンテナ間に供給されたRF電力の相対的な配分を変えることによって、プラズマイオン分布の半径方向の分布を変える能力が制限される。この問題は、特に、大きな直径(例えば、300mm)を有する半導体ウエハを処理する場合に重大である。これは、ウエハの大きさが増加するに従って、全体のウエハ表面を横切って均一なプラズマイオン密度を維持することがより困難になるからである。プラズマイオン密度の半径方向の分布は、オーバヘッドアンテナから与えられる磁界の半径方向の分布を調整することによって容易に整えられる。それはプラズマイオン密度を決定するこの磁界である。従って、ウエハの大きさが増加するに従って、印加されたRF磁界の半径方向の分布を整えるか、または調整する能力が必要である。よって、内側と外側アンテナ間に加えられたRF電力の分布の影響を増大することが必要であり、特に、内側と外側アンテナの各々を別々の、または非常に狭い半径方向の位置へ制限することによってこれを達成することが必要である。
【0006】
内側と外側コイルアンテナを使用する場合に遭遇する他の問題は、一般に、外側アンテナが内側アンテナより著しく大きなインダクタンスをもっている(外側の半径の距離が長いため)ので、それらは大きく異なるインピーダンスを有することである。その結果、2つのコイルのインピーダンスは同じでない。この問題は、チャンバの大きさが増加して大きな半導体ウエハを収容するに従ってより深刻である。この問題を取り巻く1つの方法は、内側と外側アンテナを駆動するために、独立したRF電源を使用することである。各々の電源がそれ自体のインピーダンスマッチング回路網を有しているので、内側と外側アンテナ間のインピーダンスの不均衡は問題ではない。しかし、2つの独立した電源を同相に保つことが困難または実際的でないので、それらのRF電流が同相または逆相でなくなるに従って、2つのアンテナによって発生されるRF磁界間の有益及び無益な干渉の発生により、望ましくない影響が発生するという他の問題が起こる。この問題は、本発明の1つの特徴に従って、異なるRF電力レベルをその2つの出力ヘ分配する能力を有する単一の、新規な、二重出力RF電源を用いることによる克服される。しかし、この単一のRF電源の場合、内側と外側アンテナのインピーダンス間の不均衡は、再び問題である。従って、いずれかの誘導性結合を犠牲にすることなく、内側と外側コイルのインピーダンスの少なくとも均等化を容易にすることが必要である。
【0007】
(発明の概要)
本発明の1つの実施の形態は、半導体ワークピースを処理するためのプラズマリアクタにおいて実現される。このリアクタは、側壁及び天井を有する真空チャンバ、チャンバ内に、一般に天井に面するワークピース支持ペデスタル、プロセスガスをチャンバへ供給することができるガス入口及び天井の上に置かれるソレノイドのインターリーブされた並列導体のコイルアンテナを含み、さらに、軸対称から少なくともほぼ一様に横に変位したそれぞれの同軸ヘリカルソレノイドにおいて天井にほぼ垂直な対称軸の周りに巻かれた第1の複数導体を含んでいる。各々のヘリカルソレノイドは、対称軸に平行な方向に他のヘリカルソレノイドからオフセットされている。RFプラズマソース電源が複数導体の両端に接続される。
【0008】
他の実施の形態において、アンテナは、天井の上に置かれ、それぞれの同軸状に並んだヘリカルソレノイドにおいて、天井にほぼ垂直な対称軸の周りに巻かれた第1の複数導体を含むソレノイドのセグメント化された並列導体コイルアンテナであり、各々のヘリカルソレノイドは、軸対称に垂直な方向に最も近い他のヘリカルソレノイドから複数導体の約導体幅の距離だけオフセットされており、それによって、各々のヘリカルソレノイドは、僅かに異なった直径を有する。
【0009】
いずれかの実施の形態において、リアクタは、さらに、天井の上に置かれ、第1のソレノイドのインターリーブされた並列導体コイルアンテナによって囲まれ、且つ、それより小さい横方向の大きさを有する内側アンテナを有し、それにより、第1の並列導体コイルアンテナは外側コイルアンテナとなる。1つの形態において、リアクタは、さらに、内側コイルアンテナに接続された第2のRFプラズマソース電源を有し、それにより、内側と外側アンテナに供給されるそれぞれのRF電力レベルは、内側と外側アンテナから供給されるRF磁界の半径方向の分布を制御するために差動的に調整することができる。しかし、好適な実施の形態においては、RFプラズマソース電源は、差動的に調整することができる電力レベルを有する2つのRF出力を有し、この2つのRF出力の一方は、外側アンテナに接続され、その他方は内側アンテナに接続され、それにより、内側と外側アンテナに供給されるそれぞれのRF電力レベルは、内側と外側アンテナから供給されたRF磁界の半径方向の分布を制御するために差動的に調整することができる。
【0010】
好ましくは、第1の並列複数導体の数は、第2の並列複数導体の数より大きく、且つ、それに従って、第1の並列複数導体の長さは、外側アンテナの誘導性リアクタンスを内側のアンテナのそれの少なくとも近づけるように、短くされる。
【0011】
また、内側アンテナが並列導体アンテナであるならば、好ましくは、第1の並列複数導体の数は、第2の並列複数導体の数より大きく、且つ、第1の並列複数導体の長さは、それに従って、第1の並列複数導体の長さは、外側アンテナの誘導性リアクタンスを内側アンテナの誘導性リアクタンスに少なくとも近づけるように、短くされる。
【0012】
外側アンテナの第1の複数導体の横方向の変位は、好ましくは、一様であり、且つ、内側アンテナの第2の複数導体の横方向の変位は、好ましくは、一様であり、それにより、内側と外側アンテナは、導体の厚さに相当する幅のそれぞれの狭い環状内に制限され、それにより、供給されたRF磁界の半径方向の分布に関して内側と外側アンテナの差の影響を最大にする。
【0013】
(好適な実施の形態の詳細な説明)
ソレノイドのインターリーブされたコイルアンテナ
図1を参照すると、プラズマへの誘導性結合の効率は、ソレノイドのマルチ導体のインターリーブされたコイルアンテナとしてアンテナ100を構成することによって、増大される。図示された実施の形態において、ソレノイドのアンテナ100は、垂直の真っ直ぐな円筒、または仮想の円筒状表面または位置を規定し、その対称軸は、リアクタの真空チャンバ101の対称軸と一致する。好ましくは、更に、それは処理のために受取られるワークピースの対称軸と一致する。図1において、リアクタチャンバ101は円筒上の側壁105と平坦な天井110によって画定される。ウエハ支持ペデスタル115は、リアクタチャンバ101内に設けられ、チャンバの天井に面して向けられ、チャンバの対称軸にその中心が置かれる。真空ポンプ120がチャンバの排気出口に接続される。プロセスガスの供給源125は、ガス入口130を介してプロセスガスをリアクタチャンバ内部に供給する。プロセスガスは、例えば、ポリシリコンエッチング用のハロゲン化物ガス、二酸化シリコンエッチング用のフルオロカーボンガス、またはシリコンの化学気相堆積プロセス用のシランを含むことができる。または、ガスは、例えば、金属エッチング用の塩素含有ガスを含むことができる。ガスの入り口130は、単一のパイプとして図1に示されているが、しかし実際には、より精巧な構造、例えば多数の入口を介して実現される。
【0014】
アンテナからチャンバへ誘導されるRF電力の影響の下で、これらのガスはワークピースを処理するためのプラズマを支える。実行されるプラズマプロセスは、エッチングばかりでなく、適当な先駆ガスを用いる堆積、例えば化学気相堆積を含むことができる。
【0015】
ペデスタル115は、インピーダンスマッチング回路網140を介してバイアスRF電源145に結合される導電性電極115aを有する。チャンバ側壁105はアルミニウムのような金属であり、一方、天井110はクォーツのような誘電体であることができる。本発明の他の実施の形態においては、天井は平坦でなく、ドーム形状またはコニカル形状であることができる。さらに、天井110は、誘電体以外の半導体であってもよい。天井の半導体材料は、それがアンテナばかりでなく電極からのRF誘導性磁界に対して窓として働くように最適な導電率を有している。この目的のために最適な導電率の決め方は、”Parallel Plate Electrode Plasma Reactor Having An Inductive Antenna Coupling Power Through a Parallel Plate Electrode:平行板電極を介して電力を給電する誘導性アンテナを有する平行板電極プラズマリアクタ”の名称でKenneth S. Collinsに与えられ、2000年6月20日に発行された米国特許第6,077,384号に記載されている。本件の場合、天井100が電極として用いられているが、それは接地される(点線で示されている)か、またはマッチング回路網150を介してRF電源155に接続されることができる(同様に、点線で示されている)。チャンバ及び/またはアンテナは、円筒型状以外の形状を有することができ、たとえば、それは矩形であっても、正方形の断面を有していても良い。ワークピースも円形以外の形状であってもよく、例えば、それらは正方形または他の外形で良い。処理されるべきワークピースは、半導体ウエハでも良いし、またはそれらはマスクレチクルのような他のものであってもよい。
【0016】
インターリーブされたソレノイドコイルアンテナ100は、如何なる数のインターリーブされた導体を含むことができる。図1の実施の形態において、コイルアンテナは、3つのインターリーブされた対称的に配置された導体160、163、166から成っている。アンテナの複数導体は、互いにほぼ平行なそれぞれのヘリカスパスに沿って置いてある。各々のへリックスは、同じ仮想の直立円筒面にしたがって、ソレノイド構成を形成している。図示されているように、ヘリカル導体160、163、166は、垂直方向に互いに一様に離されている。より一般的には、導体は、チャンバのほぼ対称軸の方向に、互いに実質的に一様に離されている。それらの電力の入力タップ160a、163a、166aは、それぞれ、インピーダンスマッチング回路網170を介してRFプラズマソース電源175に接続されている。それらの戻りタップ160b、163b、166bは、それぞれグランドに接続(接地)されている。図示されているように、電力(入力)タップ160a、163a、166aは、好ましくは、仮想円形の同じ水平面にあり、一様な間隔で仮想円形の周囲に沿って配置されていいて、3つの導体の場合、それは120度である。より一般的には、前述された面はチャンバの対称軸を横切っている。同様に、戻りタップ160b、163b、166bは、同一面にあり、一様の間隔で配置されている。この実施の形態において、各々の導体160、163、166のヘリカルパスは、タップ160a、163a、166aが同一平面にあるが、導体間でほぼ一様な軸変位を実現するために軸方向に充分な傾斜を有している。他の実施の形態では、タップは同一面にある必要はない。
【0017】
図1の実施の形態において、各々の導体の電力タップと戻りタップは、軸方向に整列されている(ここでは、チャンバの軸が垂直に向くように示されているので、垂直に整列されている)。例えば、導体160の電力タップ160aと戻りタップ160bは軸方向に整列されている。好ましくは、巻線の接地された端部は、高電位をプラズマに近づけないために、図1に示されるようにチャンバ天井に最も近づけてあり、それにより、アークが起きる傾向及び望ましくない容量性結合の影響を最小にする。
【0018】
主な利点は、誘導性結合が単一導体以外の複数導体(例えば、3つの導体160、163、166)によってもたらされ、その結果誘導性結合の同じ量に対して、長さの短い導体を用いることができる。この特徴は、各々の導体に沿う電位降下を大きく減少し、容量性結合を有利に減少する。
【0019】
この図示された実施の形態において、アンテナ100は、円筒状のリアクタチャンバの側壁105の対称軸の周りに対称的に配置される。従って、例えば、入力タップ160a、163a、166aは、円筒形の側壁105の対称軸から及び互いに等しく間隔が開けられている。同様に、アンテナ100の下部にある出力(戻り)タップ100b、163b、166bも円筒形の側壁105の対称軸から及び互いに等しく間隔が開けられている。さらに、各々の導体160、163、166は、対称軸の回りに互いに実質的に同じ間隔が開けられた実質的に同じ形状であり、また実質的に同じ長さである。好ましくは、それぞれの導体の入力と出力のタップ(例えば、入力と出力のタップ160a、160b)は、互いに垂直に整列されている(即ち、円筒状の側壁105の対称軸に沿っている)。
【0020】
ソレノイドコイルがどうして良好な結合を与えるか
本発明の図示された実施の形態のソレノイドの特徴は、各々の導体セグメントが対称軸の方向にその最も近い隣の導体セグメントから離されているので、アンテナのプラズマへの結合を増加する。この方法で、導体セグメント間の相互結合へ寄与する磁力線は軸方向にあるので、それらはリアクタチャンバ内でプラズマに向かって有効に達する。従って、プラズマへの結合は、コイルがチャンバ軸に垂直な方向に相互結合を有していて、平坦である設計に比べて増加される。図1の実施の形態において、3つの導体160、136、166は、互いに軸方向に離されているので、最も近い隣の導体間の相互インダクタンスは、一般にチャンバの軸方向にある。
【0021】
多数のインターリーブされた導体を有する内側と外側のソレノイドコイルアンテナ
図2−4は、内側と外側ソレノイドアンテナを有するリアクタの斜視図、上面図及び縦断面図を示し、それぞれのアンテナは、図1に示された形式のインターリーブされた多数の導体を有する。内側ソレノイドドアンテナ210は、2つのインターリーブされた導体215、220(図1に示された3つとは異なる)を有する。しかし、他の実施の形態では、これらのインターリーブされた導体より大きな数の導体が設けられてもよい。電力タップ(端子)215a、220aは、互いに180度の角度離されて配置されており、戻りタップ215b、220bも同様である。図1の実施の形態におけるように、図2のそれぞれの導体215、220の電力及び戻り端子は、垂直に整列されている。他の実施の形態では、それらは軸整列されていなくても良い。また、図1の実施の形態におけるように、図2において、電力タップ215a、220aは軸を横切った上部面にあるが、戻りタップ215b、220bは軸を横切った下部面にある。図示された位置において、これら横切った両方の面は水平である。導体215、220の各々は、充分な傾斜を有してへリックス状に巻かれており、電力タップ215a、220aの180度の角度離間は、図2に示された導体215、220間で軸方向のオフセットを与えるのに充分である。
【0022】
外側アンテナ230は、上部水平面において120度間隔の電力タップ235a、240a、245a及び下部水平面において120度間隔の戻りタップ235b、240b、245bを有する3つのインターリーブされた平行導体235、240、245を有している。プラズマイオン密度の半径方向の分布の調整を容易にするために、内側と外側アンテナ210、230のそれぞれ1つに供給された電力レベルは、別々に又は作動的に調整可能でなければならない。この目的のために、図2はそれぞれのインピーダンスマッチング回路網260、265を介して内側と外側のアンテナ210、230に結合された2つの個別のRF電源250、255を示している。個別の電源を用いる1つの問題は、それらの出力信号が同相及び逆相をさまよう傾向にあることである。代わりに、図4は内側と外側のアンテナ210、230に接続された差動的に調整可能な2つの出力を有する共通のRF電源270を示す。二重出力RF電源270は本明細書の後の方で説明される。その主な利点は、内側と外側のアンテナ210、230に供給される別々に調整可能なRF信号は同相であるが、しかし、それぞれの電力レベルは互いに関して調整することができる。多重コイルアンテナの革新的な設計は、多重コイル間のインピーダンスマッチングとバランス、及び共通電源の使用を容易にする。
【0023】
図4の立断面図は、内側と外側アンテナ210、230の個々の半径方向の形状が如何に天井110の小さな領域にあるかを示しており、残りの領域は、大部分の天井の上に温度制御素子の配置用の充分なスペースを提供している。特に、例えば、温度制御素子は、内側と外側アンテナ210、230の下にない部分の天井110の上面に接触して熱伝導スペーサ286、288を有することができる。内側のスペーサ286は、内側アンテナ210によって囲まれ直立固体円筒であり、一方、外側のスペーサは、内側と外側アンテナ210、230によって囲まれた個体環状体である。冷却板290が熱伝導スペーサ286、288の上面に接して置かれ、冷却板を通して延びる冷却液が循環する冷却路292を有する。さらに、スペーサ286、288は、天井110に面して加熱ランプ294を収容するための中空のスペースを有することもできる。
【0024】
ソレノイドの内側 外側アンテナが如何にプラズマイオン密度の半径方向の分布の調整を増大するか
平坦な(“パンケーキ状”)形式の内側と外側アンテナは、比較的大きな水平の環状体を横切って分布されるようになるので、それらの放射電力のデポジションの“ロケーション”は個々に定義されていない。例えば、内側アンテナの外側巻線のあるものは、外側アンテナの内側巻線の近くにある。従って、内側アンテナの最も外側巻線に流れるこれらのRF電流は、外側アンテナの内側巻線の結合に影響する。同様に、外側アンテナの最も内側の巻線に流れるRF電流は、内側アンテナの外側巻線の結合に影響する。結果として、内側と外側アンテナの位置的効果は拡散され、半径方向の電力分布は、ソレノイドコイルに供給されるRF電力を単に調整することによって容易に制御することができない。これは、それらが、内側と外側アンテナに供給される電力レベル間に与えられた相違に対するRF磁界の半径方向の分布(及び、従って、プラズマイオン密度の半径方向の分布)をシフトすることができる程度減少する。
【0025】
逆に、図2−4に示された実施の形態において、複数導体がほぼ垂直方向に(即ち、より一般的には、チャンバ軸の方向に)互いにオフセットされているソレノイドの内側と外側アンテナ210、230は、薄い導体それ自体の半径方向の幅を超える半径方向の幅を実際にもたない。これは、水平面(即ち、より一般的には、チャンバの軸を横切る面)において、内側と外側アンテナ210、230は、円形のラインが薄い2つの個々の同心円として現れていることを明らかに示している図3の実施の形態において最もよくわかる。従って、例えば、外側アンテナ230に供給されるRF電力の全てが外側アンテナの単一の個々の半径位置からチャンバへ放射するので、上述した従来のアンテナにおけるように内部の半径方向の位置で浪費されることがない。内側アンテナ210へ供給されるRF電力の全てが内側アンテナ210の単一の個別半径から放射する点で、内側アンテナについても正しい。従って、外側の半径方向の位置において浪費されることがない。結果的に、内側と外側アンテナ210、230の供給された電力レベルにおける相違の与えられた範囲に対して、プラズマイオン密度の半径方向の分布におけるシフトとが従来の場合におけるより非常に大きいことが理解される。
【0026】
この特徴は、チャンバのサイズが大きな半導体ウエハのサイズを収容するために上方に向かって大きくされるに従って、大きな利点を提供する。ウエハのサイズが大きくなるに従って、全体のウエハ表面にわたって一様なプラズマイオン密度を維持し、且つウエハ表面にわたってプラズマイオン密度の分布を調整することが困難になる。プラズマイオン密度の半径方向の分布は与えられた誘導磁界の半径方向の分布によって大部分決まる。したがって、プラズマイオン密度の半径方向の分布は、オーバヘッドアンテナから与えられる誘導磁界の半径方向の分布を調整することによって、容易に形成される。ウエハのサイズが大きくなるにしたがって、供給されるRF誘導磁界の半径方向の分布を形成し又は調整する大きな能力は、前に可能であった以上に必要とされる。この必要性は、(a)内側と外側アンテナの各々を別々の、または非常に狭い半径方向の位置に制限することによって、及び(b)このアンテナを複数の対称に配列された導体として設けることによって、内側と外側アンテナ間に与えれたRF電力の配分の効果を増大することによって得られる。これは、いろいろな直径のアンテナの著しく増大されたインピーダンスマッチング、及び電力配分能力に対する基礎を提供するばかりでなく、以下に詳細に説明されるように、電圧降下及び望ましくない容量性結合の影響を最小にする。
【0027】
内側と外側アンテナのインピーダンスはどのようにマッチングされるか
本明細書において上述されたように、外側アンテナ230の大きな寸法は、内側アンテナ210の導体長より長く、したがって大きな誘導性リアクタンスを示す。これは、リアクタチャンバを横切って一様な電位差を維持することにおいて問題を生じ、もし、共通のRF電源が用いられるならば、インピーダンスのマッチング問題を生じる。本発明の1つの特徴は、外側アンテナと比較して、内側アンテナのインターリーブされたコイルの複数導体の長さ及び数を調整することによって、この問題を解決している。特に、外側導体は、内側導体より大きな数のそれぞれのインターリーブされた導体として与えられる。さらに、外側導体の各々は、比例して短い。内側と外側アンテナ間のインターリーブされた導体の数及び導体長の割合は、内側と外側アンテナのインピーダンス間の不均衡を減少するのに充分である。
【0028】
従って、この問題は、外側アンテナ230における導体の各々のインダクタンス(長さ)を減少することによって、本発明の特徴の1つとして解決される。同時に生じる、外側アンテナ230の全体の誘導性結合の減少を避けるために、それぞれの導体の数は、内側アンテナ210よりも外側アンテナ230において多く設けられる。特に、内側アンテナ210が180度に設けられたタップを有する2つのみの導体を有しているのに対して、外側アンテナ230は、図2−4に示されるように、120度毎に設けられたタップを有す3つの導体を有している。他のアンテナに対してより大きな数の導体は、それぞれの短い導体長を補償するために誘導結合を増大する。更に、短い導体の各々は、同様な、単一の導体アンテナの使用と比較して、非常に減少された電圧降下を示す。
【0029】
第1の一体化された実施の形態
図5は、多数のソレノイドのオーバヘッドアンテナを有する第1の一体化された実施の形態を示し、各々は複数のインターリーブされた導体を有する。内側のソレノイドアンテナ510は、180度間隔で電力タップ515a、520aを有する一対のインターリーブされた導体515、520を有する。外側のソレノイドアンテナ525は、対称軸に関して90度間隔で電力タップ530a、535a、540a、545aを有する4つのインターリーブされた導体530、535、540、545を有する。それぞれのインターリーブされた導体は、設けられたアンテナの残りの導体にほぼ平行である。内側アンテナ510の上にある内側の円形電力バス550は、内側アンテナの電力タップ515a、520aに接続されている。同様に、外側の円形電力バス552は、外側アンテナの電力タップ530a、535a、540a、545aに接続されている。外側アンテナ525の下にあり、90度間隔で設けられた4つのアーム560、562、564、566の組は、それぞれの接地されたタップを円形の接地されたハウジング570に接続する。180度間隔にある互いに反対側にある2つのアーム560、564は、内側アンテナの接地されたタップ515b、520bにそれぞれ接続され、且つ、外側アンテナの接地されたタップ530b、545bに接続されている。残りの2つの反対側にあるアーム562,566は外側アンテナの接地されたタップ535b、545bに接続されている。図5に与えられたアンテナの複数導体の各々の1つに対して、電力タップと接地されたタップは、軸方向に整列している。
【0030】
さらに、双方の内側と外側アンテナの電力及び接地タップは、共直線であり、軸整列している。しかし、それらは整列されている必要がない他の実施の形態が可能である。多数の導体及び対称的な設計は、それぞれのコイル内に及び多数のコイル間で、このような整列されたタップの使用を容易にし、アンテナへのRF電力の入力を非常に簡単にし、また、クロストーク、ストレーリアクタンス、及びプラズマにおける不均一性の可能性を最小にする。
【0031】
セグメント化され、並べられたソレノイド導体
図6A及び図6Bは、複数導体がインターリーブ(例えば、図1に示された形式におけるように)されていない単一のソレノイド導体コイルアンテナを示すが、平行に並べられた導体610、620にセグメント化されており、したがって、それぞれ並べらたセグメント化された導体からなると考えられるソレノイドアンテナを形成する。図6Bの上面図は、チャンバの軸の方向に軸に沿って配置されるか、又は図示されるように垂直配置されるよりは、むしろセグメント化された導体がどのように並べられているかを示す。与えられたアンテナのインターリーブされた実施の形態におけるように、並べられた複数導体が互いに実質的に平行なヘリカル経路に沿って軸の周りに対称的に配列されている。導体610、620の一方が他方よりやや大きなヘリカル半径を有しているので、導体610は内側のセグメントであり、導体620は外側のセグメントである。並べられた導体610、620は、単一のアンテナとして機能する。何故ならば、それらは共に接近した間隔で配置されているからである。例えば、図示された実施の形態では、それらは、導体610、620の厚さの20分の1程度以内の半径方向の距離だけ間隔が開けられている。幾つかの実現例では、この距離は、導体の厚さの30倍程度大きいか、又は導体の厚さのほんの何分の1程度の小ささである。
【0032】
図7Aは、図6A及び図6Bに示された形式の2つのソレノイドのセグメント化され、並べられた複数導体アンテナが、図5の内側と外側アンテナの代わりに内側と外側としてどのように用いられているかを示している。図7Aにおいて、内側アンテナ710は、上部の電力タップ712a、714a及び下部の戻りタップ712b、714bを有する一対の並べられたソレノイド導体712、714から成る。外側アンテナ730は、4つの並べられたソレノイド導体735、740、745、750から成り、各々は、内側アンテナ710の数より少ない数の導体を有している。それらの電力タップ735a、740a、745a、750aは上部にあり、それらの戻りタップ735b、740b、745b、750bは、下部にある。内側と外側アンテナ710、730は、好ましくは、それらの電力レベルが差動的に調整されるように、異なる電力の出力端子へ接続される。これは、以下に説明されるように、別々の電源または別々に又は差動的に調整可能な出力を有する共通電源を用いて達成されることができる。
【0033】
図7Bは、図7Aの実施の形態における変形例を示し、図7Aの実施の形態におけるように平坦とは異なるリアクタチャンバの天井がドーム形状であり、セグメント化されたソレノイドの内側と外側アンテナが図7Bのドーム形状の天井110に沿っている。したがって、内側アンテナ710の各々のソレノイド状コイル712、714及び外側アンテナ730のそれぞれのソレノイド状コイル735、740は、コニカル状のへリックス又はヘリカルなドーム形状に巻かれており、各々の下側巻線712、714、735、740は、コイルの上側巻線より大きな直径を有している。好ましくは、コイル712、714、735、740が巻かれる前のコニカル表面は、図7Bのドーム形状の天井110と一致している。
【0034】
内側と外側の平坦なコイルアンテナのチューニング
図8は、内側と外側のインターリーブされたコイルアンテナの平坦な形態は、それらのインピーダンスをマッチングに近づけるように、アンテナをチューニングするために如何に変形されるかを示している。図5の実施の形態におけるように、図8の内側アンテナは、2つのインターリーブされた導体815、820を有し、一方、外側アンテナ825は、4つのインターリーブされた導体830、835、840、845を有している。内側アンテナの電力タップ815a、820aは共通に接続され、一方、接地タップ815b、820bは180度の間隔で設けられいる。外側アンテナの電力タップ830a、835a、840a、845aは90度の間隔で設けられ、外側アンテナの接地タップ830b、835b、840b、845bも同様に90度の間隔で設けられている。図5の実施の形態におけるように、図8の内側と外側アンテナはほぼインピーダンスマッチングされている。何故ならば、外側アンテナは、内側アンテナとして個々の導体の2倍多く設けられており、その長さは、外側アンテナの全体の誘導結合を犠牲にすることなくそれらのそれぞれのインダクタンスを減少するように比例して短くされているからである。
【0035】
上述したように、内側と外側の多数導体アンテナ810、825間の良好なインピーダンスマッチングは、双方のアンテナのために共通の電源を用いるために、電力のプラズマへの優れた結合及びより実用的な適用を含む多くの望ましい利点を容易にする。改善されたインピーダンスマッチングの同じ原理が、ソレノイド及び平坦な形状ばかりでなく、インターリーブされ、且つセグメント化されたものを含み、形状に無関係に、それぞれが多数の導体を有する複数のアンテナを含むる誘導性ソースへ適用することができる。
【0036】
ドーム天井を有するソレノイドのインターリーブされたアンテナ
図9は、天井110がドーム形状であるプラズマリアクタが如何に図5の円筒形ソレノイドの内側と外側アンテナ510、525を有するかを示している。図9において、外側アンテナ525は、ドーム天井の外側部分に載置され、したがって、内側アンテナ510より幾らか低いレベルに設けられている。
【0037】
図10は、図9の変形例を示し、外側アンテナ525は、ドーム形状の天井110の外側部分の傾斜及びほぼ垂直な表面に一致しているアンテナであるように変形されている。
【0038】
図11は、図9の変形例を示し、外側巻線525のソレノイドは、断面がドーム形状の天井110の表面に垂直であるように、逆コニカルの部分形状を有するアンテナであるように変形されている。
【0039】
図12は、図10の変形例を示し、内側アンテナ510がQian他に付与された前述の特許に記載されている形式の平坦なインターリーブされたコイルアンテナ1200によって置き換えられている。
【0040】
図13は、図9の変形例を示し、外側アンテナ525が天井110の上に置かれるよりもチャンバの側壁105を囲むように、チャンバの円筒形の側壁105のレベルに置かれている。
【0041】
平坦な天井に設けられたソレノイドのインターリーブされたアンテナ
図14は、天井が平坦である図13の変形例を示す。
図15は、図14の変形例を示し、内側アンテナが図12の平坦なインターリーブされた並列導体コイルアンテナ1200である。
【0042】
インターリーブ化とセグメント化の組合せ
図16は、図1を参照して説明したインターリーブ化及び図6aを参照して説明したセグメント化の両方を有する単一のソレノイド状コイルアンテナ1600を示す。図16のアンテナは、2つのインターリーブされた並列導体1610、1620を有する内側のセグメント1605を有する。この内側のセグメント1605は、実質的に図1のインターリーブされたソレノイドコイルの2つの導体の例である。図16のアンテナは、さらに、内側セグメント1605を囲む外側セグメント1630を有する。また、外側のセグメントも、2つのインターリーブされた並列導体1640、1650を有する。外側セグメント1630も図1のインターリーブされたソレノイドコイルの2つの導体の例である。図16における導体のそれぞれの上端は電力タップであり、それらの全てがインピーダンスマッチング回路網1660を介してRF電源1670に接続されている。図16における導体のそれぞれの下端は、グランドに接続される戻りタップである。
【0043】
図17は、外側アンテナ525が図16のアンテナ1600で置き換えられているほかは、図5の実施の形態と同様の本発明の他の実施の形態を示す。図17の内側アンテナ510は、図5を参照して説明したものと同じである。
【0044】
図17は、図16の縦断面図よりアンテナ1600の詳細な図を与える斜視図である。図17は、内側セグメント導体1610の電力及び接地タップ161a、1610bが垂直に整列され、且つ内側セグメントの他の内側アンテナ導体1620の垂直に整列された電力と接地タップ1620a、1620bから180度だけオフセットされていることを示す。同様に、外側セグメントの導体1640の電力と接地タップ1640a、1640bが垂直に整列され、且つ外側セグメントの他の導体1650の垂直に整列された電力と接地タップ1650a、1650bから180度だけオフセットされていることを示す。さらに、内側セグメント1605のタップは、外側セグメント1630のタップに対して90度の位置に配置されている。
【0045】
内側アンテナ510の上にある内側環状電力バス1750は、内側アンテナ510の電力タップの各々にRF電力を供給する。外側アンテナの内側と外側セグメント1605、1630の両方の上にある外側環状電力バス1760は、セグメント1605、1630の電力タップの各々にRF電力を供給する。
【0046】
複数の差動的に調整可能な出力を有するRF電源
少なくとも2つの差動的に調整可能な電力出力を有するRF電源は、この明細書において前述されており、”Inductively Coupled Plasma Source with Controllable Power Deposition”の名称で、Barnes他によって2000年4月6日に出願され、出願中の米国特許出願第09/544,377に記載されている。この記載は、レファレンスによってここに取り込まれる。図18は、二重出力を有するこのような電源の1つの実施の形態を示す。図18において、RF電源1800は、インピーダンスマッチング回路網1815を介して直列キャパシタ1820と可変並列キャパシタ1825に接続されたRF発生器1810を有する。電源1800の第1のRF出力端子1830はマッチング回路網1815と直列キャパシタ1820間に接続され、一方、第2のRF出力端子1840は、直列キャパシタ1820の反対側に接続される。可変並列キャパシタ1825を調整することは、その調整に依存して、多くの電力を一方の出力端子又は他方の出力端子へ配分する。したがって、2つの出力端子における電力レベルは、差動的に調整できる。図18に示されるように、第1の出力端子1830は、内側アンテナに接続され、一方、他方の出力端子1840は図5の外側アンテナに接続される。図19において、端子1830、1840は図7の内側と外側のセグメント化された並列導体アンテナ710、130にそれぞれ接続されている。図20において、出力端子1830、1840は、図8の内側と外側のインターリーブされたコイルアンテナ810、825にそれぞれ接続されている。より一般的には、図18の二重出力電源は、内側アンテナに接続された端子1830及び外側アンテナに接続された端子1840を有する内側と外側アンテナを有するあらゆるプラズマリアクタで用いられることができる。これは、図9乃至図15を参照して上述した外側と内側アンテナを有するリアクタのそれぞれに当てはまる。
【0047】
電源は、2つより多くのアンテナを有するリアクタと共に使用する2つより多い差動的に調整可能な出力を有することもできる。例えば、図21は、3つのアンテナ、即ち、内側アンテナ2110、中間アンテナ2120、及び外側アンテナ2130を有するリアクタを示す。これら3つのアンテナの各々は、適当なコイルアンテナのいずれの形式、例えば、平坦なまたはソレノイド状の単一導体コイルアンテナ、平坦又はソレノイド状のインターリーブされた並列導体アンテナ、ソレノイド状のセグメント化された並列導体アンテナ、又は前述の形式の異なるものの組合せであってもよい。しかし、図21の実施の形態では、内側アンテナ2110は、図2のソレノイド状のインターリーブした並列導体アンテナであり、中間アンテナ2120は、図16のセグメント化され、インターリーブされた並列導体アンテナ1600である。さらに、外側アンテナ2130は、図16のセグメント化され、インターリーブされた並列導体アンテナ1600の大きな変更である。
【0048】
図22は、3つのプラズマリアクタ、例えば21図の3つのアンテナのあるプラズマリアクタと共に使用する3つの差動的に調整可能な出力端子を有するRF電源を示す。図22のRF電源は、マッチング回路網2215、第1と第2の直列キャパシタ2220、2230、及び第1と第2の可変並列キャパシタ2240、2250を有するRF電力発生器2210を示す。第1の可変並列キャパシタ2240は、第1の直列キャパシタと接地の双方に接続され、また第2の可変並列キャパシタ2250は、第2の直列キャパシタ2230と接地の双方に接続される。第1の出力端子2260は、マッチング回路網2215と第1の直列キャパシタ2220の間に接続される。第2の出力端子2265は、第1の並列キャパシタ2240と第2の直列キャパシタ2230の間に接続される。第3の出力端子2270は、第2の直列キャパシタ2230の他方の側に接続される。好ましくは、第1の出力端子2260は、図21の内側アンテナ2110の電力タップに接続され、第2の出力端子2265は中間アンテナ2110の電力タップに接続され、及び第3の出力端子2270は、外側アンテナ2130の電力タップに接続される。
【0049】
図23は、図22の3端子RF電源の変形を示し、第1の直列及び並列キャパシタ2220、2240は、第2の直列及び並列キャパシタ2230、2250に並列に接続される。
【0050】
実際に、可変並列キャパシタ2240、2250は、供給されたRF磁界またはプラズマイオン密度の所望の半径方向の分布が得られるまで、内側、中間及び外側アンテナへ異なるRF電力レベルを分配するように調整される。得られるべき特定の半径方向の分布は行われているプロセスに依存する。例えば、あるプロセスは一様な分布を必要とする。他のプロセス、例えば、アルミニウムのエッチングは、供給されるRF磁界の適当な不均一な半径方向の分布を選択することによって補償されるウエハ表面にわたって不均一なガスまたはイオン分布を生成する。この選択は、可変並列キャパシタ2230、2250の調整により達成される。
【0051】
図24は、図1の実施の形態の変形を示し、コイル導体160、163、166を含むコイルアンテナ100が図1の実施の形態におけるように円形とは異なって対称軸の周りにある矩形である。この実施の形態は、平坦なパネルディスプレイ等を処理するのにより良く適合される。
【0052】
開示された実施の形態の利点
プラズマリアクタの性能を低下させたこの分野の多くの問題は今解決された。本発明のソレノイドの特徴は、各々の導体セグメントがほぼ軸方向にその最も近い隣にある導体セグメントから置き換えられているので、アンテナの効率を増加する。この方法で、導体セグメント間の相互結合に寄与することができる磁力線は、垂直方向にあるので、それらはリアクタチャンバ内のプラズマへ向かって有利に到達する。したがって、プラズマへの結合は、コイルがチャンバ軸に垂直な方向で相互結合している平坦である設計に対して増大される。
【0053】
垂直なソレノイドのインターリーブされた複数導体の内側と外側アンテナは、薄い導体自体の幅を超えて殆ど半径方向の幅をもたない。したがって、例えば、外側アンテナへ供給されるRF電力の大部分は、外側アンテナの単一な個々の半径からチャンバへ放射するので、上述した従来のアンテナにおけるように内部の半径方向の位置において“浪費”されない。内側アンテナに供給されるRF電極の大部分が内側アンテナの単一の個々の半径から放射する点において内側アンテナについては正しい。従って、外側の半径方向の位置において浪費されることがない。結果的に、内側と外側アンテナに関して供給される電力レベルの相違の与えられた範囲に対して、プラズマイオン密度の半径方向の分布において従来の場合に可能であるより非常に大きなシフトが実現される。
【0054】
本発明のこの特徴は、非常に大きなウエハ表面にわたって均一な及び/又は調整可能なプラズマイオン分布を与える点において特に有利である。したがって、チャンバサイズは、内側と外側アンテナ構造を用いて、大きな直径のウエハまで容易に大きくできる。更に、非常に大きな数のアンテナ、例えば内側と外側アンテナ間に中間アンテナを用いることによって、非常に大きなものが得られる。
【0055】
内側と外側アンテナのインピーダンス間の不均衡の問題は、内側と外側アンテナのインターリーブされたコイルにおける複数導体の長さおよび数を調整することによって克服される。外側アンテナは、内側アンテナより多くの数のインターリーブされた導体にセグメント化される。さらに、外側アンテナの各々の導体は、それに比例して短い。内側と外側アンテナ間のインターリーブされた導体の数及び導体の長さの割合は、内側と外側アンテナのインピーダンス間の不均衡を減少するのに充分である。従って、この問題は、内側アンテナに対して外側アンテナのそれぞれの導体のインダクタンス(長さ)を減少することによって解決される。外側アンテナの全体の誘導性結合における付随の減少を避けるために、内側アンテナより非常に多くの個々の導体が外側アンテナに設けられる。非常に大きな数の個々のアンテナは、外側アンテナの短くされた導体の長さを補償するために誘導性結合を増大する。内側と外側アンテナがマッチングされ、またはほぼマッチングされることについては、両方のアンテナを駆動する共通の電源がインピーダンスマッチングの問題に遭遇することなく用いられることができる。本発明の図示された実施の形態は、プラズマイオン密度の半径方向の分布の調整を可能にする差動的に調整可能な電力レベルを有する多重出力の共通電源を用いる。
【0056】
インターリーブされた複数導体アンテナに変わるものとして、セグメント化された複数導体アンテナは、インターリーブされた導体アンテナの利点を有しており、ソレノイドまたはドーム形状を有する上述したいろいろな形状において実現される。更に、セグメント化された形状は、上述され、図示された実施の形態にしたがってインターリーブされた形状と組合されることができる。
【0057】
上述されたソレノイドのインターリーブされ、セグメント化された導体アンテナは、好ましくは、一方の面(例えば、上部)に共通面の電力タップ、及び他方の面(例えば、下部)に共通面の戻りタップを有する。与えられたアンテナの複数導体のそれぞれ1つに対して、その電力タップと戻りタップが垂直に整列(または、より一般的にはコイルアンテナの軸に沿って整列)されるのが有利であり、したがって、アンテナの形状を有利に簡単化することができる。
【0058】
したがって、第1に、前述の利点の幾つか及び実際には全てが同じプラズマソースにおいて同時に与えられる。
【0059】
本発明は、図示された実施の形態を特に参照することによって詳細に説明されたが、それらの変形や変更は、本発明の真の精神及び範囲から逸脱することなく行われることができることを理解されるべきである。
【図面の簡単な説明】
【図1】
単一の、ソレノイドのインターリーブされた複数導体コイルアンテナを有する本発明の第1の実施の形態を示す。
【図2】
内側と外側ソレノイドのインターリーブされた複数導体コイルアンテナを有する本発明の第2の実施の形態の斜視図を示す。
【図3】
内側と外側ソレノイドのインターリーブされた複数導体コイルアンテナを有する本発明の第2の実施の形態の上面図を示す。
【図4】
内側と外側ソレノイドのインターリーブされた複数導体コイルアンテナを有する本発明の第2の実施の形態の断面図を示す。
【図5】
内側と外側ソレノイドのインターリーブされた導体コイルアンテナを有する本発明の第1の好適な実施の形態の斜視図を示す。
【図6A】
単一の、ソレノイドのセグメント化された複数導体コイルアンテナを有する本発明の他の実施の形態の斜視図を示す。
【図6B】
単一の、ソレノイドのセグメント化された複数導体コイルアンテナを有する本発明の他の実施の形態の上面図を示す。
【図7A】
内側と外側ソレノイドのセグメント化された導体アンテナを有する本発明の他の実施の形態を示す。
【図7B】
コイルアンテナがドーム形状と一致する、図7Aの本発明の実施の変形例を示す。
【図8】
外側の平坦なインターリーブされた導体コイルアンテナを含み、その導体の長さが内側コイルアンテナのインピーダンスをほぼマッチングするように同調されている本発明の他の実施の形態を示す。
【図9】
リアクタチャンバのドーム形状の天井を有するプラズマリアクタと共に、ソレノイドの、インターリーブされた導体コイルアンテナの1つの形状を示す。
【図10】
リアクタチャンバのドーム形状の天井を有するプラズマリアクタと共に、ソレノイドの、インターリーブされた導体コイルアンテナの他の形状を示す。
【図11】
リアクタチャンバのドーム形状の天井を有するプラズマリアクタと共に、ソレノイドの、インターリーブされた導体コイルアンテナの他の形状を示す。
【図12】
リアクタチャンバのドーム形状の天井を有するプラズマリアクタと共に、ソレノイドの、インターリーブされた導体コイルアンテナの他の形状を示す。
【図13】
リアクタチャンバのドーム形状の天井を有するプラズマリアクタと共に、ソレノイドの、インターリーブされた導体コイルアンテナの更に他の形状を示す。
【図14】
平坦なチャンバの天井を有するプラズマリアクタと共に、ソレノイドのインターリーブされた複数導体コイルアンテナの1つの形状を示す。
【図15】
平坦なチャンバの天井を有するプラズマリアクタト共に、ソレノイドの、インターリーブされた複数導体コイルアンテナの他の形状を示す。
【図16】
単一のソレノイドコイルアンテナにおける複数導体のインターリーブ化とセイグメント化を組合せた本発明の実施の形態を示す。
【図17】
外側アンテナがインターリーブ化とセグメント化された導体を有する図16に示された形式のソレノイドコイルアンテナである、内側と外側コイルアンテナを有する本発明の好適な実施の形態を示す。
【図18】
図5の内側と外側コイルアンテナにそれぞれ接続された差動調整可能な2つの出力を有する単一電源を示す。
【図19】
図7の内側と外側コイルアンテナに接続された図18の二重出力を有する電源を示す。
【図20】
図8の内側と外側コイルアンテナにそれぞれ接続された図18の二重出力を有する電源を示す。
【図21】
内側、中間、及び外側のソレノイドの複数導体コイルアンテナを有する本発明の他の実施の形態を示す。
【図22】
図21のリアクタと共に使用するための差動的に調整可能な三重出力を有する電源の第1の実施の形態を示す。
【図23】
図21のリアクタと共に使用するための差動的に調整可能な三重出力を有する電源の第2の実施の形態を示す。
【図24】
コイルアンテナが円形以外の方形である図1の他の実施の形態を示す。
[0001]
(Background of the Invention)
Plasma reactors used to fabricate semiconductor microelectronic circuits can use RF inductively coupled magnetic fields to maintain a plasma formed from the process gas. Such a plasma is useful for performing etching and deposition processes. In general, a high frequency RF source power signal is applied to a coil antenna near the ceiling of the reactor chamber. The semiconductor wafer or workpiece support on the pedestal in the chamber has a bias RF signal applied thereto. The power of the signal supplied to the coil antenna mainly determines the plasma ion density in the chamber, while the power of the bias signal applied to the wafer determines the ion energy on the wafer surface. One problem with such coil antennas is that there is a relatively large voltage drop across the antenna that induces undesirable effects in plasmas such as arcs. This effect becomes more severe as the frequency of the source power signal applied to the coil antenna increases because the reactance of the coil antenna is proportional to the frequency. In some reactors, this problem is solved by limiting the frequency to a low range, for example about 2 MHz. Unfortunately, at such low frequencies, the coupling of RF power to the plasma is not very efficient. It is often easy to achieve a stable high density plasma discharge at a frequency in the range of 10 MHz to 20 MHz. Another drawback of operating at low frequencies (eg 2 MHz) is that the elements of these elements as impedance matching networks are very large and therefore bothersome and expensive.
[0002]
Another problem with coil antennas is that efficient inductive coupling to the antenna is generally achieved by increasing the number of turns of the coil forming a high magnetic flux density. This increases the inductive reactance of the coil and increases the circuit Q (ratio of circuit reactance to resistance) because the resistance of the circuit (consisting mainly of plasma resistance) remains constant. This in turn leads to this instability and difficulty of maintaining impedance matching across changing chamber conditions. The inductance of the coil is so great that instability occurs especially when self-resonance occurs near the frequency of the RF signal applied to the coil, coupled with stray capacitance.
[0003]
These problems are: “Inductively Coupled Plasma Reactor With Symmetrical Parallel Multiples coiling A Common RF Terminal: Inductively coupled plasma X u with a symmetrical parallel multi-coil having a common RF terminal” Inductive with symmetrically spirally arranged conductors interleaved on the outside, as described elsewhere in US Pat. No. 5,919,389 issued on Jul. 6, 1999. This is solved by the invention of the coil antenna. By dividing the antenna into multiple conductors in an interleaved symmetrical pattern, the voltage drop is reduced. This is because it is divided into multiple conductors of the antenna. Therefore, the frequency of the source power signal is not limited as in a conventional coil antenna. This type of coil antenna is referred to herein as an “interleaved” coil antenna. Such interleaved coil antennas not only have a flat pancake shape, but also a dome shape, a dome shape with a cylindrical skirt around the sidewall, or a flat with a cylindrical skirt around the sidewall of the chamber Various configurations having a pancake shape are disclosed (US Pat. No. 5,919,389).
[0004]
One limitation of coil antennas placed on the ceiling of the chamber (not only the traditional format but also the interleaved format) is that the mutual inductance between adjacent conductors of the antenna is generally horizontal (typically RF power). Is perpendicular to the vertical direction, which must be inductively coupled to the plasma. This is one important factor that limits the spatial control of power deposition on the plasma. The object of the present invention is to overcome this limitation in the spatial control of inductive coupling.
[0005]
In general, in the case of inner and outer coil antennas, they are physically arranged radially (rather than being limited to their respective radii), i.e. horizontally, so that their radial position is accordingly Diffused. This is a particularly true horizontal “pancake” shape. Thus, changing the relative distribution of RF power supplied between the inner and outer antennas limits the ability to change the radial distribution of the plasma ion distribution. This problem is particularly serious when processing semiconductor wafers having a large diameter (eg, 300 mm). This is because as the wafer size increases, it becomes more difficult to maintain a uniform plasma ion density across the entire wafer surface. The radial distribution of the plasma ion density can be easily adjusted by adjusting the radial distribution of the magnetic field applied from the overhead antenna. It is this magnetic field that determines the plasma ion density. Therefore, there is a need for the ability to trim or adjust the radial distribution of the applied RF magnetic field as the wafer size increases. It is therefore necessary to increase the influence of the distribution of RF power applied between the inner and outer antennas, in particular to limit each of the inner and outer antennas to separate or very narrow radial positions. It is necessary to achieve this.
[0006]
Another problem encountered when using inner and outer coil antennas is that they generally have significantly different impedances because the outer antenna has a significantly larger inductance than the inner antenna (because of the longer outer radius distance). It is. As a result, the impedance of the two coils is not the same. This problem becomes more serious as the chamber size increases to accommodate larger semiconductor wafers. One way around this problem is to use independent RF power sources to drive the inner and outer antennas. Since each power supply has its own impedance matching network, impedance imbalance between the inner and outer antennas is not a problem. However, since it is difficult or impractical to keep the two independent power supplies in phase, beneficial and useless interference between the RF fields generated by the two antennas as their RF currents are no longer in phase or out of phase. Occurrence causes another problem that undesirable effects occur. This problem is overcome according to one aspect of the present invention by using a single, novel, dual output RF power supply that has the ability to distribute different RF power levels to its two outputs. However, with this single RF power supply, the imbalance between the impedances of the inner and outer antennas is again a problem. Therefore, it is necessary to facilitate at least equalization of the impedances of the inner and outer coils without sacrificing any inductive coupling.
[0007]
(Summary of Invention)
One embodiment of the present invention is implemented in a plasma reactor for processing semiconductor workpieces. The reactor is an interleaved vacuum chamber with side walls and a ceiling, a workpiece support pedestal generally facing the ceiling, a gas inlet capable of supplying process gas to the chamber, and a solenoid placed on the ceiling. A coil antenna of parallel conductors, and further comprising a first plurality of conductors wound about a symmetry axis substantially perpendicular to the ceiling in each coaxial helical solenoid displaced laterally at least substantially uniformly from axial symmetry. . Each helical solenoid is offset from the other helical solenoid in a direction parallel to the symmetry axis. An RF plasma source power source is connected to both ends of the plurality of conductors.
[0008]
In another embodiment, the antenna is placed on a ceiling and in each of the coaxially arranged helical solenoids, a solenoid comprising a first plurality of conductors wound about an axis of symmetry substantially perpendicular to the ceiling. A segmented parallel conductor coil antenna, each helical solenoid being offset from the other helical solenoid closest in the direction perpendicular to the axis of symmetry by a distance of about the conductor width of the multiple conductors, thereby Helical solenoids have slightly different diameters.
[0009]
In any embodiment, the reactor is further placed on the ceiling, surrounded by a first solenoid interleaved parallel conductor coil antenna and having an inner antenna with a smaller lateral dimension. So that the first parallel conductor coil antenna becomes the outer coil antenna. In one form, the reactor further comprises a second RF plasma source power source connected to the inner coil antenna so that the respective RF power levels supplied to the inner and outer antennas are the inner and outer antennas. Can be adjusted differentially to control the radial distribution of the RF magnetic field supplied from. However, in a preferred embodiment, the RF plasma source power supply has two RF outputs with power levels that can be differentially adjusted, one of the two RF outputs connected to the outer antenna. The other is connected to the inner antenna, so that the respective RF power levels supplied to the inner and outer antennas are different to control the radial distribution of the RF magnetic field supplied from the inner and outer antennas. It can be adjusted dynamically.
[0010]
Preferably, the number of first parallel multi-conductors is greater than the number of second parallel multi-conductors, and accordingly the length of the first parallel multi-conductor is the inductive reactance of the outer antenna and the inner antenna It will be shortened to at least approach it.
[0011]
Also, if the inner antenna is a parallel conductor antenna, preferably, the number of first parallel multiple conductors is greater than the number of second parallel multiple conductors, and the length of the first parallel multiple conductors is: Accordingly, the length of the first parallel multiple conductors is shortened so that the inductive reactance of the outer antenna is at least close to the inductive reactance of the inner antenna.
[0012]
The lateral displacement of the first multiple conductors of the outer antenna is preferably uniform, and the lateral displacement of the second multiple conductors of the inner antenna is preferably uniform, thereby The inner and outer antennas are confined within each narrow annulus with a width corresponding to the thickness of the conductor, thereby maximizing the effect of the difference between the inner and outer antennas on the radial distribution of the supplied RF field. To do.
[0013]
(Detailed description of preferred embodiments)
Solenoid interleaved coil antenna
Referring to FIG. 1, the efficiency of inductive coupling to the plasma is increased by configuring the antenna 100 as a solenoid multi-conductor interleaved coil antenna. In the illustrated embodiment, the solenoid antenna 100 defines a vertical straight cylinder, or virtual cylindrical surface or position, whose axis of symmetry coincides with the axis of symmetry of the vacuum chamber 101 of the reactor. Preferably, furthermore, it coincides with the axis of symmetry of the workpiece received for processing. In FIG. 1, the reactor chamber 101 is defined by a cylindrical side wall 105 and a flat ceiling 110. The wafer support pedestal 115 is provided in the reactor chamber 101 and is directed toward the chamber ceiling and is centered on the axis of symmetry of the chamber. A vacuum pump 120 is connected to the exhaust outlet of the chamber. The process gas supply source 125 supplies process gas into the reactor chamber via the gas inlet 130. The process gas can include, for example, a halide gas for polysilicon etching, a fluorocarbon gas for silicon dioxide etching, or a silane for chemical vapor deposition of silicon. Alternatively, the gas can include, for example, a chlorine-containing gas for metal etching. The gas inlet 130 is shown in FIG. 1 as a single pipe, but in practice it is realized through a more sophisticated structure, such as multiple inlets.
[0014]
Under the influence of RF power induced from the antenna to the chamber, these gases support the plasma for processing the workpiece. The plasma process performed may include not only etching but also deposition using a suitable precursor gas, such as chemical vapor deposition.
[0015]
The pedestal 115 has a conductive electrode 115a that is coupled to a bias RF power source 145 via an impedance matching network 140. The chamber sidewall 105 can be a metal such as aluminum, while the ceiling 110 can be a dielectric such as quartz. In other embodiments of the present invention, the ceiling is not flat and can be dome-shaped or conical. Furthermore, the ceiling 110 may be a semiconductor other than a dielectric. The semiconductor material of the ceiling has an optimal conductivity so that it acts as a window against the RF inductive magnetic field from the electrodes as well as the antenna. To determine the optimum conductivity for this purpose, the method of “Parallel Plate Electrode Plasma Reactor Having An Inductive Antenna Coupling Power Through a Parallel Plate Electrode with a parallel power electrode through a parallel plate electrode” Kenneth S. under the name of “reactor”. U.S. Pat. No. 6,077,384 issued to Collins and issued June 20, 2000. In this case, the ceiling 100 is used as an electrode, but it can be grounded (shown in dotted lines) or connected to the RF power source 155 via the matching network 150 (similarly , Indicated by dotted lines). The chamber and / or antenna may have a shape other than a cylindrical shape, for example, it may be rectangular or have a square cross section. The workpieces may also have a shape other than circular, for example, they may be square or other external shapes. The workpieces to be processed may be semiconductor wafers or they may be other things such as mask reticles.
[0016]
Interleaved solenoid coil antenna 100 can include any number of interleaved conductors. In the embodiment of FIG. 1, the coil antenna consists of three interleaved symmetrically arranged conductors 160, 163, 166. The multiple conductors of the antenna are placed along respective helical paths that are substantially parallel to each other. Each helix forms a solenoid configuration according to the same virtual upright cylindrical surface. As shown, the helical conductors 160, 163, 166 are uniformly spaced from each other in the vertical direction. More generally, the conductors are substantially evenly spaced from one another in the direction of the chamber's approximately axis of symmetry. These power input taps 160a, 163a, 166a are connected to the RF plasma source power source 175 via the impedance matching network 170, respectively. These return taps 160b, 163b, 166b are connected (grounded) to the ground, respectively. As shown, the power (input) taps 160a, 163a, 166a are preferably in the same horizontal plane of the virtual circle and are arranged along the circumference of the virtual circle with uniform spacing and three conductors. In the case of, it is 120 degrees. More generally, the aforementioned planes cross the axis of symmetry of the chamber. Similarly, the return taps 160b, 163b, 166b are on the same plane and are arranged at a uniform interval. In this embodiment, the helical path of each conductor 160, 163, 166 has taps 160a, 163a, 166a in the same plane, but is sufficient in the axial direction to achieve a substantially uniform axial displacement between the conductors. It has a gentle slope. In other embodiments, the taps need not be in the same plane.
[0017]
In the embodiment of FIG. 1, the power and return taps of each conductor are axially aligned (here, since the chamber axis is shown to be vertically oriented, ) For example, the power tap 160a and the return tap 160b of the conductor 160 are aligned in the axial direction. Preferably, the grounded end of the winding is closest to the chamber ceiling, as shown in FIG. 1, to prevent high potentials from approaching the plasma, thereby tending to arc and undesirable capacitance Minimize coupling effects.
[0018]
The main advantage is that inductive coupling is provided by multiple conductors other than a single conductor (e.g., three conductors 160, 163, 166), so that for the same amount of inductive coupling, a short conductor Can be used. This feature greatly reduces the potential drop along each conductor and advantageously reduces capacitive coupling.
[0019]
In this illustrated embodiment, the antenna 100 is symmetrically disposed about the symmetry axis of the cylindrical reactor chamber sidewall 105. Thus, for example, the input taps 160a, 163a, 166a are equally spaced from the symmetry axis of the cylindrical sidewall 105. Similarly, the output (return) taps 100b, 163b, 166b at the bottom of the antenna 100 are equally spaced from the axis of symmetry of the cylindrical side wall 105. Further, each conductor 160, 163, 166 is substantially the same shape and substantially the same length spaced substantially the same distance from each other about the axis of symmetry. Preferably, the input and output taps of each conductor (eg, input and output taps 160a, 160b) are vertically aligned with each other (ie, along the axis of symmetry of cylindrical sidewall 105).
[0020]
Why solenoid coils give good coupling
The solenoid feature of the illustrated embodiment of the present invention increases the coupling of the antenna to the plasma because each conductor segment is spaced from its nearest neighbor conductor segment in the direction of the axis of symmetry. In this way, the magnetic field lines contributing to the mutual coupling between the conductor segments are axial, so that they effectively reach the plasma in the reactor chamber. Thus, the coupling to the plasma is increased compared to a flat design where the coils have mutual coupling in the direction perpendicular to the chamber axis. In the embodiment of FIG. 1, the three conductors 160, 136, 166 are axially separated from each other so that the mutual inductance between the nearest neighboring conductors is generally in the axial direction of the chamber.
[0021]
Inner and outer solenoid coil antennas with multiple interleaved conductors
FIGS. 2-4 show a perspective view, top view and longitudinal section of a reactor having inner and outer solenoid antennas, each antenna having a number of interleaved conductors of the type shown in FIG. The inner solenoidal antenna 210 has two interleaved conductors 215, 220 (different from the three shown in FIG. 1). However, in other embodiments, a greater number of conductors may be provided than these interleaved conductors. The power taps (terminals) 215a and 220a are arranged 180 degrees apart from each other, and the return taps 215b and 220b are the same. As in the embodiment of FIG. 1, the power and return terminals of each conductor 215, 220 of FIG. 2 are vertically aligned. In other embodiments, they may not be axially aligned. Also, as in the embodiment of FIG. 1, in FIG. 2, the power taps 215a, 220a are on the upper surface across the axis, while the return taps 215b, 220b are on the lower surface across the axis. In the position shown, both of these crossed surfaces are horizontal. Each of the conductors 215, 220 is wound in a helix with sufficient slope, and the 180 degree angular separation of the power taps 215a, 220a is the axis between the conductors 215, 220 shown in FIG. Sufficient to provide a directional offset.
[0022]
The outer antenna 230 has three interleaved parallel conductors 235, 240, 245 having power taps 235a, 240a, 245a spaced 120 degrees in the upper horizontal plane and return taps 235b, 240b, 245b spaced 120 degrees in the lower horizontal plane. doing. In order to facilitate adjustment of the radial distribution of plasma ion density, the power level supplied to each one of the inner and outer antennas 210, 230 must be adjustable separately or operatively. For this purpose, FIG. 2 shows two separate RF power sources 250, 255 coupled to the inner and outer antennas 210, 230 via respective impedance matching networks 260, 265. One problem with using separate power supplies is that their output signals tend to wander in phase and out of phase. Instead, FIG. 4 shows a common RF power supply 270 having two differentially adjustable outputs connected to the inner and outer antennas 210, 230. Dual output RF power supply 270 is described later in this document. Its main advantage is that the separately adjustable RF signals supplied to the inner and outer antennas 210, 230 are in phase, but their respective power levels can be adjusted with respect to each other. The innovative design of the multi-coil antenna facilitates impedance matching and balancing between multiple coils and the use of a common power source.
[0023]
The elevational cross section of FIG. 4 shows how the individual radial shapes of the inner and outer antennas 210, 230 are in a small area of the ceiling 110, with the remaining area above most of the ceiling. It provides enough space for the placement of temperature control elements. In particular, for example, the temperature control element can have thermal conductive spacers 286, 288 in contact with the top surface of the ceiling 110 in portions not under the inner and outer antennas 210, 230. The inner spacer 286 is an upright solid cylinder surrounded by the inner antenna 210, while the outer spacer is a solid annulus surrounded by the inner and outer antennas 210, 230. A cooling plate 290 is placed in contact with the top surfaces of the heat transfer spacers 286 and 288 and has a cooling passage 292 through which a cooling liquid extending through the cooling plate circulates. In addition, the spacers 286, 288 may have a hollow space for facing the ceiling 110 to accommodate the heating lamp 294.
[0024]
Inside of solenoid / How the outer antenna increases the adjustment of the radial distribution of plasma ion density
Flat (“pancake-like”) type inner and outer antennas will be distributed across a relatively large horizontal annulus, so the “location” of their radiated power deposition is individually defined It has not been. For example, some of the outer windings of the inner antenna are near the inner winding of the outer antenna. Accordingly, these RF currents flowing in the outermost winding of the inner antenna affect the coupling of the inner winding of the outer antenna. Similarly, the RF current flowing in the innermost winding of the outer antenna affects the coupling of the outer winding of the inner antenna. As a result, the positional effects of the inner and outer antennas are diffused and the radial power distribution cannot be easily controlled by simply adjusting the RF power supplied to the solenoid coil. This can shift the radial distribution of the RF field (and hence the radial distribution of the plasma ion density) for the differences provided between the power levels supplied to the inner and outer antennas. Decrease degree.
[0025]
Conversely, in the embodiment shown in FIGS. 2-4, the inner and outer antennas 210 of the solenoids in which the multiple conductors are offset from each other in a substantially vertical direction (ie, more generally in the direction of the chamber axis). , 230 does not actually have a radial width that exceeds the radial width of the thin conductor itself. This clearly shows that in the horizontal plane (ie, more generally the plane across the chamber axis), the inner and outer antennas 210, 230 appear as two individual concentric circles with a thin circular line. This is best seen in the embodiment of FIG. Thus, for example, all of the RF power supplied to the outer antenna 230 radiates into the chamber from a single individual radial position of the outer antenna and is wasted at the internal radial position as in the conventional antenna described above. There is nothing to do. This is also true for the inner antenna in that all of the RF power supplied to the inner antenna 210 radiates from a single individual radius of the inner antenna 210. Thus, it is not wasted at the outer radial position. As a result, for a given range of differences in the supplied power levels of the inner and outer antennas 210, 230, the shift in the radial distribution of plasma ion density can be much greater than in the conventional case. Understood.
[0026]
This feature provides significant advantages as the chamber size is increased upwards to accommodate larger semiconductor wafer sizes. As the size of the wafer increases, it becomes difficult to maintain a uniform plasma ion density across the entire wafer surface and to adjust the distribution of plasma ion density across the wafer surface. The radial distribution of plasma ion density is largely determined by the radial distribution of a given induced magnetic field. Therefore, the radial distribution of the plasma ion density can be easily formed by adjusting the radial distribution of the induced magnetic field provided from the overhead antenna. As the size of the wafer increases, a greater ability to create or adjust the radial distribution of the applied RF induced magnetic field is needed more than previously possible. This need includes (a) limiting each of the inner and outer antennas to separate or very narrow radial positions, and (b) providing this antenna as a plurality of symmetrically arranged conductors. By increasing the effect of the distribution of the RF power applied between the inner and outer antennas. This not only provides a basis for significantly increased impedance matching and power distribution capabilities of various diameter antennas, but also reduces the effects of voltage drops and undesirable capacitive coupling, as described in detail below. Minimize.
[0027]
How the impedances of the inner and outer antennas are matched
As described hereinabove, the large dimension of the outer antenna 230 is longer than the conductor length of the inner antenna 210 and thus exhibits a large inductive reactance. This creates a problem in maintaining a uniform potential difference across the reactor chamber, and an impedance matching problem if a common RF power source is used. One feature of the present invention solves this problem by adjusting the length and number of multiple conductors of the interleaved coil of the inner antenna as compared to the outer antenna. In particular, the outer conductor is provided as a larger number of each interleaved conductor than the inner conductor. Furthermore, each of the outer conductors is proportionally shorter. The number of interleaved conductors between the inner and outer antennas and the ratio of the conductor lengths are sufficient to reduce the imbalance between the impedances of the inner and outer antennas.
[0028]
Therefore, this problem is solved as one of the features of the present invention by reducing the inductance (length) of each of the conductors in the outer antenna 230. In order to avoid simultaneous reduction of the overall inductive coupling of the outer antenna 230, the number of each conductor is provided in the outer antenna 230 more than in the inner antenna 210. In particular, the inner antenna 210 has only two conductors with taps provided at 180 degrees, whereas the outer antenna 230 is provided every 120 degrees as shown in FIG. 2-4. It has three conductors with a given tap. A larger number of conductors relative to the other antennas increases inductive coupling to compensate for each shorter conductor length. In addition, each of the short conductors exhibits a greatly reduced voltage drop compared to the use of a similar single conductor antenna.
[0029]
First integrated embodiment
FIG. 5 shows a first integrated embodiment with multiple solenoidal overhead antennas, each having a plurality of interleaved conductors. The inner solenoid antenna 510 has a pair of interleaved conductors 515, 520 with power taps 515a, 520a spaced 180 degrees apart. The outer solenoid antenna 525 has four interleaved conductors 530, 535, 540, 545 with power taps 530a, 535a, 540a, 545a at 90 degree intervals relative to the axis of symmetry. Each interleaved conductor is substantially parallel to the remaining conductors of the provided antenna. The inner circular power bus 550 above the inner antenna 510 is connected to the inner antenna power taps 515a, 520a. Similarly, the outer circular power bus 552 is connected to the outer antenna power taps 530a, 535a, 540a, 545a. A set of four arms 560, 562, 564, 566 below the outer antenna 525 and spaced 90 degrees connect each grounded tap to a circular grounded housing 570. Two opposite arms 560, 564 spaced 180 degrees apart are connected to the grounded taps 515b, 520b of the inner antenna, respectively, and to the grounded taps 530b, 545b of the outer antenna. . The remaining two opposite arms 562, 566 are connected to grounded taps 535b, 545b of the outer antenna. For each one of the plurality of antenna conductors given in FIG. 5, the power tap and the grounded tap are axially aligned.
[0030]
Furthermore, the power and ground taps of both inner and outer antennas are collinear and axially aligned. However, other embodiments are possible where they need not be aligned. Multiple conductors and symmetric designs facilitate the use of such aligned taps within and between multiple coils, greatly simplifying the input of RF power to the antenna, Minimize the possibility of crosstalk, stray reactance, and inhomogeneities in the plasma.
[0031]
Segmented and lined solenoid conductors
6A and 6B show a single solenoid conductor coil antenna in which multiple conductors are not interleaved (eg, as in the form shown in FIG. 1), but segmented into parallel conductors 610, 620. FIG. Therefore, a solenoid antenna is formed which is considered to be composed of segmented conductors arranged side by side. The top view of FIG. 6B shows how the segmented conductors are arranged rather than arranged along the axis in the direction of the axis of the chamber, or vertically as shown. Show. As in the interleaved embodiment of a given antenna, the arrayed conductors are arranged symmetrically about an axis along helical paths that are substantially parallel to each other. Since one of the conductors 610, 620 has a slightly larger helical radius than the other, the conductor 610 is an inner segment and the conductor 620 is an outer segment. The aligned conductors 610 and 620 function as a single antenna. Because they are placed close together. For example, in the illustrated embodiment, they are spaced apart by a radial distance that is within about one-twentieth of the thickness of the conductors 610, 620. In some implementations, this distance is as much as thirty times the conductor thickness, or as small as a fraction of the conductor thickness.
[0032]
FIG. 7A shows how a segmented and aligned multi-conductor antenna of two solenoids of the type shown in FIGS. 6A and 6B can be used as the inner and outer instead of the inner and outer antennas of FIG. It shows how. In FIG. 7A, the inner antenna 710 consists of a pair of aligned solenoid conductors 712, 714 having upper power taps 712a, 714a and lower return taps 712b, 714b. The outer antenna 730 consists of four side-by-side solenoid conductors 735, 740, 745, 750, each having a smaller number of conductors than the number of inner antennas 710. Their power taps 735a, 740a, 745a, 750a are at the top and their return taps 735b, 740b, 745b, 750b are at the bottom. The inner and outer antennas 710, 730 are preferably connected to different power output terminals so that their power levels are adjusted differentially. This can be accomplished using separate power supplies or a common power supply with separately or differentially adjustable outputs, as described below.
[0033]
FIG. 7B shows a variation of the embodiment of FIG. 7A, where the reactor chamber ceiling, which is different from the flat as in the embodiment of FIG. 7A, is dome-shaped, and the segmented solenoid inner and outer antennas are It is along the dome-shaped ceiling 110 of FIG. 7B. Thus, each solenoidal coil 712, 714 of the inner antenna 710 and each solenoidal coil 735, 740 of the outer antenna 730 are wound in a conical helix or helical dome shape, with each lower winding. The wires 712, 714, 735, 740 have a larger diameter than the upper winding of the coil. Preferably, the conical surface before the coils 712, 714, 735, 740 are wound coincides with the dome-shaped ceiling 110 of FIG. 7B.
[0034]
Tuning the inner and outer flat coil antennas
FIG. 8 shows how the flat form of the inner and outer interleaved coil antennas can be modified to tune the antennas to bring their impedances closer to matching. As in the embodiment of FIG. 5, the inner antenna of FIG. 8 has two interleaved conductors 815, 820, while the outer antenna 825 has four interleaved conductors 830, 835, 840, 845. have. The power taps 815a and 820a of the inner antenna are connected in common, while the ground taps 815b and 820b are provided at an interval of 180 degrees. Outer antenna power taps 830a, 835a, 840a, 845a are provided at 90 degree intervals, and outer antenna ground taps 830b, 835b, 840b, 845b are also provided at 90 degree intervals. As in the embodiment of FIG. 5, the inner and outer antennas of FIG. 8 are substantially impedance matched. This is because the outer antenna is provided twice as many as the individual conductors as the inner antenna, so that its length reduces their respective inductance without sacrificing the overall inductive coupling of the outer antenna. This is because it is shortened in proportion to.
[0035]
As noted above, good impedance matching between the inner and outer multi-conductor antennas 810, 825 uses a common power source for both antennas, thus providing better coupling of power to the plasma and more practical. Facilitates many desirable benefits including application. Induction with the same principle of improved impedance matching including solenoids and flat shapes, as well as interleaved and segmented ones, including multiple antennas each with multiple conductors, regardless of shape It can be applied to sex sources.
[0036]
Solenoid interleaved antenna with dome ceiling
FIG. 9 illustrates how a plasma reactor having a dome-shaped ceiling 110 has the inner and outer antennas 510, 525 of the cylindrical solenoid of FIG. In FIG. 9, the outer antenna 525 is mounted on the outer portion of the dome ceiling and is therefore provided at a somewhat lower level than the inner antenna 510.
[0037]
FIG. 10 shows a variation of FIG. 9 in which the outer antenna 525 is modified to be an antenna that conforms to the slope and substantially vertical surface of the outer portion of the dome-shaped ceiling 110.
[0038]
FIG. 11 shows a variation of FIG. 9 in which the solenoid of the outer winding 525 is modified to be an antenna having an inverted conical partial shape so that the cross section is perpendicular to the surface of the dome-shaped ceiling 110. ing.
[0039]
FIG. 12 shows a variation of FIG. 10 in which the inner antenna 510 has been replaced by a flat interleaved coil antenna 1200 of the type described in the aforementioned patent granted to Qian et al.
[0040]
FIG. 13 shows a variation of FIG. 9 that is placed at the level of the cylindrical side wall 105 of the chamber so that the outer antenna 525 surrounds the side wall 105 of the chamber rather than being placed on the ceiling 110.
[0041]
Solenoid interleaved antenna on a flat ceiling
FIG. 14 shows a modification of FIG. 13 in which the ceiling is flat.
FIG. 15 shows a modification of FIG. 14, in which the inner antenna is the flat interleaved parallel conductor coil antenna 1200 of FIG.
[0042]
Combining interleaving and segmentation
FIG. 16 shows a single solenoidal coil antenna 1600 having both the interleaving described with reference to FIG. 1 and the segmentation described with reference to FIG. 6a. The antenna of FIG. 16 has an inner segment 1605 with two interleaved parallel conductors 1610, 1620. This inner segment 1605 is substantially an example of the two conductors of the interleaved solenoid coil of FIG. The antenna of FIG. 16 further includes an outer segment 1630 that surrounds the inner segment 1605. The outer segment also has two interleaved parallel conductors 1640, 1650. Outer segment 1630 is also an example of the two conductors of the interleaved solenoid coil of FIG. The top ends of each of the conductors in FIG. 16 are power taps, all of which are connected to an RF power source 1670 via an impedance matching network 1660. The lower end of each conductor in FIG. 16 is a return tap connected to the ground.
[0043]
FIG. 17 shows another embodiment of the present invention that is similar to the embodiment of FIG. 5, except that the outer antenna 525 is replaced with the antenna 1600 of FIG. The inner antenna 510 of FIG. 17 is the same as that described with reference to FIG.
[0044]
FIG. 17 is a perspective view giving a detailed view of the antenna 1600 from the longitudinal sectional view of FIG. FIG. 17 illustrates that the power and ground taps 161a, 1610b of the inner segment conductor 1610 are vertically aligned and offset by 180 degrees from the vertically aligned power and ground taps 1620a, 1620b of the other inner antenna conductors 1620 of the inner segment. Indicates that Similarly, the power and ground taps 1640a, 1640b of the outer segment conductor 1640 are vertically aligned and offset by 180 degrees from the vertically aligned power and ground taps 1650a, 1650b of the other conductors 1650 of the outer segment. Indicates that Further, the tap of the inner segment 1605 is disposed at a 90 degree position with respect to the tap of the outer segment 1630.
[0045]
An inner annular power bus 1750 above the inner antenna 510 provides RF power to each of the inner antenna 510 power taps. An outer annular power bus 1760 on both the inner and outer segments 1605, 1630 of the outer antenna provides RF power to each of the power taps of the segments 1605, 1630.
[0046]
RF power supply having a plurality of differentially adjustable outputs
An RF power supply having at least two differentially adjustable power outputs is described earlier in this specification and is entitled “Inductively Coupled Plasma Source with Controllable Power Deposition” April 6, 2000 by Barnes et al. And described in pending US patent application Ser. No. 09 / 544,377. This description is hereby incorporated by reference. FIG. 18 shows one embodiment of such a power supply with dual outputs. In FIG. 18, an RF power source 1800 includes an RF generator 1810 connected to a series capacitor 1820 and a variable parallel capacitor 1825 via an impedance matching network 1815. The first RF output terminal 1830 of the power supply 1800 is connected between the matching network 1815 and the series capacitor 1820, while the second RF output terminal 1840 is connected to the opposite side of the series capacitor 1820. Regulating the variable parallel capacitor 1825, depending on the adjustment, distributes much power to one output terminal or the other output terminal. Therefore, the power levels at the two output terminals can be adjusted differentially. As shown in FIG. 18, the first output terminal 1830 is connected to the inner antenna, while the other output terminal 1840 is connected to the outer antenna of FIG. In FIG. 19, terminals 1830 and 1840 are connected to segmented parallel conductor antennas 710 and 130, respectively, on the inside and outside of FIG. 20, output terminals 1830 and 1840 are connected to interleaved coil antennas 810 and 825 on the inner and outer sides of FIG. 8, respectively. More generally, the dual output power supply of FIG. 18 can be used in any plasma reactor having an inner and outer antenna having a terminal 1830 connected to the inner antenna and a terminal 1840 connected to the outer antenna. This is true for each of the reactors having outer and inner antennas described above with reference to FIGS.
[0047]
The power supply can also have more than two differentially adjustable outputs for use with a reactor having more than two antennas. For example, FIG. 21 shows a reactor having three antennas: an inner antenna 2110, an intermediate antenna 2120, and an outer antenna 2130. Each of these three antennas can be any type of suitable coil antenna, for example, a flat or solenoidal single conductor coil antenna, a flat or solenoidal interleaved parallel conductor antenna, a solenoidal segmented antenna. It may be a parallel conductor antenna, or a combination of the different types described above. However, in the embodiment of FIG. 21, the inner antenna 2110 is the solenoid-like interleaved parallel conductor antenna of FIG. 2, and the intermediate antenna 2120 is the segmented, interleaved parallel conductor antenna 1600 of FIG. . Further, the outer antenna 2130 is a major modification of the segmented and interleaved parallel conductor antenna 1600 of FIG.
[0048]
FIG. 22 shows an RF power supply having three differentially adjustable output terminals for use with three plasma reactors, eg, a plasma reactor with three antennas of FIG. The RF power source of FIG. 22 shows an RF power generator 2210 having a matching network 2215, first and second series capacitors 2220, 2230, and first and second variable parallel capacitors 2240, 2250. The first variable parallel capacitor 2240 is connected to both the first series capacitor and ground, and the second variable parallel capacitor 2250 is connected to both the second series capacitor 2230 and ground. The first output terminal 2260 is connected between the matching network 2215 and the first series capacitor 2220. The second output terminal 2265 is connected between the first parallel capacitor 2240 and the second series capacitor 2230. The third output terminal 2270 is connected to the other side of the second series capacitor 2230. Preferably, the first output terminal 2260 is connected to the power tap of the inner antenna 2110 of FIG. 21, the second output terminal 2265 is connected to the power tap of the intermediate antenna 2110, and the third output terminal 2270 is Connected to the power tap of the outer antenna 2130.
[0049]
FIG. 23 shows a variation of the three-terminal RF power supply of FIG. 22, where the first series and parallel capacitors 2220, 2240 are connected in parallel to the second series and parallel capacitors 2230, 2250.
[0050]
In practice, the variable parallel capacitors 2240, 2250 are tuned to distribute different RF power levels to the inner, middle and outer antennas until the desired radial distribution of the supplied RF magnetic field or plasma ion density is obtained. The The particular radial distribution to be obtained depends on the process being performed. For example, some processes require a uniform distribution. Other processes, such as aluminum etching, produce a non-uniform gas or ion distribution across the wafer surface that is compensated by selecting an appropriate non-uniform radial distribution of the supplied RF magnetic field. This selection is achieved by adjusting variable parallel capacitors 2230, 2250.
[0051]
FIG. 24 shows a variation of the embodiment of FIG. 1, where the coil antenna 100 including the coil conductors 160, 163, 166 is a rectangle with a symmetry axis as opposed to a circle as in the embodiment of FIG. is there. This embodiment is better adapted to handle flat panel displays and the like.
[0052]
Advantages of disclosed embodiments
Many problems in this area that have reduced the performance of plasma reactors have now been solved. The solenoid feature of the present invention increases the efficiency of the antenna because each conductor segment is replaced by its nearest neighbor conductor segment in a substantially axial direction. In this way, the magnetic field lines that can contribute to the mutual coupling between the conductor segments are in the vertical direction, so that they advantageously reach the plasma in the reactor chamber. Thus, the coupling to the plasma is increased for a flat design where the coils are interconnected in a direction perpendicular to the chamber axis.
[0053]
The vertical solenoid interleaved multi-conductor inner and outer antennas have almost no radial width beyond the width of the thin conductor itself. Thus, for example, most of the RF power supplied to the outer antenna radiates into the chamber from a single individual radius of the outer antenna, thus “wasting” at the internal radial position as in the conventional antenna described above. “No. This is true for the inner antenna in that the majority of the RF electrodes supplied to the inner antenna radiate from a single individual radius of the inner antenna. Thus, it is not wasted at the outer radial position. As a result, for a given range of differences in power levels supplied for the inner and outer antennas, a much greater shift in the radial distribution of plasma ion density than is possible in the past is achieved. .
[0054]
This feature of the present invention is particularly advantageous in that it provides a uniform and / or tunable plasma ion distribution over a very large wafer surface. Thus, the chamber size can be easily increased to large diameter wafers using the inner and outer antenna structures. Furthermore, by using a very large number of antennas, for example intermediate antennas between the inner and outer antennas, very large ones can be obtained.
[0055]
The problem of imbalance between the impedances of the inner and outer antennas is overcome by adjusting the length and number of multiple conductors in the interleaved coils of the inner and outer antennas. The outer antenna is segmented into a greater number of interleaved conductors than the inner antenna. Furthermore, each conductor of the outer antenna is proportionally shorter. The number of interleaved conductors between the inner and outer antennas and the ratio of the conductor lengths are sufficient to reduce the imbalance between the impedances of the inner and outer antennas. Therefore, this problem is solved by reducing the inductance (length) of each conductor of the outer antenna relative to the inner antenna. To avoid the concomitant reduction in the overall inductive coupling of the outer antenna, much more individual conductors are provided in the outer antenna than the inner antenna. A very large number of individual antennas increases inductive coupling to compensate for the shortened conductor length of the outer antenna. For the inner and outer antennas to be matched or nearly matched, a common power source that drives both antennas can be used without encountering impedance matching problems. The illustrated embodiment of the present invention uses a multiple output common power supply with a differentially adjustable power level that allows adjustment of the radial distribution of plasma ion density.
[0056]
As an alternative to an interleaved multi-conductor antenna, a segmented multi-conductor antenna has the advantages of an interleaved conductor antenna and can be realized in the various shapes described above having a solenoid or dome shape. Further, the segmented shapes can be combined with the interleaved shapes according to the embodiments described above and illustrated.
[0057]
The solenoid interleaved, segmented conductor antenna described above preferably has a common surface power tap on one side (eg, top) and a common surface return tap on the other side (eg, bottom). Have. Advantageously, for each one of the conductors of a given antenna, its power and return taps are vertically aligned (or more generally aligned along the axis of the coil antenna), Therefore, the shape of the antenna can be advantageously simplified.
[0058]
Thus, first, some and indeed all of the aforementioned advantages are provided simultaneously in the same plasma source.
[0059]
Although the invention has been described in detail with particular reference to the illustrated embodiments, it will be understood that modifications and changes can be made without departing from the true spirit and scope of the invention. It should be.
[Brief description of the drawings]
[Figure 1]
1 shows a first embodiment of the present invention having a single solenoid interleaved multi-conductor coil antenna.
[Figure 2]
FIG. 6 shows a perspective view of a second embodiment of the present invention having a multi-conductor coil antenna with interleaved inner and outer solenoids.
[Fig. 3]
FIG. 5 shows a top view of a second embodiment of the present invention having a multi-conductor coil antenna with interleaved inner and outer solenoids.
[Fig. 4]
FIG. 6 shows a cross-sectional view of a second embodiment of the present invention having a multi-conductor coil antenna with interleaved inner and outer solenoids.
[Figure 5]
1 shows a perspective view of a first preferred embodiment of the present invention having an interleaved conductor coil antenna with inner and outer solenoids. FIG.
FIG. 6A
FIG. 6 shows a perspective view of another embodiment of the present invention having a single solenoid segmented multi-conductor coil antenna.
FIG. 6B
FIG. 6 shows a top view of another embodiment of the present invention having a single solenoid segmented multi-conductor coil antenna.
FIG. 7A
Fig. 4 shows another embodiment of the invention with segmented conductor antennas for inner and outer solenoids.
FIG. 7B
FIG. 7B shows a variation of the implementation of the present invention of FIG. 7A where the coil antenna matches the dome shape.
[Fig. 8]
Fig. 4 shows another embodiment of the invention comprising an outer flat interleaved conductor coil antenna, the length of the conductor being tuned to approximately match the impedance of the inner coil antenna.
FIG. 9
FIG. 5 shows one shape of a solenoid, interleaved conductor coil antenna, with a plasma reactor having a dome shaped ceiling of the reactor chamber.
FIG. 10
Fig. 5 shows another shape of a solenoid, interleaved conductor coil antenna, with a plasma reactor having a dome shaped ceiling of the reactor chamber.
FIG. 11
Fig. 5 shows another shape of a solenoid, interleaved conductor coil antenna, with a plasma reactor having a dome shaped ceiling of the reactor chamber.
FIG.
Fig. 5 shows another shape of a solenoid, interleaved conductor coil antenna, with a plasma reactor having a dome shaped ceiling of the reactor chamber.
FIG. 13
Fig. 5 shows yet another shape of a solenoid, interleaved conductor coil antenna, with a plasma reactor having a dome shaped ceiling of the reactor chamber.
FIG. 14
FIG. 5 shows one configuration of a solenoid interleaved multi-conductor coil antenna with a plasma reactor having a flat chamber ceiling.
FIG. 15
Fig. 6 shows another form of solenoid, interleaved multi-conductor coil antenna, along with a plasma reactor with a flat chamber ceiling.
FIG. 16
An embodiment of the present invention which combines interleaving and segmentation of a plurality of conductors in a single solenoid coil antenna will be described.
FIG. 17
FIG. 17 shows a preferred embodiment of the present invention with inner and outer coil antennas, which are solenoid coil antennas of the type shown in FIG. 16 where the outer antenna has interleaved and segmented conductors.
FIG. 18
FIG. 6 shows a single power supply with two differentially adjustable outputs connected respectively to the inner and outer coil antennas of FIG.
FIG. 19
FIG. 19 shows the power supply having the dual output of FIG. 18 connected to the inner and outer coil antennas of FIG.
FIG. 20
FIG. 19 shows the power supply having the dual output of FIG. 18 connected to the inner and outer coil antennas of FIG. 8, respectively.
FIG. 21
Fig. 4 illustrates another embodiment of the present invention having inner, middle and outer solenoidal multi-conductor coil antennas.
FIG. 22
FIG. 22 illustrates a first embodiment of a power supply having a differentially adjustable triple output for use with the reactor of FIG.
FIG. 23
FIG. 22 illustrates a second embodiment of a power supply having a differentially adjustable triple output for use with the reactor of FIG.
FIG. 24
3 shows another embodiment of FIG. 1 in which the coil antenna is a square other than a circle.

Claims (164)

ワークピースを処理するためにRF電源の供給と共に使用するプラズマリアクタであって、
天井を有し、軸対称を規定する真空チャンバと、
前記チャンバ内のワークピース支持ペデスタルと、
前記天井の少なくとも中間部分上に置かれ、それぞれの同軸ヘリカルソレノイドにおける対称軸の周りに巻かれた第1の複数導体を有する第1のソレノイドのインターリーブされたコイルアンテナと、
を備え、
前記複数導体は、前記対称軸から少なくともほぼ一様に横方向に変位され、前記複数導体は、ほぼ対称軸の方向に互いにオフセットされ、且つ、前記複数導体の各々は、RFソース電源の両端に接続されることを特徴とするプラズマリアクタ。
A plasma reactor for use with a supply of RF power to process a workpiece,
A vacuum chamber having a ceiling and defining axial symmetry;
A workpiece support pedestal in the chamber;
A first solenoid interleaved coil antenna placed on at least an intermediate portion of the ceiling and having a first plurality of conductors wound about an axis of symmetry in a respective coaxial helical solenoid;
With
The plurality of conductors are at least substantially uniformly laterally displaced from the symmetry axis, the plurality of conductors are offset from each other in the direction of the symmetry axis, and each of the plurality of conductors is at both ends of the RF source power supply A plasma reactor characterized by being connected.
前記コイルアンテナは、前記対称軸にほぼ垂直な上面と下面の間にあり、各導体によって規定されたヘリカルソレノイドは、前記上面近くの導体の上部点、及び前記下面近くの導体の下部点において終端されており、前記RF電源は、前記導体の各々の前記上部点及び下部点の両端に接続されていることを特徴とする請求項1に記載のプラズマリアクタ。The coil antenna is between an upper surface and a lower surface substantially perpendicular to the axis of symmetry, and a helical solenoid defined by each conductor terminates at an upper point of the conductor near the upper surface and a lower point of the conductor near the lower surface. The plasma reactor according to claim 1, wherein the RF power source is connected to both ends of the upper point and the lower point of each of the conductors. 前記上部点は、前記RF電源の出力端子に接続され、且つ前記下部点は、前記天井近くの電位を減少するように接地されていることを特徴とする請求項2に記載のプラズマリアクタ。The plasma reactor according to claim 2, wherein the upper point is connected to an output terminal of the RF power source, and the lower point is grounded so as to reduce a potential near the ceiling. 前記上部点は、nがコイルアンテナの前記複数導体の数である場合に、約360/nによって互いに角度的に変位されていることを特徴とする請求項2に記載のプラズマリアクタ。The plasma reactor according to claim 2, wherein the upper points are angularly displaced from each other by about 360 / n, where n is the number of the plurality of conductors of the coil antenna. 前記下部点は、nがコイルアンテナの前記複数導体の数である場合に、約360/nによって互いに角度的に変位されていることを特徴とする請求項4に記載のプラズマリアクタ。5. The plasma reactor according to claim 4, wherein the lower points are angularly displaced from each other by about 360 / n, where n is the number of the plurality of conductors of the coil antenna. 前記上部点は同一平面にあり、且つ、前記上面にあることを特徴とする請求項5に記載のプラズマリアクタ。The plasma reactor according to claim 5, wherein the upper point is on the same plane and on the upper surface. 前記下部点は同一平面にあり、且つ、前記下面にあることを特徴とする請求項6に記載のプラズマリアクタ。The plasma reactor according to claim 6, wherein the lower point is on the same plane and on the lower surface. 前記下面は、前記天井の上面とほぼ同一平面であり、前記下面にあることを特徴とする請求項7に記載のプラズマリアクタ。The plasma reactor according to claim 7, wherein the lower surface is substantially flush with the upper surface of the ceiling and is on the lower surface. 前記導体の各々の前記上端部と下端部は、対称軸に平行な方向の同一直線にあることを特徴とする請求項2に記載のプラズマリアクタ。The plasma reactor according to claim 2, wherein the upper end and the lower end of each of the conductors are on the same straight line in a direction parallel to the symmetry axis. 前記複数導体の前記ヘリカルソレノイドは、円筒状であり、横の広がりは、前記ヘリカルソレノイドの直径であり、それにより、コイルアンテナの直立シリンダーを規定していることを特徴とする請求項1に記載のプラズマリアクタ。The helical conductor of the plurality of conductors is cylindrical, and the lateral extent is the diameter of the helical solenoid, thereby defining an upright cylinder of the coil antenna. Plasma reactor. さらに、前記ワークピースの支持ペデスタルに接続されたプラズマバイアスRF電源を有することを特徴とする請求項1に記載のプラズマリアクタ。The plasma reactor of claim 1 further comprising a plasma bias RF power source connected to a support pedestal of the workpiece. 前記プラズマソース電源は、ソースRF発生器、及び前記ソースRF発生器と前記アンテナ間に接続されたインピーダンスマッチング回路網を有することを特徴とする請求項1に記載のプラズマリアクタ。The plasma reactor according to claim 1, wherein the plasma source power source includes a source RF generator and an impedance matching network connected between the source RF generator and the antenna. 前記プラズマバイアス電源は、バイアスRF発生器、及び前記バイアスRF発生器と前記ワークピースの支持ペデスタル間に接続されたインピーダンスマッチング回路網を有することを特徴とする請求項1に記載のプラズマリアクタ。The plasma reactor of claim 1, wherein the plasma bias power source comprises a bias RF generator and an impedance matching network connected between the bias RF generator and a support pedestal of the workpiece. さらに、前記天井の上に置かれ、前記第1のソレノイドのインターリーブされた導体コイルアンテナによって囲まれ、且つそれより小さな横の広がりを有する内側コイルアンテナを有し、それにより、前記第1のソレノイドのインターリーブされた導体コイルアンテナは、外側のコイルアンテナとなることを特徴とする請求項1に記載のプラズマリアクタ。And further comprising an inner coil antenna placed on the ceiling, surrounded by an interleaved conductor coil antenna of the first solenoid and having a smaller lateral extent, whereby the first solenoid The plasma reactor according to claim 1, wherein the interleaved conductor coil antenna is an outer coil antenna. さらに、前記内側のコイルアンテナに接続された第2のRFプラズマソース電源を有し、それにより、前記内側と外側アンテナに供給されるそれぞれのRF電力レベルは、前記内側と外側アンテナから供給されたRF磁界の半径方向の分布を制御するために差動的に調整可能であることを特徴とする請求項14に記載のプラズマリアクタ。And a second RF plasma source power source connected to the inner coil antenna so that the respective RF power levels supplied to the inner and outer antennas are supplied from the inner and outer antennas. The plasma reactor according to claim 14, wherein the plasma reactor is differentially adjustable to control the radial distribution of the RF magnetic field. 前記第1のRFプラズマソース電源は、差動的に調整可能な電力レベルを有する2つのRF出力を有し、前記2つのRF出力の一方は、前記外側アンテナに接続され、その他方は内側アンテナに接続されており、それにより、前記内側と外側アンテナに供給されたそれぞれのRF電力レベルは、内側と外側アンテナから供給されるRF磁界の半径方向の分布を制御するために差動的に調整可能であることを特徴とするプラズマリアクタ。The first RF plasma source power supply has two RF outputs having differentially adjustable power levels, one of the two RF outputs being connected to the outer antenna and the other being an inner antenna. So that the respective RF power levels supplied to the inner and outer antennas are differentially adjusted to control the radial distribution of the RF magnetic field supplied from the inner and outer antennas. A plasma reactor characterized in that it is possible. 前記第1の複数導体の数は、前記第2の複数導体の数より大きく、且つ前記第1の複数導体の長さは、それに従って、前記外側アンテナの誘導性リアクタンスを前記内側アンテナの誘導性リアクタンスに少なくとも近づくように短くされることを特徴とする請求項14に記載のプラズマリアクタ。The number of the first plurality of conductors is greater than the number of the second plurality of conductors, and the length of the first plurality of conductors accordingly sets the inductive reactance of the outer antenna to that of the inner antenna. The plasma reactor according to claim 14, wherein the plasma reactor is shortened to at least approach the reactance. 前記内側アンテナは、前記天井の上に置かれ、且つ前記外側アンテナの変位より小さい、前記対称軸から少なくともほぼ一様な横に変位した同軸状ヘリカルソレノイドの前記対称軸の周りに巻かれた第2の複数導体を有する第2のソレノイドのインターリーブされた導体コイルアンテナを有し、各ヘリカルソレノイドの導体は、前記対称軸に平行な方向に他のヘリカルソレノイドの導体からオフセットされていることを特徴とする請求項14に記載のプラズマリアクタ。The inner antenna is placed on the ceiling and wound about the symmetry axis of a coaxial helical solenoid that is at least substantially uniform laterally displaced from the symmetry axis, less than the displacement of the outer antenna. A second solenoid interleaved conductor coil antenna having two conductors, wherein each helical solenoid conductor is offset from a conductor of another helical solenoid in a direction parallel to the axis of symmetry. The plasma reactor according to claim 14. 前記外側アンテナの前記第1の複数導体の数は、前記内側アンテナの前記第2の複数導体の数より大きいことを特徴とする請求項18に記載のプラズマリアクタ。The plasma reactor according to claim 18, wherein the number of the first plurality of conductors of the outer antenna is larger than the number of the second plurality of conductors of the inner antenna. 前記第1の並列複数導体の数は、前記第2の並列複数導体の数より大きく、且つ前記第1の並列複数導体の長さは、それに従って、前記外側アンテナの誘導性リアクタンスを前記内側アンテナの誘導性リアクタンスの少なくとも近くにするように短くされることを特徴とする請求項19に記載のプラズマリアクタ。The number of the first parallel multiple conductors is greater than the number of the second parallel multiple conductors, and the length of the first parallel multiple conductors accordingly sets the inductive reactance of the outer antenna to the inner antenna. 20. The plasma reactor of claim 19, wherein the plasma reactor is shortened to be at least close to the inductive reactance of the. 前記第2の複数導体の数は、前記短い長さを補償するのに充分であることを特徴とする請求項20に記載のプラズマリアクタ。21. The plasma reactor according to claim 20, wherein the number of the second plurality of conductors is sufficient to compensate for the short length. 前記第1の複数導体の数は、前記第2の複数導体の数の2倍であることを特徴とする請求項21に記載のプラズマリアクタ。The plasma reactor according to claim 21, wherein the number of the first plurality of conductors is twice the number of the second plurality of conductors. 前記外側アンテナの前記第1の複数導体の横への変位は一様であり、前記内側アンテナの前記第2の複数導体の横への変位は一様であり、これにより、前記内側と外側アンテナは、前記導体の厚さに相当するそれぞれの狭い環状の幅内に制限され、それにより、供給されたRF磁界の半径方向の前記内側と外側の差の影響を最小にすることを特著とする請求項18に記載のプラズマリアクタ。The lateral displacement of the first plurality of conductors of the outer antenna is uniform, and the lateral displacement of the second plurality of conductors of the inner antenna is uniform, whereby the inner and outer antennas are uniform. Is limited to within each narrow annular width corresponding to the thickness of the conductor, thereby minimizing the effect of the radial inner and outer differences of the supplied RF field. The plasma reactor according to claim 18. 前記チャンバ及び前記内側と外側アンテナは円筒状であることを特徴とする請求項23に記載のプラズマリアクタ。The plasma reactor according to claim 23, wherein the chamber and the inner and outer antennas are cylindrical. 前記第1と第2の複数導体の横の変位は、それぞれ外側と内側の半径であり、それぞれ、前記チャンバの周辺及び中心領域の上にあることを特徴とする請求項24に記載のリアクタチャンバ。25. The reactor chamber of claim 24, wherein the lateral displacements of the first and second multiple conductors are outer and inner radii, respectively, and are above and around the chamber and the central region, respectively. . 前記内側コイルアンテナは、対称軸にほぼ垂直な上部内面と下部内面の間にあり、前記内側アンテナの各導体によって規定されたヘリカルソレノイドは、前記上部内面近くの導体の上部点において、又前記下部内面近くの導体の下部点において終端しており、且つ
前記外側コイルアンテナは、対称軸にほぼ垂直な上部外面と下部外面の間にあり、前記外側アンテナの各導体によって規定されたヘリカルソレノイドは、前記上部外面近くの導体の上部点において、又前記下部外面近くの導体の下部点において終端していることを特徴とする請求項18に記載のプラズマリアクタ。
The inner coil antenna is between an upper inner surface and a lower inner surface substantially perpendicular to the axis of symmetry, and a helical solenoid defined by each conductor of the inner antenna is at the upper point of the conductor near the upper inner surface and the lower The outer coil antenna terminates at a lower point of the conductor near the inner surface, and the outer coil antenna is between the upper outer surface and the lower outer surface substantially perpendicular to the axis of symmetry, and the helical solenoid defined by each conductor of the outer antenna is 19. The plasma reactor according to claim 18, wherein the plasma reactor terminates at an upper point of the conductor near the upper outer surface and at a lower point of the conductor near the lower outer surface.
前記外側アンテナの前記上部点は、nが前記外側コイルアンテナの複数導体の数である場合、約360/nによって互いに角度的に変位され、前記内側アンテナの前記上部点は、mが前記内側コイルアンテナの複数導体の数である場合、約360/nによって互いに角度的に変位されていることを特徴とする請求項26に記載のプラズマリアクタ。The upper point of the outer antenna is angularly displaced from each other by about 360 / n, where n is the number of conductors of the outer coil antenna, and the upper point of the inner antenna is m equal to the inner coil 27. The plasma reactor according to claim 26, wherein the number of antennas is angularly displaced from each other by about 360 / n when the number is the number of conductors of the antenna. 前記外側アンテナの前記下部点は、nが前記外側コイルアンテナの複数導体の数である場合、約360/nによって互いに角度的に変位され、前記内側アンテナの前記下部点は、mが前記内側コイルアンテナの複数導体の数である場合、約360/nによって互いに角度的に変位されており、且つ
前記導体の各々の前記上部点及び下部点は、対称軸に平行な方向に沿って整列されているいることを特徴とする請求項27に記載のプラズマリアクタ。
The lower point of the outer antenna is angularly displaced from each other by about 360 / n, where n is the number of conductors of the outer coil antenna, and the lower point of the inner antenna is m of the inner coil The number of conductors of the antenna is angularly displaced from each other by about 360 / n, and the upper and lower points of each of the conductors are aligned along a direction parallel to the axis of symmetry. 28. The plasma reactor according to claim 27, wherein:
さらに、前記上部内面に、前記内側アンテナとほぼ同じ半径を有する内側環状RF電力導体バスを有し、前記内側アンテナの前記上部点は、前記内側環状RF電力導体バスに接続されており、且つ
前記上部外面に、前記外側アンテナとほぼ同じ半径を有する外側環状RF電力導体バスを有し、前記外側アンテナの前記上部点は、前記外側環状RF電力導体バスに接続されていることを特徴とする請求項28に記載のプラズマリアクタ。
And an inner annular RF power conductor bus having substantially the same radius as the inner antenna on the upper inner surface, wherein the upper point of the inner antenna is connected to the inner annular RF power conductor bus, and An outer annular RF power conductor bus having substantially the same radius as the outer antenna is provided on an upper outer surface, and the upper point of the outer antenna is connected to the outer annular RF power conductor bus. Item 29. The plasma reactor according to Item 28.
nは、mの整数倍であり、また前記外側アンテナの上部点のn/mは、前記アンテナの上部点と角度のある整列をしていることを特徴とする請求項27に記載のプラズマリアクタ。28. The plasma reactor according to claim 27, wherein n is an integer multiple of m, and n / m of the upper point of the outer antenna is angularly aligned with the upper point of the antenna. . 前記上部点及び下部点は、前記リアクタの軸対称に関して、及び互いに等しく間隔が開けられていることを特徴とする請求項2に記載のプラズマリアクタ。3. The plasma reactor according to claim 2, wherein the upper point and the lower point are equally spaced with respect to the axial symmetry of the reactor. 前記導体は、前記リアクタの対称軸に関して、及び互いに等しく間隔が開けられ、且つ実質的に同じ形状であることを特徴とする請求項31に記載のプラズマリアクタ。32. The plasma reactor according to claim 31, wherein the conductors are about the axis of symmetry of the reactor and are equally spaced and substantially the same shape. 前記アンテナの導体は、ほぼ相互に平行であることを特徴とする請求項1に記載のプラズマリアクタ。The plasma reactor according to claim 1, wherein the antenna conductors are substantially parallel to each other. 前記ソレノイドアンテナは、矩形であることを特徴とする請求項1に記載のプラズマリアクタ。The plasma reactor according to claim 1, wherein the solenoid antenna is rectangular. 前記上部点は、方位角的に等しく間隔が開けられており、また前記下部点は、方位角的に等しく間隔が開けられていることを特徴とする請求項2に記載のプラズマリアクタ。3. The plasma reactor according to claim 2, wherein the upper points are equally spaced azimuthally and the lower points are equally spaced azimuthally. 前記上部と下部点の対応する点は、軸整列していることを特徴とする請求項2に記載のプラズマリアクタ。The plasma reactor according to claim 2, wherein corresponding points of the upper and lower points are axially aligned. ワークピースを処理するためRFソース電源を有するプラズマリアクタであって、
天井を有し、対称軸を規定する真空チャンバと、
前記チャンバ内にあるワークピース支持ペデスタルと、
天井の上にあり、それぞれ同軸状に並んだヘリカルソレノイドにおいて対称軸の周りに巻かれた第1の複数導体を有する第1のソレノイドのセグメント化されたコイルアンテナと、
を有し、
各々のヘリカルソレノイドの導体は、前記対称軸の横切る方向に最も近くの他のヘリカルソレノイドの導体から前記複数導体の約導体幅の大きさの距離だけオフセットされており、前記導体の各々は、前記RFソース電源の両端に接続するのに適合されることを特徴とするプラズマリアクタ。
A plasma reactor having an RF source power supply for processing a workpiece,
A vacuum chamber having a ceiling and defining an axis of symmetry;
A workpiece support pedestal in the chamber;
A segmented coil antenna of a first solenoid having a first plurality of conductors wound around an axis of symmetry in a helical solenoid each above a ceiling and coaxially arranged;
Have
Each of the helical solenoid conductors is offset from the other helical solenoid conductor nearest the transverse direction of the symmetry axis by a distance of approximately the conductor width of the plurality of conductors, each of the conductors being A plasma reactor adapted to connect to both ends of an RF source power supply.
前記アンテナの各々のヘリカルソレノイドは、僅かに異なる直径を有していることを特徴とする請求項37に記載のプラズマリアクタ。38. The plasma reactor of claim 37, wherein each helical solenoid of the antenna has a slightly different diameter. 前記アンテナのセグメント化された導体の各々は、互いにほぼ平行であることを特徴とすることを特徴とする請求項37に記載のプラズマリアクタ。38. The plasma reactor according to claim 37, wherein each of the segmented conductors of the antenna is substantially parallel to each other. 前記コイルアンテナは、対称軸にほぼ垂直である上部面と下部面の間にあり、各導体によって規定されたヘリカルソレノイドは、前記上部面近くの導体の上部点で、及び前記下部面近くの導体の下部点で終端され、前記RF電源は、前記導体の各々の前記上部点及び下部点の両端に接続されることを特徴とする請求項37に記載のプラズマリアクタ。The coil antenna is between an upper surface and a lower surface that are substantially perpendicular to the symmetry axis, and the helical solenoid defined by each conductor is at the upper point of the conductor near the upper surface and the conductor near the lower surface. 38. The plasma reactor according to claim 37, wherein the RF power source is connected to both ends of the upper point and the lower point of each of the conductors. 前記上部点は、前記RF電源の出力端子に接続され、且つ前記下部点は、前記天井近くの電位を減少するように接地されていることを特徴とする請求項40に記載のプラズマリアクタ。The plasma reactor according to claim 40, wherein the upper point is connected to an output terminal of the RF power source, and the lower point is grounded so as to reduce a potential near the ceiling. 前記上部点は、nが前記コイルアンテナの前記複数導体の数である場合、約360/nだけ互いに角度的に変位されていることを特徴とする請求項40に記載のプラズマリアクタ。41. The plasma reactor according to claim 40, wherein the upper points are angularly displaced from each other by about 360 / n, where n is the number of the plurality of conductors of the coil antenna. 前記下部点は、nが前記コイルアンテナの前記複数導体の数である場合、約360/nだけ互いに角度的に変位されていることを特徴とする請求項40に記載のプラズマリアクタ。41. The plasma reactor according to claim 40, wherein the lower points are angularly displaced from each other by about 360 / n, where n is the number of the plurality of conductors of the coil antenna. 前記上部点は、同一平面にあり、且つ前記上部面にあることを特徴とする請求項40に記載のプラズマリアクタ。41. The plasma reactor according to claim 40, wherein the upper point is on the same plane and on the upper surface. 前記下部点は、同一平面にあり、且つ前記下部面にあることを特徴とする請求項40に記載のプラズマリアクタ。41. The plasma reactor according to claim 40, wherein the lower point is on the same plane and is on the lower surface. 前記下部面は、前記天井の上面とほぼ同一面であることを特徴とする請求項45に記載のプラズマリアクタ。The plasma reactor according to claim 45, wherein the lower surface is substantially flush with an upper surface of the ceiling. 前記導体の各々の前記上端部と下端部は、前記対称軸に平行な方向に同一面であることを特徴とする請求項40に記載のプラズマリアクタ。41. The plasma reactor according to claim 40, wherein the upper end portion and the lower end portion of each of the conductors are flush with each other in a direction parallel to the axis of symmetry. 前記複数導体の前記ヘリカルソレノイドは、円筒形であり、横の広がりは、前記ヘリカルソレノイドの直径であり、それによりコイルアンテナは直立円筒形を規定していることを特徴とする請求項37に記載のプラズマリアクタ。38. The helical conductor of the plurality of conductors is cylindrical and the lateral extent is the diameter of the helical solenoid, whereby the coil antenna defines an upright cylindrical shape. Plasma reactor. さらに、前記ワークピース支持ペデスタルに接続されたプラズマバイアスRF電源を有することを特徴とする請求項37に記載のプラズマリアクタ。The plasma reactor of claim 37, further comprising a plasma bias RF power source connected to the workpiece support pedestal. 前記プラズマソース電源は、ソースRF発生器、及び前記ソースRF発生器と前記アンテナ間に接続されたインピーダンスマッチング回路網を有することを特徴とする請求項37に記載のプラズマリアクタ。38. The plasma reactor according to claim 37, wherein the plasma source power source includes a source RF generator and an impedance matching network connected between the source RF generator and the antenna. 前記プラズマソース電源は、バイアスRF発生器、及び前記バイアスRF発生器と前記ワークピース支持ペデスタル間に接続されたインピーダンスマッチング回路網を有することを特徴とする請求項49に記載のプラズマリアクタ。50. The plasma reactor of claim 49, wherein the plasma source power source includes a bias RF generator and an impedance matching network connected between the bias RF generator and the workpiece support pedestal. さらに、天井の上にあり、前記第1のソレノイドのインターリーブされた並列導体コイルアンテナによって囲まれ、且つ、それより小さな横の広がりを有する内側コイルアンテナを有することを特徴とする請求項37に記載のプラズマリアクタ。38. The method according to claim 37, further comprising an inner coil antenna on the ceiling, surrounded by the interleaved parallel conductor coil antenna of the first solenoid and having a smaller lateral extent. Plasma reactor. さらに、前記内側コイルアンテナに接続された第2のRFソース電源を有し、それにより、前記内側と外側アンテナに供給されるそれぞれのRF電力レベルは、前記内側と外側アンテナから供給されるRF磁界の半径方向の分布を制御するために差動的に調整可能であることを特徴とする請求項52に記載のプラズマリアクタ。And a second RF source power source connected to the inner coil antenna so that the respective RF power levels supplied to the inner and outer antennas are RF magnetic fields supplied from the inner and outer antennas. 53. The plasma reactor according to claim 52, wherein the plasma reactor is differentially adjustable to control the radial distribution of the plasma. 前記第1のRFプラズマソース電源は、差動的に調整可能な電力レベルを有する2つのRF出力を含み、前記2つのRF出力の一方は、前記外側アンテナに接続され、他方は、前記内側アンテナに接続され、それにより、前記内側と外側アンテナに供給されたそれぞれのRF電力レベルは、前記内側と外側アンテナから供給されたRF磁界の半径方向の分布を制御するために、差動的に調整可能であることを特徴とする請求項52に記載のプラズマリアクタ。The first RF plasma source power source includes two RF outputs having differentially adjustable power levels, one of the two RF outputs being connected to the outer antenna and the other being the inner antenna. So that the respective RF power levels supplied to the inner and outer antennas are adjusted differentially to control the radial distribution of the RF magnetic field supplied from the inner and outer antennas. 53. The plasma reactor according to claim 52, which is possible. 前記第1の並列複数導体の数は、前記第2の並列複数導体の数より大きく、且つ、それにより、前記並列複数導体の長さは、前記外側アンテナの誘導性リアクタンスを前記内側アンテナの誘導性リアクタンスに少なくとも近づくように、短くされていることを特徴とする請求項52に記載のプラズマリアクタ。The number of the first parallel multiple conductors is greater than the number of the second parallel multiple conductors, and thereby the length of the parallel multiple conductors determines the inductive reactance of the outer antenna and the induction of the inner antenna. 53. The plasma reactor according to claim 52, wherein the plasma reactor is shortened to at least approach the sexual reactance. 前記内側アンテナは、前記天井の上にあり、同軸上のヘリカルソレノイドにおいて前記対称軸の周りに巻かれた第2の複数導体を有する第2のソレノイドのセグメント化された並列導体コイルアンテナを有し、各ヘリカルソレノイドは、対称軸に垂直な方向に他のヘリカルソレノイドからオフセットされていることを特徴とする請求項52に記載のプラズマリアクタ。The inner antenna has a second solenoid segmented parallel conductor coil antenna on the ceiling and having a second plurality of conductors wound around the axis of symmetry in a coaxial helical solenoid 53. The plasma reactor according to claim 52, wherein each helical solenoid is offset from another helical solenoid in a direction perpendicular to the axis of symmetry. 前記外側アンテナの前記第1の複数導体の数は、前記内側アンテナの前記第2の複数導体の数より大きいことを特徴とする請求項56に記載のプラズマリアクタ。57. The plasma reactor according to claim 56, wherein the number of the first plurality of conductors of the outer antenna is greater than the number of the second plurality of conductors of the inner antenna. 前記第1の並列複数導体の数は、前記第2の並列複数導体の数より大きく、且つ、それにより、前記第1の並列複数導体の長さは、前記外側アンテナの誘導性リアクタンスを前記内側アンテナの誘導性リアクタンスにすくなくとも近づけるように、短くされていることを特徴とする請求項56に記載のプラズマリアクタ。The number of the first parallel multiple conductors is greater than the number of the second parallel multiple conductors, and thereby the length of the first parallel multiple conductors causes the inductive reactance of the outer antenna to 57. The plasma reactor according to claim 56, wherein the plasma reactor is shortened to at least approximate the inductive reactance of the antenna. 前記第2の複数導体の数は、前記短い長さを補償するのに充分であることを特徴とする請求項58に記載のプラズマリアクタ。59. The plasma reactor according to claim 58, wherein the number of the second plurality of conductors is sufficient to compensate for the short length. 前記第1の複数導体の数は、前記第2の複数導体の数の2倍であることを特徴とする請求項59に記載のプラズマリアクタ。60. The plasma reactor according to claim 59, wherein the number of the first plurality of conductors is twice the number of the second plurality of conductors. 内側と外側アンテナは、それぞれの狭い環状の幅内に制限され、各々は、約導体の厚倍された対応する導体の数の大きさに制限され、それにより、供給されたRF磁界の半径方向の、前記内側と外側アンテナの差の影響を最小にすることを特徴とする請求項56に記載のプラズマリアクタ。The inner and outer antennas are limited within their narrow annular widths, each limited to the size of the corresponding number of conductors multiplied by the thickness of the conductor, thereby providing a radial direction of the supplied RF magnetic field. 57. The plasma reactor according to claim 56, wherein the influence of the difference between the inner and outer antennas is minimized. 前記チャンバ及び前記内側と外側アンテナは円筒状であることを特徴とする請求項61に記載のプラズマリアクタ。62. The plasma reactor according to claim 61, wherein the chamber and the inner and outer antennas are cylindrical. 前記第1と第2の複数導体の前記横方向の変位は、それぞれ前記周辺と中心領域の上にあるそれぞれの外側と内側の半径であることをと特徴とする請求項62に記載のプラズマリアクタ。63. The plasma reactor of claim 62, wherein the lateral displacements of the first and second plurality of conductors are respective outer and inner radii overlying the periphery and center region, respectively. . 前記内側コイルアンテナは、前記対称軸にほぼ垂直な上部内面と下部内面間にあり、前記内側アンテナの各導体によって規定されたヘリカルソレノイドは、前記上部内面の近くにある導体の上部点及び前記下部内面近くにある導体の下部点において終端されており、且つ
前記外側コイルアンテナは前記対称軸にほぼ垂直な上部外面と下部外面間にあり、前記外側アンテナの各導体によって規定されたヘリカルソレノイドは前記上部外面の近くにある導体の上部点及び前記下部外面近くにある導体の下部点において終端されていることを特徴とする請求項56に記載のプラズマリアクタ。
The inner coil antenna is between an upper inner surface and a lower inner surface that are substantially perpendicular to the axis of symmetry, and a helical solenoid defined by each conductor of the inner antenna includes an upper point and a lower portion of a conductor near the upper inner surface. Terminated at the lower point of the conductor near the inner surface, and the outer coil antenna is between the upper outer surface and the lower outer surface substantially perpendicular to the symmetry axis, and the helical solenoid defined by each conductor of the outer antenna is 57. The plasma reactor according to claim 56, terminated at an upper point of a conductor near the upper outer surface and at a lower point of the conductor near the lower outer surface.
前記外側アンテナの前記上部点は、nが外側コイルアンテナの前記複数導体の数である場合、約360/nだけ互いに角度的に変位されており、且つ、
前記内側アンテナの前記上部点は、mが外側コイルアンテナの前記複数導体の数である場合、約360/mだけ互いに角度的に変位されていることを特徴とする請求項64に記載のプラズマリアクタ。
The upper points of the outer antenna are angularly displaced from each other by about 360 / n, where n is the number of the plurality of conductors of the outer coil antenna; and
65. The plasma reactor of claim 64, wherein the upper points of the inner antenna are angularly displaced from each other by about 360 / m, where m is the number of the multiple conductors of the outer coil antenna. .
前記外側アンテナの前記下部点は、nが外側コイルアンテナの前記複数導体の数である場合、約360/nだけ互いに角度的に変位されており、
前記内側アンテナの前記下部点は、mが外側コイルアンテナの前記複数導体の数である場合、約360/mだけ互いに角度的に変位されており、且つ
前記導体の各々の上部点及び下部点は、対称軸に平行な方向に沿って整列していることを特徴とする請求項65に記載のプラズマリアクタ。
The lower points of the outer antenna are angularly displaced from each other by about 360 / n, where n is the number of the conductors of the outer coil antenna;
The lower points of the inner antenna are angularly displaced from each other by about 360 / m, where m is the number of conductors of the outer coil antenna, and the upper and lower points of each of the conductors are 66. The plasma reactor according to claim 65, wherein the plasma reactor is aligned along a direction parallel to the axis of symmetry.
さらに、前記上部内面にあり、前記内側アンテナの半径とほぼ同じ半径を有する内側環状RF電力導体バスを有し、前記内側アンテナの前記上部点は、前記内側環状RF電力導体バスに接続されており、且つ
前記上部外面にあり、前記外側アンテナの半径とほぼ同じ半径を有する外側環状RF電力導体バスを有し、前記外側アンテナの前記上部点は、前記外側環状RF電力導体バスに接続されていることを特徴とする請求項66に記載のプラズマリアクタ。
And further comprising an inner annular RF power conductor bus on the upper inner surface and having a radius substantially the same as the radius of the inner antenna, wherein the upper point of the inner antenna is connected to the inner annular RF power conductor bus. And an outer annular RF power conductor bus on the upper outer surface and having a radius substantially the same as the radius of the outer antenna, wherein the upper point of the outer antenna is connected to the outer annular RF power conductor bus. The plasma reactor according to claim 66.
前記複数導体の前記ヘリカルソレノイドは、長手方向に加えて、横方向に螺旋形であることを特徴とする請求項37に記載のプラズマリアクタ。38. The plasma reactor according to claim 37, wherein the helical solenoids of the plurality of conductors are spiral in the lateral direction in addition to the longitudinal direction. 前記複数導体の前記ヘリカルソレノイドは、三次元表面の一部を規定していることを特徴とする請求項68に記載のプラズマリアクタ。The plasma reactor according to claim 68, wherein the helical solenoids of the plurality of conductors define a part of a three-dimensional surface. 前記三次元表面は、ドーム形状の表面の一部であることを特徴とする請求項69に記載のプラズマリアクタ。70. The plasma reactor according to claim 69, wherein the three-dimensional surface is a part of a dome-shaped surface. 前記天井は、三次元表面を規定し、前記ヘリカルソレノイドの前記三次元表面は、前記天井の三次元表面と一致することを特徴とする請求項70に記載のプラズマリアクタ。The plasma reactor according to claim 70, wherein the ceiling defines a three-dimensional surface, and the three-dimensional surface of the helical solenoid coincides with the three-dimensional surface of the ceiling. 前記天井及び前記ヘリカルソレノイドは部分的なドーム形状に相互に一致していることを特徴とする請求項71に記載のプラズマリアクタ。72. The plasma reactor according to claim 71, wherein the ceiling and the helical solenoid coincide with each other in a partial dome shape. 前記上部点及び下部点は、前記リアクタの対称軸に関して、互いに等しく間隔が開けられていることを特徴とする請求項40に記載のプラズマリアクタ。41. The plasma reactor according to claim 40, wherein the upper point and the lower point are equally spaced from each other with respect to an axis of symmetry of the reactor. 前記導体は、互いに及び対称軸に関して一様に間隔が開けられ、且つ実質的に同じ形状であることを特徴とする請求項73に記載のプラズマリアクタ。74. The plasma reactor according to claim 73, wherein the conductors are uniformly spaced with respect to each other and the axis of symmetry and are substantially the same shape. 前記ソレノイドアンテナは矩形であることを特徴とする請求項37に記載のプラズマリアクタ。38. The plasma reactor according to claim 37, wherein the solenoid antenna is rectangular. 前記ソレノイドアンテナは直立シリンダーに一致していることを特徴とする請求項37に記載のプラズマリアクタ。38. The plasma reactor according to claim 37, wherein the solenoid antenna coincides with an upright cylinder. ワークピースを処理するためのプラズマリアクタであって、
天井を有し、対称軸を規定する真空チャンバと、
チャンバ内のワークピース支持ペデスタルと、
前記チャンバに隣接する、前記軸の周りに対称的に巻かれた第1の複数導体を有する外側コイルアンテナと、
前記チャンバに隣接する、前記軸の周りに対称的に巻かれた第2の複数導体を有する内側コイルアンテナと、
を備え、
前記第1の複数導体の数は、前記第2の複数導体の数より大きいことを特徴とするプラズマリアクタ。
A plasma reactor for processing a workpiece,
A vacuum chamber having a ceiling and defining an axis of symmetry;
A workpiece support pedestal in the chamber;
An outer coil antenna having a first plurality of conductors symmetrically wound about the axis adjacent to the chamber;
An inner coil antenna having a second plurality of conductors symmetrically wound about the axis adjacent to the chamber;
With
The number of said 1st multiple conductors is larger than the number of said 2nd multiple conductors, The plasma reactor characterized by the above-mentioned.
前記複数導体の各々内にある導体は、ほぼ相互に平行であることを特徴とする請求項77に記載のプラズマリアクタ。78. The plasma reactor according to claim 77, wherein conductors in each of the plurality of conductors are substantially parallel to each other. 少なくとも前記外側アンテナは、インターリーブされた並列導体コイルアンテナであることを特徴とする請求項77に記載のプラズマリアクタ。78. The plasma reactor according to claim 77, wherein at least the outer antenna is an interleaved parallel conductor coil antenna. 少なくとも前記外側アンテナは、前記天井の面にほぼ平行な面において平坦であることを特徴とする請求項79に記載のプラズマリアクタ。80. The plasma reactor according to claim 79, wherein at least the outer antenna is flat in a plane substantially parallel to the surface of the ceiling. 少なくとも前記外側アンテナは、ドーム形状であることを特徴とする請求項79に記載のプラズマリアクタ。80. The plasma reactor according to claim 79, wherein at least the outer antenna has a dome shape. 少なくとも前記外側アンテナは、ソレノイドであることを特徴とする請求項79に記載のプラズマリアクタ。80. The plasma reactor according to claim 79, wherein at least the outer antenna is a solenoid. 少なくとも前記外側アンテナは、ソレノイドのセグメント化された並列導体コイルアンテナであることを特徴とする請求項77に記載のプラズマリアクタ。78. The plasma reactor of claim 77, wherein at least the outer antenna is a solenoid segmented parallel conductor coil antenna. さらに、前記内側と外側コイルアンテナに接続された独立したRFプラズマソース電源をそれぞれ有し、それにより前記内側と外側アンテナに供給されるそれぞれのRF電力レベルは、前記内側と外側アンテナから供給されるRF磁界の半径方向の分布を制御するために作動的に調整可能であることを特徴とする請求項77に記載のプラズマリアクタ。In addition, each has independent RF plasma source power sources connected to the inner and outer coil antennas, whereby the respective RF power levels supplied to the inner and outer antennas are supplied from the inner and outer antennas. 78. The plasma reactor of claim 77, wherein the plasma reactor is operatively adjustable to control the radial distribution of the RF magnetic field. さらに、差動的に調整可能な電力レベルを有する2つのRF出力のあるRFプラズマソース電源を有し、前記2つのRF出力の一方は前記外側アンテナに接続され、且つ他方は内側アンテナに接続され、それにより前記内側と外側アンテナに供給されるそれぞれのRF電力レベルは、前記内側と外側アンテナから供給されるRF磁界の半径方向の分布を制御するために作動的に調整可能であることを特徴とする請求項77に記載のプラズマリアクタ。And an RF plasma source power supply with two RF outputs having differentially adjustable power levels, one of the two RF outputs being connected to the outer antenna and the other being connected to the inner antenna. , Whereby the respective RF power levels supplied to the inner and outer antennas are operatively adjustable to control the radial distribution of the RF magnetic field supplied from the inner and outer antennas. The plasma reactor according to claim 77. 前記RFプラズマソース電源は、
出力端子と戻り端子を有するRF電力発生器と、
直列キャパシタと、
前記RF電力発生器の出力端子と前記直列キャパシタの一方の側間に接続されたインピーダンスマッチング素子と、
前記直列キャパシタの他方の側及び前記戻り端子間に接続された可変並列キャパシタと、
前記インピーダンスマッチング素子と前記直列キャパシタ間の接続点に接続された第1の出力ノードと、
前記直列キャパシタと前記可変並列キャパシタ間の接続点に接続された第2の出力ノードと、
を有することを特徴とする請求項85に記載のプラズマリアクタ。
The RF plasma source power supply is
An RF power generator having an output terminal and a return terminal;
A series capacitor;
An impedance matching element connected between the output terminal of the RF power generator and one side of the series capacitor;
A variable parallel capacitor connected between the other side of the series capacitor and the return terminal;
A first output node connected to a connection point between the impedance matching element and the series capacitor;
A second output node connected to a connection point between the series capacitor and the variable parallel capacitor;
The plasma reactor according to claim 85, wherein:
さらに、前記内側と外側アンテナ間に中間コイルアンテナを有し、前記RFソース電源は、第3の差動的に調整可能なRF出力を有することを特徴とする請求項85に記載のプラズマリアクタ。86. The plasma reactor of claim 85, further comprising an intermediate coil antenna between the inner and outer antennas, wherein the RF source power source has a third differentially adjustable RF output. 前記RFプラズマソース電源は、
出力端子と戻り端子を有するRF電力発生器と、
第1の直列キャパシタと、
前記RF電力発生器の出力端子と前記直列キャパシタの一方の側間に接続されたインピーダンスマッチング素子と、
前記直列キャパシタの他方の側及び前記戻り端子間に接続された第1の可変並列キャパシタと、
前記第1の直列キャパシタと前記第1の並列キャパシタ間の接続点に接続された一方の側を有する第2の直列キャパシタと、
前記第2の直列キャパシタの他方の側と前記RF戻り端子間に接続された第2の可変並列キャパシタと、
前記インピーダンスマッチング素子と前記第1の直列キャパシタ間の接続点に接続された第1の出力ノードと、
前記第1の直列キャパシタと前記第1の可変並列キャパシタ間の接続点に接続された第2の出力ノードと、
前記第2の直列キャパシタと前記第2の可変並列キャパシタ間の接続点に接続された第3の出力ノードと、
を有することを特徴とする請求項85に記載のプラズマリアクタ。
The RF plasma source power supply is
An RF power generator having an output terminal and a return terminal;
A first series capacitor;
An impedance matching element connected between the output terminal of the RF power generator and one side of the series capacitor;
A first variable parallel capacitor connected between the other side of the series capacitor and the return terminal;
A second series capacitor having one side connected to a connection point between the first series capacitor and the first parallel capacitor;
A second variable parallel capacitor connected between the other side of the second series capacitor and the RF return terminal;
A first output node connected to a connection point between the impedance matching element and the first series capacitor;
A second output node connected to a connection point between the first series capacitor and the first variable parallel capacitor;
A third output node connected to a connection point between the second series capacitor and the second variable parallel capacitor;
The plasma reactor according to claim 85, wherein:
前記内側と外側アンテナは、円形であることを特徴とする請求項77に記載のプラズマリアクタ。78. The plasma reactor according to claim 77, wherein the inner and outer antennas are circular. 前記内側と外側アンテナは、長方形であることを特徴とする請求項77に記載のプラズマリアクタ。78. The plasma reactor according to claim 77, wherein the inner and outer antennas are rectangular. 前記内側アンテナは、前記天井の少なくとも中間部上にあることを特徴とする請求項77に記載のプラズマリアクタ。78. The plasma reactor according to claim 77, wherein the inner antenna is on at least an intermediate part of the ceiling. さらに、プロセスガスを前記チャンバへ供給するためのプロセスガス分配入口を有することを特徴とする請求項77に記載のプラズマリアクタ。78. The plasma reactor according to claim 77, further comprising a process gas distribution inlet for supplying process gas to the chamber. 前記内側と外側アンテナの少なくとも1つは、セグメント化された複数導体アンテナであることを特徴とする請求項77に記載のプラズマリアクタ。78. The plasma reactor of claim 77, wherein at least one of the inner and outer antennas is a segmented multi-conductor antenna. 前記第1の並列複数導体の長さは、前記外側アンテナの誘導性リアクタンスを前記内側アンテナの誘導性リアクタンスの少なくとも近づくように、短くされることを特徴とする請求項77に記載のプラズマリアクタ。78. The plasma reactor according to claim 77, wherein the length of the first parallel multiple conductors is shortened so that the inductive reactance of the outer antenna is at least close to the inductive reactance of the inner antenna. 前記内側アンテナは、前記天井の少なくとも中間部上にあることを特徴とする請求項77に記載のプラズマリアクタ。78. The plasma reactor according to claim 77, wherein the inner antenna is on at least an intermediate part of the ceiling. 前記ワークピース支持体は、前記天井にほぼ面していることを特徴とする請求項77に記載のプラズマリアクタ。78. The plasma reactor according to claim 77, wherein the workpiece support substantially faces the ceiling. 前記外側アンテナは、前記内側アンテナの半径より大きな半径を有していることを特徴とする請求項77に記載のプラズマリアクタ。78. The plasma reactor according to claim 77, wherein the outer antenna has a radius larger than that of the inner antenna. 各導体は、2つの端部を規定し、且つ、前記第1の複数導体の前記端部は、前記第2の複数導体のそれぞれの端部と軸整列していることを特徴とする請求項77に記載のプラズマリアクタ。Each conductor defines two ends, and the ends of the first plurality of conductors are axially aligned with respective ends of the second plurality of conductors. 77. The plasma reactor according to 77. 各導体は、第1と第2の端部を規定し、且つ、少なくとも前記第1の複数導体の第1の端部は、方位的に等しく間隔が開けられており、前記少なくとも前記第1の複数導体の第2の端部は、方位的に等しく間隔が開けられていることを特徴とする請求項77に記載のプラズマリアクタ。Each conductor defines first and second ends, and at least the first ends of the first plurality of conductors are equally spaced azimuthally and the at least the first 78. The plasma reactor according to claim 77, wherein the second ends of the plurality of conductors are equally spaced azimuthally. 前記内側と外側アンテナの少なくとも1つは、直立シリンダを規定していることを特徴とする請求項77に記載のプラズマリアクタ。78. The plasma reactor of claim 77, wherein at least one of the inner and outer antennas defines an upright cylinder. RF電力を真空チャンバへ放射するためのコイルアンテナであって、
複数の並列のセグメント化された導体を有し、その各々は第1の端部と第2の端部を有し、前記第1の端部は第1の共通のRF電位に接続されるために適合され、前記第2の端部は第2の共通のRF電位に接続されるために適合され、前記複数導体の各々は、共通の対称軸の周りに巻かれ、前記第2の端部の各々は、互いに前記軸から実質的に等しく間隔が開けられていることを特徴とするアンテナ。
A coil antenna for radiating RF power to a vacuum chamber,
A plurality of parallel segmented conductors, each having a first end and a second end, wherein the first end is connected to a first common RF potential; And wherein the second end is adapted to be connected to a second common RF potential, each of the plurality of conductors being wound around a common axis of symmetry and the second end Each of which is substantially equally spaced from the axis.
各セグメント化された導体は、直立の円形シリンダ面に一致していることを特徴とする請求項101に記載のアンテナ。102. The antenna of claim 101, wherein each segmented conductor coincides with an upstanding circular cylinder surface. 前記導体の各々は第1と第2の端部を規定し、前記第1の端部の各々は互いに方位的に実質的に等しく間隔が開けられ、且つ、前記第2の端部の各々は互いに方位的に実質的に等しく間隔が開けられていることを特徴とする請求項101に記載のアンテナ。Each of the conductors defines a first and a second end, each of the first ends being azimuthally substantially equally spaced from each other, and each of the second ends is 102. The antenna of claim 101, wherein the antennas are substantially equally spaced from one another. 前記複数のセグメント化された導体は、それぞれ前記軸に関して並んで方向付けされた隣接する同軸ヘリックスを規定していることを特徴とする請求項101に記載のアンテナ。102. The antenna of claim 101, wherein the plurality of segmented conductors define adjacent coaxial helices each oriented side by side with respect to the axis. 前記同軸ヘリックスの各々は、他方に関して軸の横方向に約導体幅だけフセットされていることを特徴とする請求項104に記載のアンテナ。105. The antenna of claim 104, wherein each of the coaxial helices is offset by about a conductor width in the transverse direction of the axis with respect to the other. 前記同軸ヘリックスの各々は、前記軸方向に成分を有するピッチで巻かれていることを特徴とする請求項101に記載のアンテナ。102. The antenna according to claim 101, wherein each of the coaxial helices is wound at a pitch having a component in the axial direction. 前記第1の端部は、互いに前記軸から実質的に等しく間隔が開けられていることを特徴とする請求項101に記載のアンテナ。102. The antenna of claim 101, wherein the first ends are substantially equally spaced from the axis. 前記導体の各々は、実質的に同じ長さであることを特徴とする請求項101に記載のアンテナ。102. The antenna of claim 101, wherein each of the conductors is substantially the same length. 導体間の間隔は、実質的に同じであることを特徴とする請求項101に記載のアンテナ。102. The antenna of claim 101, wherein the spacing between conductors is substantially the same. 導体間の距離は、前記軸からの距離に従って増加することを特徴とする請求項109に記載のアンテナ。110. The antenna of claim 109, wherein a distance between conductors increases with distance from the axis. 導体間の距離は、それらの長さにわたって実質的に同じであることを特徴とする請求項109に記載のアンテナ。110. The antenna of claim 109, wherein the distance between the conductors is substantially the same over their length. 前記導体の各々は、実質的に平らな面に一致することを特徴とする請求項111に記載のアンテナ。112. The antenna of claim 111, wherein each of the conductors coincides with a substantially flat surface. 前記導体の各々は、円形に対称的な面に一致することを特徴とする請求項112に記載のアンテナ。113. The antenna of claim 112, wherein each of the conductors coincides with a circularly symmetric surface. 前記導体の各々は、平坦な円形対称面に一致することを特徴とする請求項113に記載のアンテナ。114. The antenna of claim 113, wherein each of the conductors coincides with a flat circular symmetry plane. 前記導体の各々は、ドーム状の円形対称面に一致することを特徴とする請求項113に記載のアンテナ。114. The antenna of claim 113, wherein each of the conductors coincides with a dome-shaped circular symmetry plane. 前記導体の各々は、曲面の直立円形シリンダ面に一致することを特徴とする請求項113に記載のアンテナ。114. The antenna of claim 113, wherein each of the conductors coincides with a curved upright circular cylinder surface. 前記アンテナは前記第1と第2の電位を与えるRFソースで動作するのに適合し、且つ、前記第1の端部及び第2の端部は前記RFソースをそれぞれ横切って前記第1と第2の電位に接続されることを特徴とする請求項101に記載のアンテナ。The antenna is adapted to operate with an RF source that provides the first and second potentials, and the first and second ends cross the RF source, respectively, with the first and second ends. 102. The antenna of claim 101, connected to a potential of two. 前記第1の端部は、互いに共通面にあることを特徴とする請求項101に記載のアンテナ。102. The antenna of claim 101, wherein the first ends are on a common plane. 前記第2の端部は、互いに共通面にあることを特徴とする請求項101に記載のアンテナ。102. The antenna of claim 101, wherein the second ends are on a common plane. 前記第1の端部は第1の共通面上にあり、前記第2の端部は第2の共通面上にあり、且つ、前記第1及び第2の面は平行であることを特徴とする請求項101に記載のアンテナ。The first end is on a first common surface, the second end is on a second common surface, and the first and second surfaces are parallel. 102. The antenna of claim 101. 前記第1と第2の面は、軸方向に互いに間隔が開けられていることを特徴とする請求項120に記載のアンテナ。121. The antenna of claim 120, wherein the first and second surfaces are spaced apart from each other in the axial direction. 前記第1の端部は、前記軸上に中心が置かれた円形位置を規定することを特徴とする請求項101に記載のアンテナ。102. The antenna of claim 101, wherein the first end defines a circular position centered on the axis. 前記第2の端部は、前記軸上に中心が置かれた円形位置を規定することを特徴とする請求項122に記載のアンテナ。123. The antenna of claim 122, wherein the second end defines a circular position centered on the axis. 前記複数のセグメント化された導体は、それぞれ前記軸に関して並んで方向付けされた隣接する同軸ヘリックスを規定していることを特徴とする請求項101に記載のアンテナ。102. The antenna of claim 101, wherein the plurality of segmented conductors define adjacent coaxial helices each oriented side by side with respect to the axis. 前記同軸ヘリックスの各々は、他方に関して軸の横方向に約導体幅だけオフセットされていることを特徴とする請求項124に記載のアンテナ。125. The antenna of claim 124, wherein each of the coaxial helices is offset by about a conductor width in the transverse direction of the axis with respect to the other. 前記同軸ヘリックスの各々は、前記軸方向に成分を有するピッチで巻かれていることを特徴とする請求項101に記載のアンテナ。102. The antenna according to claim 101, wherein each of the coaxial helices is wound at a pitch having a component in the axial direction. RF電力を真空チャンバへ放射するためのアンテナであって、
複数の並列のセグメント化された導体を有し、その各々は第1の共通領域に位置した第1の端部と第2の共通領域に位置した第2の端部とを有し、その各々は両方の領域を通る共通の軸の周りに巻かれ、前記領域は前記軸と同軸状であり、前記導体は実質的に同じ長さ、実質的に同じ形状であり、且つ、前記共通軸の周りに互いに実質的に一様に間隔が開けられていることを特徴とするアンテナ。
An antenna for radiating RF power to a vacuum chamber,
A plurality of parallel segmented conductors, each having a first end located in the first common region and a second end located in the second common region, each of which Is wound around a common axis through both regions, the region is coaxial with the axis, the conductors are substantially the same length, substantially the same shape, and the common axis An antenna characterized in that it is spaced substantially uniformly around each other.
前記第2の共通領域は、前記軸に関して前記第1の共通領域の外側にあることを特徴とする請求項127に記載のアンテナ。128. The antenna of claim 127, wherein the second common region is outside the first common region with respect to the axis. 前記第1と第2の共通領域は、互いに軸的に変位していることを特徴とする請求項128に記載のアンテナ。129. The antenna of claim 128, wherein the first and second common areas are axially displaced from each other. 前記第1と第2の共通領域は、重なっていることを特徴とする請求項129に記載のアンテナ。131. The antenna of claim 129, wherein the first and second common areas overlap. 前記領域の一方は、前記軸に関して他方の外側にあることを特徴とする請求項129に記載のアンテナ。131. The antenna of claim 129, wherein one of the regions is outside the other with respect to the axis. 前記共通領域の少なくとも1つは、前記軸に直交していることを特徴とする請求項127に記載のアンテナ。128. The antenna of claim 127, wherein at least one of the common regions is orthogonal to the axis. 前記第1と第2の共通領域は互いに平行なそれぞれの面にあることを特徴とする請求項127に記載のアンテナ。128. The antenna of claim 127, wherein the first and second common regions are on respective planes parallel to each other. 前記第1と第2の領域は、同一平面にあることを特徴とする請求項127に記載のアンテナ。128. The antenna of claim 127, wherein the first and second regions are in the same plane. 隣接する導体間の距離は、前記共通軸からの距離に従って増加していることを特徴とする請求項127に記載のアンテナ。128. The antenna of claim 127, wherein the distance between adjacent conductors increases with distance from the common axis. 隣接する導体間の距離は、それらの長さにわたって実質的に同じに保たれていることを特徴とする請求項127に記載のアンテナ。128. The antenna of claim 127, wherein the distance between adjacent conductors is kept substantially the same over their length. 前記第1の端部は、前記第2の端部のそれぞれの一方と軸的に整列していることを特徴とする請求項101に記載のアンテナ。102. The antenna of claim 101, wherein the first end is axially aligned with one of each of the second ends. 前記導体は、長方形であることを特徴とする請求項101に記載のアンテナ。102. The antenna of claim 101, wherein the conductor is rectangular. RF電力を真空チャンバへ放射するためのアンテナであって、
複数の並列のセグメント化された導体を有し、その各々は第1の共通領域に位置した第1の端部と第2の共通領域に位置した第2の端部とを有し、その各々は両方の領域を通る共通の軸の周りに巻かれ、前記領域は前記軸と同軸状であり、前記導体は実質的に同じ長さ、実質的に同じ形状であり、且つ、前記共通軸の周りに互いに実質的に一様に間隔が開けられていることを特徴とするアンテナ。
An antenna for radiating RF power to a vacuum chamber,
A plurality of parallel segmented conductors, each having a first end located in the first common region and a second end located in the second common region, each of which Is wound around a common axis through both regions, the region is coaxial with the axis, the conductors are substantially the same length, substantially the same shape, and the common axis An antenna characterized in that it is spaced substantially uniformly around each other.
前記複数のセグメント化された導体は、それぞれ前記軸に関して並んで向けられた隣接する同軸ヘリックスを規定することを特徴とする請求項139に記載のアンテナ。140. The antenna of claim 139, wherein the plurality of segmented conductors define adjacent coaxial helices that are each oriented side by side with respect to the axis. 前記同軸ヘリックスの各々は、前記軸を横切る方向に約導体幅だけ他方に関してオフセットされていることを特徴とする請求項140に記載のアンテナ。141. The antenna of claim 140, wherein each of the coaxial helices is offset with respect to the other by about a conductor width in a direction across the axis. 前記同軸ヘリックスは、軸方向の成分を有するピッチで巻かれていることを特徴とする請求項139に記載のアンテナ。140. The antenna of claim 139, wherein the coaxial helix is wound at a pitch having an axial component. 前記第2の共通領域は、前記軸に関して前記第1の共通領域の外側にあることを特徴とする請求項129に記載のアンテナ。131. The antenna of claim 129, wherein the second common region is outside the first common region with respect to the axis. 前記第1と第2の共通領域は、互いに軸的に変位していることを特徴とする請求項127に記載のアンテナ。128. The antenna of claim 127, wherein the first and second common areas are axially displaced from each other. 前記第1と第2の共通領域は、重なっていることを特徴とする請求項144に記載のアンテナ。145. The antenna of claim 144, wherein the first and second common areas overlap. 前記領域の一方は、前記共通軸に関して他方の外側にあることを特徴とする請求項144に記載のアンテナ。144. The antenna of claim 144, wherein one of the regions is outside the other with respect to the common axis. 前記共通軸の少なくとも1つは、前記共通軸に直交していることを特徴とする請求項139に記載のアンテナ。140. The antenna of claim 139, wherein at least one of the common axes is orthogonal to the common axis. 前記第1と第2の共通領域は、互いに平行なそれぞれの面にあることを特徴とする請求項139に記載のアンテナ。140. The antenna of claim 139, wherein the first and second common regions are on respective planes parallel to each other. 前記第1と第2の領域は、同一平面にあることを特徴とする請求項139に記載のアンテナ。140. The antenna of claim 139, wherein the first and second regions are in the same plane. 隣接する導体間の距離は、前記共通軸からの距離に従って増加していることを特徴とする請求項127に記載のアンテナ。128. The antenna of claim 127, wherein the distance between adjacent conductors increases with distance from the common axis. 隣接する導体間の距離は、それらの長さにわたって実質的に同じに保たれていることを特徴とする請求項127に記載のアンテナ。128. The antenna of claim 127, wherein the distance between adjacent conductors is kept substantially the same over their length. ワークピースを処理するためのRFプラズマリアクタであって、
天井を有し、対称軸を規定する真空チャンバと、
前記チャンバ内にあるワークピース支持ペデスタルと、
天井の周辺領域上にある外側コイルアンテナと、
天井の内側領域上にある内側コイルアンテナと、
を備え、
前記外側コイルアンテナは、それぞれ同軸ヘリカルソレノイドの前記軸の周りに巻かれた第1の複数導体を有し、その各々はRF電力を受けるように適合されており、且つ
前記内側コイルアンテナは、それぞれ同軸ヘリカルソレノイドの前記軸の周りに巻かれた第2の複数導体を有し、その各々はRF電力を受けるように適合されていることを特徴とするプラズマリアクタ。
An RF plasma reactor for processing a workpiece, comprising:
A vacuum chamber having a ceiling and defining an axis of symmetry;
A workpiece support pedestal in the chamber;
An outer coil antenna on the peripheral area of the ceiling;
An inner coil antenna on the inner area of the ceiling;
With
The outer coil antennas each have a first plurality of conductors wound around the axis of a coaxial helical solenoid, each of which is adapted to receive RF power, and the inner coil antennas are respectively A plasma reactor comprising a second plurality of conductors wound about said axis of a coaxial helical solenoid, each of which is adapted to receive RF power.
各アンテナの導体は、互いにほぼ平行であることを特徴とする請求項152に記載のプラズマリアクタ。153. The plasma reactor according to claim 152, wherein the conductors of each antenna are substantially parallel to each other. 各アンテナの導体は、インターリーブされていることを特徴とする請求項152に記載のプラズマリアクタ。153. The plasma reactor according to claim 152, wherein the conductor of each antenna is interleaved. 各ヘリカルソレノイドは、前記軸の方向に互いにオフセットされていることを特徴とする請求項152に記載のプラズマリアクタ。153. The plasma reactor according to claim 152, wherein the helical solenoids are offset from each other in the direction of the axis. 各ヘリカルソレノイドは、約導体の幅の距離だけ他方に関してオフセットされていることを特徴とする請求項152に記載のプラズマリアクタ。153. The plasma reactor of claim 152, wherein each helical solenoid is offset with respect to the other by a distance of about the conductor width. 各アンテナの導体は、ほぼ同じ長さ、及びほぼ同じ形状であることを特徴とする請求項152に記載のプラズマリアクタ。153. The plasma reactor according to claim 152, wherein the conductors of each antenna have approximately the same length and approximately the same shape. さらに、前記導体の各々の両端に接続されたRF電源を有することを特徴とする請求項152に記載のプラズマリアクタ。153. The plasma reactor according to claim 152, further comprising an RF power source connected to both ends of each of the conductors. 各アンテナの導体の各々は第1と第2の端部を規定し、前記第1の端部及び第2の端部の各々は方位的に互いに実質的に等しく間隔が開けられていることを特徴とする請求項152に記載のプラズマリアクタ。Each antenna conductor defines a first end and a second end, wherein each of the first end and the second end is azimuthally spaced substantially equal to each other. 153. The plasma reactor according to claim 152, wherein: アンテナの前記第1の端部と第2の端部は、軸方向に互いに位置合わせされていることを特徴とする請求項159に記載のプラズマリアクタ。160. The plasma reactor according to claim 159, wherein the first end and the second end of the antenna are aligned with each other in the axial direction. 各ヘリカルソレノイドは、軸方向の成分を有するピッチで巻かれていることを特徴とする請求項156に記載のプラズマリアクタ。157. The plasma reactor according to claim 156, wherein each helical solenoid is wound at a pitch having an axial component. 前記内側アンテナの第1の端部及び第2の端部は、前記外側アンテナの第1の端部及び第2の端部とそれぞれ軸的に位置合わせされていることを特徴とする請求項156に記載のプラズマリアクタ。156. The first end and the second end of the inner antenna are axially aligned with the first end and the second end of the outer antenna, respectively. A plasma reactor according to 1. 前記外側アンテナは、前記内側アンテナの半径より大きな半径を有していることを特徴とする請求項152に記載のプラズマリアクタ。153. The plasma reactor of claim 152, wherein the outer antenna has a radius that is greater than a radius of the inner antenna. 前記導体は長方形のパターンを有していることを特徴とする請求項139に記載のアンテナ。140. The antenna of claim 139, wherein the conductor has a rectangular pattern.
JP2002508822A 2000-07-06 2001-06-29 Plasma reactor with symmetrical parallel conductor coil antenna Expired - Lifetime JP5160717B2 (en)

Applications Claiming Priority (11)

Application Number Priority Date Filing Date Title
US09/611,345 2000-07-06
US09/611,168 2000-07-06
US09/610,800 2000-07-06
US09/611,169 US6685798B1 (en) 2000-07-06 2000-07-06 Plasma reactor having a symmetrical parallel conductor coil antenna
US09/610,800 US6409933B1 (en) 2000-07-06 2000-07-06 Plasma reactor having a symmetric parallel conductor coil antenna
US09/611,170 2000-07-06
US09/611,169 2000-07-06
US09/611,170 US6694915B1 (en) 2000-07-06 2000-07-06 Plasma reactor having a symmetrical parallel conductor coil antenna
US09/611,345 US6462481B1 (en) 2000-07-06 2000-07-06 Plasma reactor having a symmetric parallel conductor coil antenna
US09/611,168 US6414648B1 (en) 2000-07-06 2000-07-06 Plasma reactor having a symmetric parallel conductor coil antenna
PCT/US2001/020717 WO2002005308A2 (en) 2000-07-06 2001-06-29 A plasma reactor having a symmetric parallel conductor coil antenna

Publications (3)

Publication Number Publication Date
JP2004509429A true JP2004509429A (en) 2004-03-25
JP2004509429A5 JP2004509429A5 (en) 2008-08-14
JP5160717B2 JP5160717B2 (en) 2013-03-13

Family

ID=27541986

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002508822A Expired - Lifetime JP5160717B2 (en) 2000-07-06 2001-06-29 Plasma reactor with symmetrical parallel conductor coil antenna

Country Status (3)

Country Link
EP (1) EP1301938A2 (en)
JP (1) JP5160717B2 (en)
WO (1) WO2002005308A2 (en)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006216903A (en) * 2005-02-07 2006-08-17 Hitachi High-Technologies Corp Plasma processing unit
US7381292B2 (en) 2002-10-15 2008-06-03 Samsung Electronics Co., Ltd. Inductively coupled plasma generating apparatus incorporating serpentine coil antenna
JP2008270815A (en) * 2007-04-24 2008-11-06 Applied Materials Inc Method of eliminating m-shape etching rate distribution in inductively-coupled plasma reactor
JP2009252996A (en) * 2008-04-07 2009-10-29 Ulvac Japan Ltd Antenna, alternate current circuit, and plasma processing apparatus
JP2010501123A (en) 2006-08-28 2010-01-14 北京北方▲微▼▲電▼子基地▲設▼▲備▼工▲芸▼研究中心有限▲責▼任公司 Inductively coupled coil and inductively coupled plasma apparatus using the inductively coupled coil
JP2010238981A (en) * 2009-03-31 2010-10-21 Tokyo Electron Ltd Plasma processing apparatus
JP2011108643A (en) * 2009-10-26 2011-06-02 Applied Materials Inc Rf feeding structure for plasma treatment
JP2011124221A (en) * 2009-10-26 2011-06-23 Applied Materials Inc Inductively coupled plasma apparatus
JP2012074459A (en) * 2010-09-28 2012-04-12 Tokyo Electron Ltd Plasma processing apparatus
JP5561812B2 (en) * 2006-11-28 2014-07-30 サムコ株式会社 Plasma processing equipment
JP2015159118A (en) * 2015-03-26 2015-09-03 東京エレクトロン株式会社 plasma processing apparatus
JP6401839B1 (en) * 2017-08-11 2018-10-10 ピーエスケー・インコーポレーテッド Substrate processing apparatus, substrate processing method, and plasma generation unit
JP2020535625A (en) * 2017-10-13 2020-12-03 ユ−ジーン テクノロジー カンパニー.リミテッド ICP antenna and plasma device
JP2022104624A (en) * 2020-12-28 2022-07-08 セメス カンパニー,リミテッド Substrate processing device
JP7431296B2 (en) 2017-10-09 2024-02-14 アプライド マテリアルズ インコーポレイテッド Improvements in inductively coupled plasma sources
JP7502256B2 (en) 2020-12-28 2024-06-18 セメス カンパニー,リミテッド Substrate Processing Equipment

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3820188B2 (en) 2002-06-19 2006-09-13 三菱重工業株式会社 Plasma processing apparatus and plasma processing method
JP4302630B2 (en) * 2002-07-26 2009-07-29 プラズマート カンパニー リミテッド Inductively coupled plasma generator
US6852639B2 (en) 2002-07-31 2005-02-08 Infineon Technologies Ag Etching processing method for a material layer
JP5551343B2 (en) * 2008-05-14 2014-07-16 東京エレクトロン株式会社 Inductively coupled plasma processing equipment
KR101202957B1 (en) * 2010-10-19 2012-11-20 주성엔지니어링(주) Antenna for generating plasma and Apparatus for treating substrate including the same
CN105408984B (en) 2014-02-06 2019-12-10 应用材料公司 In-line decoupled plasma source chamber hardware design for enabling axial symmetry for improved flow conductance and uniformity
KR101712263B1 (en) * 2014-04-22 2017-03-03 김일욱 helical resonance plasma antenna and plasma generating equipment including the same
KR102308040B1 (en) * 2015-06-15 2021-09-30 어플라이드 머티어리얼스, 인코포레이티드 Source RF power dividing internal coil to improve BCD and etch depth performance

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS577100A (en) * 1980-06-16 1982-01-14 Nippon Electron Optics Lab Plasma generator
US5194731A (en) * 1990-07-24 1993-03-16 Varian Associates, Inc. Inductively coupled plasma spectroscopy
JPH0696719A (en) * 1992-04-15 1994-04-08 Texas Instr Inc <Ti> Plasma source and manufactrue
JPH08227878A (en) * 1994-10-31 1996-09-03 Applied Materials Inc Inductive coupling plasma reactor containing a plurality of symmetrical,parallel coils provided with common rf terminal
JPH08321490A (en) * 1995-02-15 1996-12-03 Applied Materials Inc Hybrid conductor and high-frequency plasma reactor with plurality radius dome type sealing
JPH0955347A (en) * 1995-02-15 1997-02-25 Applied Materials Inc Apparatus and method for automatic frequency tuning of rf power source for inductive coupling plasma reactor
JPH10125663A (en) * 1996-09-30 1998-05-15 Applied Materials Inc Inductively-coupled plasma reactor with symmetric parallel multiplex coil having common re terminal
JPH11154600A (en) * 1997-07-15 1999-06-08 Applied Materials Inc Overhead solenoid antenna and inductively coupled rf plasma reactor having modular shutting-in magnet liner
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3105403B2 (en) * 1994-09-14 2000-10-30 松下電器産業株式会社 Plasma processing equipment
US6252354B1 (en) * 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US6028395A (en) * 1997-09-16 2000-02-22 Lam Research Corporation Vacuum plasma processor having coil with added conducting segments to its peripheral part

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS577100A (en) * 1980-06-16 1982-01-14 Nippon Electron Optics Lab Plasma generator
US5194731A (en) * 1990-07-24 1993-03-16 Varian Associates, Inc. Inductively coupled plasma spectroscopy
JPH0696719A (en) * 1992-04-15 1994-04-08 Texas Instr Inc <Ti> Plasma source and manufactrue
JPH08227878A (en) * 1994-10-31 1996-09-03 Applied Materials Inc Inductive coupling plasma reactor containing a plurality of symmetrical,parallel coils provided with common rf terminal
JPH08321490A (en) * 1995-02-15 1996-12-03 Applied Materials Inc Hybrid conductor and high-frequency plasma reactor with plurality radius dome type sealing
JPH0955347A (en) * 1995-02-15 1997-02-25 Applied Materials Inc Apparatus and method for automatic frequency tuning of rf power source for inductive coupling plasma reactor
JPH10125663A (en) * 1996-09-30 1998-05-15 Applied Materials Inc Inductively-coupled plasma reactor with symmetric parallel multiplex coil having common re terminal
JPH11154600A (en) * 1997-07-15 1999-06-08 Applied Materials Inc Overhead solenoid antenna and inductively coupled rf plasma reactor having modular shutting-in magnet liner
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7381292B2 (en) 2002-10-15 2008-06-03 Samsung Electronics Co., Ltd. Inductively coupled plasma generating apparatus incorporating serpentine coil antenna
JP2006216903A (en) * 2005-02-07 2006-08-17 Hitachi High-Technologies Corp Plasma processing unit
JP2010501123A (en) 2006-08-28 2010-01-14 北京北方▲微▼▲電▼子基地▲設▼▲備▼工▲芸▼研究中心有限▲責▼任公司 Inductively coupled coil and inductively coupled plasma apparatus using the inductively coupled coil
JP5561812B2 (en) * 2006-11-28 2014-07-30 サムコ株式会社 Plasma processing equipment
JP2008270815A (en) * 2007-04-24 2008-11-06 Applied Materials Inc Method of eliminating m-shape etching rate distribution in inductively-coupled plasma reactor
US8956500B2 (en) 2007-04-24 2015-02-17 Applied Materials, Inc. Methods to eliminate “M-shape” etch rate profile in inductively coupled plasma reactor
JP2009252996A (en) * 2008-04-07 2009-10-29 Ulvac Japan Ltd Antenna, alternate current circuit, and plasma processing apparatus
JP2010238981A (en) * 2009-03-31 2010-10-21 Tokyo Electron Ltd Plasma processing apparatus
JP2011124221A (en) * 2009-10-26 2011-06-23 Applied Materials Inc Inductively coupled plasma apparatus
JP2011108643A (en) * 2009-10-26 2011-06-02 Applied Materials Inc Rf feeding structure for plasma treatment
JP2012074459A (en) * 2010-09-28 2012-04-12 Tokyo Electron Ltd Plasma processing apparatus
US9351389B2 (en) 2010-09-28 2016-05-24 Tokyo Electron Limited Plasma processing apparatus
TWI621376B (en) * 2010-09-28 2018-04-11 Tokyo Electron Ltd Plasma processing device (2)
JP2015159118A (en) * 2015-03-26 2015-09-03 東京エレクトロン株式会社 plasma processing apparatus
JP6401839B1 (en) * 2017-08-11 2018-10-10 ピーエスケー・インコーポレーテッド Substrate processing apparatus, substrate processing method, and plasma generation unit
US10109459B1 (en) 2017-08-11 2018-10-23 Psk Inc. Substrate treating apparatus, substrate treating method, and plasma generating unit
JP2019036513A (en) * 2017-08-11 2019-03-07 ピーエスケー・インコーポレーテッド Substrate processing apparatus, substrate processing method, and plasma generation unit
JP7431296B2 (en) 2017-10-09 2024-02-14 アプライド マテリアルズ インコーポレイテッド Improvements in inductively coupled plasma sources
JP2020535625A (en) * 2017-10-13 2020-12-03 ユ−ジーン テクノロジー カンパニー.リミテッド ICP antenna and plasma device
JP2022104624A (en) * 2020-12-28 2022-07-08 セメス カンパニー,リミテッド Substrate processing device
JP7502256B2 (en) 2020-12-28 2024-06-18 セメス カンパニー,リミテッド Substrate Processing Equipment

Also Published As

Publication number Publication date
WO2002005308A2 (en) 2002-01-17
EP1301938A2 (en) 2003-04-16
WO2002005308A3 (en) 2002-06-20
JP5160717B2 (en) 2013-03-13

Similar Documents

Publication Publication Date Title
US6414648B1 (en) Plasma reactor having a symmetric parallel conductor coil antenna
JP5160717B2 (en) Plasma reactor with symmetrical parallel conductor coil antenna
US6685798B1 (en) Plasma reactor having a symmetrical parallel conductor coil antenna
US6462481B1 (en) Plasma reactor having a symmetric parallel conductor coil antenna
US6694915B1 (en) Plasma reactor having a symmetrical parallel conductor coil antenna
US6409933B1 (en) Plasma reactor having a symmetric parallel conductor coil antenna
US5919382A (en) Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
JP5881954B2 (en) Plasma generator
EP0727807B1 (en) Plasma reactor
KR101091356B1 (en) Compact, distributed inductive element for large scale inductively-coupled plasma sources
JP4646272B2 (en) Plasma processing equipment
TWI554160B (en) Plasma processing device and plasma processing method
JP4540758B2 (en) Vacuum plasma processing machine
KR101870791B1 (en) Plasma processing apparatus
JP2007157696A (en) Independent control of ion density, ion energy distribution and ion dissociation in plasma reactor
US20100078130A1 (en) Plasma Processing Apparatus
JP2006507665A (en) Capacitively coupled plasma reactor that distributes plasma uniformly in radial direction
TW201330048A (en) High efficiency triple-coil inductively coupled plasma source with phase control
TWI805611B (en) Improvements to an inductively coupled plasma source
JP7416986B2 (en) Coil structure and plasma processing equipment
KR20040023792A (en) Stacked rf excitation coil for inductive plasma processor
EP1988565A2 (en) Methods to eliminate m-shape etch rate profile in inductively coupled plasma reactor
US6373022B2 (en) Plasma reactor with antenna of coil conductors of concentric helices offset along the axis of symmetry

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080630

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080630

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101209

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120112

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120412

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121129

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121213

R150 Certificate of patent or registration of utility model

Ref document number: 5160717

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151221

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term