JP2004119520A - Substrate processing equipment - Google Patents

Substrate processing equipment Download PDF

Info

Publication number
JP2004119520A
JP2004119520A JP2002278197A JP2002278197A JP2004119520A JP 2004119520 A JP2004119520 A JP 2004119520A JP 2002278197 A JP2002278197 A JP 2002278197A JP 2002278197 A JP2002278197 A JP 2002278197A JP 2004119520 A JP2004119520 A JP 2004119520A
Authority
JP
Japan
Prior art keywords
substrate
oxide film
view
gas
holding member
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002278197A
Other languages
Japanese (ja)
Inventor
Takahiro Horiguchi
堀口 貴弘
Akira Kuwajima
桑嶋 亮
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2002278197A priority Critical patent/JP2004119520A/en
Priority to PCT/JP2003/012083 priority patent/WO2004030063A1/en
Priority to AU2003266563A priority patent/AU2003266563A1/en
Publication of JP2004119520A publication Critical patent/JP2004119520A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Rolling Contact Bearings (AREA)
  • Formation Of Insulating Films (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Shafts, Cranks, Connecting Bars, And Related Bearings (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide substrate processing equipment which is capable of depositing a film stably and efficiently on a substrate W. <P>SOLUTION: The substrate processing equipment 20 supports the substrate W as a processing object at a position so as to make it confront a heater unit 24 and keeps the temperature distribution uniform throughout the substrate W by rotating a holding member 120 that holds the substrate W, whereby the substrate W can be restrained from warping. The shaft 120d of the holding member 120 is inserted into the ceramic shaft 126 of a rotary drive unit 28 as it penetrates through the heater unit 24, and the ceramic shaft 126 is supported by a ceramic bearing, whereby the substrate W can be protected against metal contamination. The rotational driving force of a motor 128 is transmitted to the ceramic shaft 126 through the intermediary of a magnet coupling 130. <P>COPYRIGHT: (C)2004,JPO

Description

【0001】
【発明の属する技術分野】
本発明は基板処理装置に係り、特に基板に対し成膜などの処理を施す基板処理装置に関する。
【0002】
【従来の技術】
今日の超高速半導体装置では、微細化プロセスの進歩とともに、0.1μm以下のゲート長が可能になりつつある。一般に微細化とともに半導体装置の動作速度は向上するが、このように非常に微細化された半導体装置では、ゲート絶縁膜の膜厚を、微細化によるゲート長の短縮に伴って、スケーリング則に従って減少させる必要がある。
【0003】
しかしゲート長が0.1μm以下になると、ゲート絶縁膜の厚さも、従来の熱酸化膜を使った場合、1〜2nm、あるいはそれ以下に設定する必要があるが、このように非常に薄いゲート絶縁膜ではトンネル電流が増大し、その結果ゲートリーク電流が増大する問題を回避することができない。
【0004】
このような事情で従来より、比誘電率が熱酸化膜のものよりもはるかに大きく、このため実際の膜厚が大きくてもSiO膜に換算した場合の膜厚が小さいTaやAl,ZrO,HfO、さらにはZrSiOあるいはHfSiOのような高誘電体材料をゲート絶縁膜に対して適用することが提案されている。このような高誘電体材料を使うことにより、ゲート長が0.1μm以下と、非常に短い超高速半導体装置においても10nm程度の物理的膜厚のゲート絶縁膜を使うことができ、トンネル効果によるゲートリーク電流を抑制することができる。
【0005】
例えば、従来よりTa膜はTa(OCおよびOを気相原料としたCVD法により形成できることが知られている。典型的な場合、CVDプロセスは減圧環境下、約480°C、あるいはそれ以上の温度で実行される。このようにして形成されたTa膜は、さらに酸素雰囲気中において熱処理され、その結果、膜中の酸素欠損が解消され、また膜自体が結晶化する。このようにして結晶化されたTa膜は大きな比誘電率を示す。
【0006】
チャネル領域中のキャリアモビリティーを向上させる観点からは、高誘電体ゲート酸化膜とシリコン基板との間に、1nm以下、好ましくは0.8nm以下の厚さのきわめて薄いベース酸化膜を介在させるのが好ましい。ベース酸化膜は、非常に薄い必要があり、厚さが厚いと高誘電体膜をゲート絶縁膜に使った効果が相殺される。一方、かかる非常に薄いベース酸化膜は、シリコン基板表面を一様に覆う必要があり、また界面準位等の欠陥を形成しないことが要求される。
【0007】
従来より、薄いゲート酸化膜はシリコン基板の急速熱酸化(RTO)処理(例えば、特許文献1参照)により形成されるのが一般的であるが、熱酸化膜を所望の1nm以下の厚さに形成しようとすると、膜形成時の処理温度を低下させる必要がある。しかし、このように低温で形成された熱酸化膜は界面準位等の欠陥を含みやすく、高誘電体ゲート酸化膜のベース酸化膜としては不適当である。
【0008】
図1は高誘電体ゲート絶縁膜を有する高速半導体装置10の概略的な構成を、示す。
【0009】
図1を参照するに、半導体装置10はシリコン基板11上に形成されており、シリコン基板11上には薄いベース酸化膜12を介して、Ta,Al,ZrO,HfO,ZrSiO,HfSiO等の高誘電体ゲート絶縁膜13が形成され、さらに前記高誘電体ゲート絶縁膜13上にはゲート電極14が形成されている。
【0010】
図1の半導体装置10では、前記ベース酸化膜層12の表面部分に、シリコン基板11とベース酸化膜12との間の界面の平坦性が保たれるような範囲で窒素(N)がドープされ、酸窒化膜12Aが形成されている。シリコン酸化膜よりも比誘電率の大きい酸窒化膜12Aをベース酸化膜12中に形成することにより、ベース酸化膜12の熱酸化膜換算膜厚をさらに減少させることが可能になる。
【0011】
先にも説明したように、かかる高速半導体装置10では、前記ベース酸化膜12の厚さは可能な限り薄いのが好ましい。
【0012】
【特許文献1】
特開平5−47687号公報(第3頁、図1)。
【0013】
【発明が解決しようとする課題】
しかし、ベース酸化膜12を1nm以下、例えば0.8nm以下、さらには2〜3原子層に対応する0.4nm前後の厚さで一様に、かつ安定に形成するのは、従来より非常に困難であった。
【0014】
また、ベース酸化膜12上に形成される高誘電体ゲート絶縁膜13の機能を発現させるためには、堆積した高誘電体膜13を熱処理により結晶化し、また酸素欠損補償を行う必要があるが、このような熱処理を高誘電体膜13に対して行った場合、ベース酸化膜12の膜厚が増大してしまい、高誘電体ゲート絶縁膜13を使うことによるゲート絶縁膜の実効的な膜厚の減少が、実質的に相殺されてしまっていた。
【0015】
このような熱処理に伴うベース酸化膜12の膜厚の増大は、シリコン基板11とベース酸化膜12の界面における、酸素原子およびシリコン原子の相互拡散、およびこれに伴うシリケート遷移層の形成、あるいはシリコン基板中への酸素の侵入によるベース酸化膜12の成長の可能性を示唆している。このようなベース酸化膜12の熱処理に伴う膜厚増大の問題は、特にベース酸化膜12の膜厚が、ベース酸化膜として望ましい数原子層以下の膜厚まで低減された場合、非常に深刻な問題になる。
【0016】
そこで、本発明は上記の課題を解決した、新規で有用な基板処理装置を提供することを概括的課題とする。
【0017】
本発明のより具体的な課題は、シリコン基板表面に非常に薄い、典型的には2〜3原子層分の厚さの酸化膜を安定に形成し、さらにこれを窒化して酸窒化膜を形成することのできる基板処理装置を提供することにある。
【0018】
さらに、本発明の他の課題は、上記のような課題を解決すると共に、酸化膜の均一性やスループットの改善、及びコンタミネーションの防止を図るように構成された基板処理装置を提供することにある。
【0019】
【課題を解決するための手段】
本発明は上記の課題を解決するため、以下のような特徴を有する。
【0020】
上記請求項1記載の発明は、
内部に処理空間が画成された処理容器と、
前記処理空間に挿入された被処理基板を所定温度に加熱するヒータ部と、
前記被処理基板を前記ヒータ部に対向する位置に保持する保持部材と、
前記保持部材の軸の周囲を覆うように形成された隔壁と、
前記隔壁の外側に設けられた駆動側マグネットと前記隔壁の内側に設けられた従動側マグネットとを対向配置させ、前記ヒータ部を貫通する前記保持部材の軸に回転駆動力を伝達するマグネットカップリングと、
前記マグネットカップリングを介して前記保持部材の軸を回転駆動する回転駆動手段と、を備えたことを特徴とする基板処理装置である。
【0021】
また、請求項2記載の発明は、前記隔壁の内部で前記保持部材の軸をセラミックにより形成された回転軸に挿入し、前記回転軸の外周に従動側マグネットを固定したことを特徴とするものである。
【0022】
また、請求項3記載の発明は、前記隔壁は、上端が前記ヒータ部の底部に固定された有底筒状に形成され、前記内部に前記回転軸を回転自在に軸承するセラミック製の軸受を保持することを特徴とするものである。
【0023】
また、請求項4記載の発明は、前記従動側マグネットが密閉されたケースに収納されたことを特徴とするものである。
【0024】
また、請求項5記載の発明は、前記処理容器及び前記ヒータ部の内部を減圧にすると共に、前記隔壁に画成された内部空間を減圧する減圧手段を備えたことを特徴とするものである。
【0025】
本発明によれば、保持部材の軸を囲む隔壁の外側に設けられた駆動側マグネットと隔壁の内側に設けられた従動側マグネットとを対向配置させたマグネットカップリングを介して保持部材の軸を回転駆動することにより、隔壁によって従動側マグネットによるコンタミネーションを防止すると共に、回転駆動部をコンパクト化して装置の小型化が図れる。さらに、被処理基板を安定に回転させて被処理基板の成膜処理の効率化を図り、生産性を高めることが可能になる。
【0026】
また、本発明によれば、隔壁の内部で保持部材の軸をセラミックにより形成された回転軸に挿入し、回転軸の外周に従動側マグネットを固定することにより、回転駆動領域における潤滑剤の使用を止めて潤滑剤によるコンタミネーションを防止すると共に、回転部分の耐久性を高めることが可能になる。
【0027】
また、本発明によれば、隔壁がヒータ部の底部に固定された有底筒状に形成され、隔壁の内部に回転軸を回転自在に軸承するセラミック製の軸受を保持することにより、回転駆動領域における金属によるコンタミネーションを防止すると共に、潤滑剤を使用せずに済み、且つ回転部分の耐久性を高めることができる。
【0028】
また、本発明によれば、従動側マグネットが密閉されたケースに収納されているので、従動側マグネットから放出されるガスによるコンタミネーションを防止することが可能になる。
【0029】
また、本発明によれば、処理容器及びヒータ部の内部を減圧にすると共に、隔壁に画成された内部空間を減圧する減圧手段を備えているので、回転駆動領域における潤滑剤によるコンタミネーションを防止することが可能になる。
【0030】
【発明の実施の形態】
以下、図面と共に本発明の一実施例について説明する。
【0031】
図2は本発明になる基板処理装置の一実施例の構成を示す正面図である。図3は本発明になる基板処理装置の一実施例の構成を示す側面図である。図4は図2中A−A線に沿う横断面図である。
【0032】
図2乃至図4に示されるように、基板処理装置20は、後述するように、シリコン基板の紫外光ラジカル酸化処理と、かかる紫外光ラジカル酸化処理により形成された酸化膜の高周波リモートプラズマを使ったラジカル窒化処理とを、連続して行うことができるように構成されている。
【0033】
基板処理装置20の主要構成は、内部に処理空間が画成された処理容器22と、処理容器22の内部に挿入された被処理基板(シリコン基板)を所定温度に加熱するヒータ部24と、処理容器22の上部に搭載された紫外線照射部26と、窒素ラジカルを供給するリモートプラズマ部27と、被処理基板を回転させる回転駆動部28と、処理空間に挿入された被処理基板を昇降させるリフタ機構30と、処理容器22の内部を減圧するための排気経路32と、処理容器22の内部にガス(窒素ガス、酸素ガス等のプロセスガス)を供給するためのガス供給部34とからなる。
【0034】
また、基板処理装置20は、上記各主要構成部を支持するためのフレーム36を有する。フレーム36は、鉄骨を立体的に組み合わせたものであり、床面に載置される台形状の底部フレーム38と、底部フレーム38の後部より垂直方向に起立された垂直フレーム40,41と、垂直フレーム40の中間部より水平方向に延在するように横架された中間フレーム42と、垂直フレーム40,41の上端部より水平方向に横架された上部フレーム44とから構成されている。
【0035】
底部フレーム38には、冷却水供給部46、電磁弁からなる排気用バルブ48a,48b、ターボ分子ポンプ50、真空管路51、紫外線照射部26の電源ユニット52、リフタ機構30の駆動部136、ガス供給部34などが搭載されている。
【0036】
垂直フレーム40の内部には、各種ケーブルが挿通されるケーブルダクト40aが形成されている。また、垂直フレーム41の内部には、排気ダクト41aが形成されている。さらに、垂直フレーム40の中間部に固定されたブラケット58には、緊急停止スイッチ60が取り付けられ、垂直フレーム41の中間部に固定されたブラケット62には、冷却水による温度調整を行う温度調整器64が取り付けられている。
【0037】
中間フレーム42には、上記処理容器22、紫外線照射部26、リモートプラズマ部27、回転駆動部28、リフタ機構30、UVランプコントローラ57が支持されている。また、上部フレーム44には、ガス供給部34から引き出された複数のガス管路58が連通されたガスボックス66、イオンゲージコントローラ68、圧力制御を行うAPCコントローラ70、ターボ分子ポンプ50を制御するTMPコントローラ72などが搭載されている。
【0038】
図5は処理容器22の下方に配置された機器の構成を示す正面図である。図6は処理容器22の下方に配置された機器の構成を示す平面図である。図7は処理容器22の下方に配置された機器の構成を示す側面図である。図8は排気経路32の構成を示す図であり、(A)は平面図、(B)は正面図、(C)はB−B線に沿う縦断面図である。
【0039】
図5乃至図7に示されるように、処理容器22の後部下方には、処理容器22内部のガスを排気する排気経路32が設けられている。この排気経路32は、横幅寸法が処理容器22の内部に形成された処理空間の横幅と略同一寸法に形成された長方形状の排気口74と連通するように取り付けられている。
【0040】
このように、排気口74が処理容器22内部の横幅寸法に応じた長さに延在形成されているため、処理容器22の前部22a側から内部に供給されたガスは、後述するように処理容器22の内部を通過して後方へ向かう流れとなり、一定流速(層流)のまま排気経路32へ効率良く排気される。
【0041】
図8(A)〜(C)に示されるように、排気経路32は、排気口74に連通される長方形状の開口部32aと、開口部32aの左右側面が下方に向けてテーパ状に傾斜したテーパ部32bと、テーパ部32bの下端で通路面積が絞られた底部32cと、底部32cから前方に突出するL字状の主排気管32dと、主排気管32dの下端に開口する排出口32eと、テーパ部32bの下部32fに開口するバイパス用排出口32gとを有する。排出口32eは、ターボ分子ポンプ50の吸気口に連通される。また、バイパス用排出口32gは、バイパス管路51aに連通される。
【0042】
図5乃至図7に示されるように、処理容器22の排気口74から排出されたガスは、ターボ分子ポンプ50の吸引力により長方形状に形成された開口部32aから流入してテーパ部32bを通過して底部32cに至り、主排気管32d及び排出口32eを介してターボ分子ポンプ50に導かれる。
【0043】
ターボ分子ポンプ50の吐出管50aは、バルブ48aを介して真空管路51に連通されている。そのため、処理容器22の内部に充填されたガスは、バルブ48aが開弁されると、ターボ分子ポンプ50を介して真空管路51へ排出される。また、排気経路32のバイパス用排出口32gには、バイパス管路51aが接続されており、このバイパス管路51aは、バルブ48bの開弁により真空管路51と連通される。
【0044】
ここで、本発明の要部を構成する処理容器22及びその周辺機器の構成について説明する。
【0045】
〔処理容器22の構成〕
図9は処理容器22及びその周辺機器を拡大して示す側面縦断面図である。図10は蓋部材82を外した処理容器22の内部を上方からみた平面図である。
図9及び図10に示されるように、処理容器22は、チャンバ80の上部開口を蓋部材82により閉塞する構成であり、内部がプロセス空間(処理空間)84になっている。
【0046】
処理容器22は、前部22aにガスが供給される供給口22gが形成され、後部22bに搬送口94が形成されている。供給口22gには、後述するガス噴射ノズル部93が設けられ、搬送口94には後述するゲートバルブ96が連通される。
【0047】
図11は処理容器22の平面図である。図12は処理容器22の正面図である。図13は処理容器22の底面図である。図14は図12中C−C線に沿う縦断面図である。図15は処理容器22の右側面図である。図16は処理容器22の左側面図である。
【0048】
図11乃至図16に示されるように、処理容器22の底部22cには、ヒータ部24が挿入される開口73と、前述した長方形状に開口した排気口74とが設けられている。排気口74には、前述した排気経路32が連通される。尚、チャンバ80及び蓋部材82は、例えば、アルミ合金を切削加工して上記のような形状に加工したものである。
【0049】
また、処理容器22の右側面22eには、プロセス空間84を覗くための第1、第2の窓75,76と、プロセス空間84の温度を測定するためのセンサユニット77が取り付けられている。
【0050】
本実施例では、右側面22eの中央より左側に楕円形に形成された第1の窓75が配置され、右側面22eの中央より右側に円形に形成された第2の窓76が配置されているので、両方向からプロセス空間84に保持された被処理基板Wの状態を直接目視することができるので、被処理基板Wの成膜状況などを観測するのに有利である。
【0051】
尚、窓75,76は、熱電対などの温度測定器具を挿入する場合に処理容器22から外すことが可能な構成になっている。
【0052】
また、処理容器22の左側面22dには、プロセス空間84の圧力を測定するためのセンサユニット85が取り付けられている。このセンサユニット85には、測定レンジの異なる3個の圧力計85a〜85cが設けられており、プロセス空間84の圧力変化を高精度に測定することが可能である。
【0053】
また、プロセス空間84を形成する処理容器22の内壁の四隅には、R形状に形成された湾曲部22hが設けられており、この湾曲部22hにより応力集中を回避すると共に、ガス噴射ノズル部93から噴射されたガス流が安定化するように作用する。
【0054】
〔紫外線照射部26の構成〕
図8乃至図11に示されるように、紫外線照射部26は、蓋部材82の上面に取り付けられている。この紫外線照射部26の筐体26aの内部には、円筒状に形成された2本の紫外線光源(UVランプ)86,87が所定間隔で平行に配置されている。
【0055】
この紫外線光源86,87は、波長が172nmの紫外線を発光する特性を有しており、蓋部材82に形成された横方向に延在形成された長方形状の開口82a,82bを介してプロセス空間84に保持された被処理基板Wの上面に対向するようにプロセス空間84の前側半分(図8では左半分)の領域に紫外線を照射する位置に設けられている。
【0056】
また、直線状に延在する紫外線光源86,87から被処理基板W上に照射される紫外線の強度分布は、一様ではなく、被処理基板Wの半径方向の位置によって変化しており、一方が被処理基板Wの外周側ほど減少し、他方が内周側ほど減少する。このように紫外線光源86,87は、単独では単調に変化する紫外線強度分布を被処理基板W上に形成するが、被処理基板Wに対する紫外線強度分布の変化方向が逆になっている。
【0057】
そのため、紫外線光源86,87の駆動パワーをUVランプコントローラ57の制御により最適化することにより、被処理基板W上に非常に一様な紫外線強度分布を実現することが可能になる。
【0058】
尚、かかる駆動パワーの最適値は、紫外線光源86,87への駆動出力を変化させて成膜結果を評価することで最適値を求めることができる。
【0059】
また、被処理基板Wと紫外線光源86,87の円筒形状の円筒芯の中心との距離は、例えば、50〜300mmに設定されており、望ましくは100〜200mm程度が良い。
【0060】
図17は紫外線光源86,87の取付構造を拡大して示す縦断面図である。
図17に示されるように、紫外線光源86,87は、紫外線照射部26の筐体26aの底部開口26bに対向する位置に保持されている。そして、底部開口26bは、プロセス空間84に保持された被処理基板Wの上面に対向する位置に開口すると共に、紫外線光源86,87の全長よりも長い横幅寸法の長方形状に形成されている。
【0061】
底部開口26bの周縁部26cには、透明な石英により形成された透明窓88が取り付けられている。透明窓88は、紫外線光源86,87から照射された紫外線をプロセス空間84に透過する共に、プロセス空間84が減圧されたときの圧力差にも耐える強度を有している。
【0062】
また、透明窓88の下面周縁部には、底部開口26bの周縁部26cの溝内に装着されたシール部材(Oリング)89が当接するシール面88aが形成されている。このシール面88aは、シール部材89を保護するためのコーティングまたは黒石英により形成されている。これにより、シール部材89の材質が分解せず、劣化を防止してシール性能を確保すると共に、シール部材89の材質がプロセス空間84に侵入することを防止する。
【0063】
また、透明窓88の上面周縁部には、ステンレス製のカバー88bが当接しており、透明窓88を締結部材91により挟持する際の強度を高めることで、締結時の押圧力により透明窓88が破損することを防止する。
【0064】
また、本実施例では、紫外線光源86,87及び透明窓88がガス噴射ノズル部93から噴射されたガス流の流れ方向に対して直交する方向に延在するように設けたが、これに限らず、例えば、紫外線光源86,87及び透明窓88をガス流の流れ方向に延在する方向に設けるようにしても良い。
【0065】
〔ガス噴射ノズル部93の構成〕
図9及び図10に示されるように、処理容器22は、前部22aに開口する供給口22gに窒素ガスまたは酸素ガスをプロセス空間84内部に噴射するガス噴射ノズル部93が設けられている。このガス噴射ノズル部93は、後述するようにプロセス空間84の横幅方向に複数の噴射口93aが一列に配置されており、複数の噴射口93aから噴射されたガスが層流状態で被処理基板Wの表面を通過するようにプロセス空間84の内部に安定した流れを発生させる。
【0066】
尚、プロセス空間84を閉塞する蓋部材82の下面と被処理基板Wとの距離は、例えば、5〜100mmに設定されており、望ましくは25〜85mm程度が良い。
【0067】
〔ヒータ部24の構成〕
図9及び図10に示されるように、ヒータ部24は、アルミ合金製のベース110と、ベース110上に固定された透明な石英ベルジャ112と、石英ベルジャ112の内部空間113に収納されたSiCヒータ114と、不透明石英により形成された熱反射部材(リフレクタ)116と、石英ベルジャ112の上面に載置されSiCヒータ114により加熱されるSiCサセプタ(加熱部材)118と、を備えた構成である。
【0068】
そのため、SiCヒータ114及び熱反射部材116は、石英ベルジャ112の内部空間113に隔離されており、プロセス空間84でのコンタミネーションが防止される。また、洗浄工程においては、プロセス空間84内に露出されたSiCサセプタ118のみを洗浄すれば良いので、SiCヒータ114及び熱反射部材116を洗浄する手間を省略することが可能になる。
【0069】
被処理基板Wは、保持部材120によりSiCサセプタ118の上方に対向するように保持される。一方、SiCヒータ114は、熱反射部材116の上面に載置されており、SiCヒータ114の発熱は、SiCサセプタ118に放射されると共に、熱反射部材116で反射された熱もSiCサセプタ118に放射される。尚、本実施例のSiCヒータ114は、SiCサセプタ118から僅かに離間した状態で約700°Cの温度に加熱される。
【0070】
SiCサセプタ118は、熱伝導率が良いので、SiCヒータ114からの熱を被処理基板Wに効率良く伝達して被処理基板Wが周縁部分と中心部分との温度差を無くして、被処理基板Wが温度差で反ることを防止する。
【0071】
〔回転駆動部28の構成〕
図9及び図10に示されるように、回転駆動部28は、SiCサセプタ118の上方で被処理基板Wを保持する保持部材120と、上記ベース110の下面に固定されたケーシング122と、ケーシング122により画成された内部空間124内で保持部材120の軸120dに結合されたセラミック軸126を回転駆動させるモータ128と、モータ128の回転を伝達するためのマグネットカップリング130とから構成されている。
【0072】
回転駆動部28においては、保持部材120の軸120dが石英ベルジャ112を貫通してセラミック軸126に結合され、セラミック軸126とモータ128の回転軸との間がマグネットカップリング130を介して非接触で駆動力を伝達する構成であるので、回転駆動系の構成がコンパクトになっており、装置全体の小型化にも寄与している。
【0073】
保持部材120は、軸120dの上端より水平方向に放射状(周方向に120度間隔)に延在する腕部120a〜120cを有する。被処理基板Wは、保持部材120の腕部120a〜120cに載置された状態で保持される。このように保持された被処理基板Wは、保持部材120と共にモータ128により一定の回転速度で回転されており、これによりSiCヒータ114の発熱による温度分布が平均化されると共に、紫外線光源86,87から照射される紫外線の強度分布が均一になり、表面に均一な成膜が施される。
【0074】
〔リフタ機構30の構成〕
図9及び図10に示されるように、リフタ機構30は、チャンバ80の下方、且つ石英ベルジャ112の側方に設けられ、チャンバ80内に挿入された昇降アーム132と、昇降アーム132に連結された昇降軸134と、昇降軸134を昇降させる駆動部136とから構成されている。昇降アーム132は、例えば、セラミックまたは石英により形成されており、図10に示されるように、昇降軸134の上端が結合された結合部132aと、SiCサセプタ118の外周を囲む環状部132bとを有する。そして、昇降アーム132には、環状部132bの内周より中心に延在する3本の当接ピン138a〜138cが周方向に120度間隔で設けられている。
【0075】
当接ピン138a〜138cは、SiCサセプタ118の外周から中心に向かって延在形成された溝118a〜118cに嵌合する位置に降下しており、昇降アーム132が上昇することによりSiCサセプタ118の上方に移動する。また、当接ピン138a〜138cは、SiCサセプタ118の中心より外周側に延在するように形成された保持部材120の腕部120a〜120cと干渉しないように配置されている。
【0076】
昇降アーム132は、搬送ロボット98のロボットハンドが被処理基板Wを取り出す直前に上記当接ピン138a〜138cを被処理基板Wの下面に当接させて被処理基板Wを保持部材120の腕部120a〜120cより持ち上げる。これにより、搬送ロボット98のロボットハンドは、被処理基板Wの下方に移動することが可能になり、昇降アーム132が降下することで被処理基板Wを保持して搬送することが可能になる。
【0077】
〔石英ライナ100の構成〕
図9及び図10に示されるように、処理容器22の内部には、紫外線を遮断するため、例えば白色などの不透明石英により形成された石英ライナ100が装着されている。また、石英ライナ100は、後述するように下部ケース102と、側面ケース104と、上部ケース106と、石英ベルジャ112の外周を覆う円筒状ケース108とを組み合わせた構成になっている。
【0078】
この石英ライナ100は、プロセス空間84を形成する処理容器22及び蓋部材82の内壁を覆うことにより、処理容器22及び蓋部材82の熱膨張を防止する断熱効果が得られると共に、処理容器22及び蓋部材82の内壁が紫外線によって酸化することを防止し、且つ金属のコンタミネーションを防止する役目を有している。
【0079】
〔リモートプラズマ部27の構成〕
図9及び図10に示されるように、プロセス空間84に窒素ラジカルを供給するリモートプラズマ部27は、処理容器22の前部22aに取り付けられており、供給管路90を介して処理容器22の供給口92に連通されている。
【0080】
このリモートプラズマ部27では、Arなどの不活性ガスと共に窒素ガスが供給され、これをプラズマにより活性化することにより、窒素ラジカルを形成することが可能である。このようにして形成された窒素ラジカルは、被処理基板Wの表面に沿って流れ、基板表面を窒化する。
【0081】
また、窒素ガスの他に、O,NO,NO,NO,NHガス等を用いた酸化、酸窒化ラジカルプロセスも実施可能である。
【0082】
〔ゲートバルブ96の構成〕
図9及び図10に示されるように、処理容器22の後部には、被処理基板Wを搬送するための搬送口94が設けられている。この搬送口94は、ゲートバルブ96により閉塞されており、被処理基板Wを搬送するときのみゲートバルブ96の開動作により開放される。
【0083】
ゲートバルブ96の後方には、搬送ロボット98が設けられている。そして、ゲートバルブ96の開動作に合わせて搬送ロボット98のロボットハンドが搬送口94よりプロセス空間84内部に進入して被処理基板Wの交換作業を行う。
〔上記各構成部の詳細〕
(1)ここで、上記ガス噴射ノズル部93の構成について詳細に説明する。
図18はガス噴射ノズル部93の構成を拡大して示す縦断面図である。図19はガス噴射ノズル部93の構成を拡大して示す横断面図である。図20はガス噴射ノズル部93の構成を拡大して示す正面図である。
【0084】
図18乃至図20に示されるように、ガス噴射ノズル部93は、前面中央に上記リモートプラズマ部27の供給管路90が連通される連通孔92を有し、連通孔92の上方に複数の噴射孔93a〜93aが横方向に一列に配設されたノズル板93b〜93bが取り付けられている。噴射孔93a〜93aは、例えば、直径1mmの小孔であり、10mm間隔で設けられている。
【0085】
また、本実施例では、小孔からなる噴射孔93a〜93aを設けたが、これに限らず、例えば、細いスリットを噴射孔として設ける構成としても良い。
【0086】
また、ノズル板93b〜93bは、ガス噴射ノズル部93の壁面に締結されている。そのため、噴射孔93a〜93aから噴射されたガスは、ガス噴射ノズル部93の壁面より前方に流れる。
【0087】
例えば、噴射孔93a〜93aがパイプ状のノズル管路に設けられている場合には、噴射孔93a〜93aから噴射されたガスの一部がノズル管路の後方に回り込むような流れが生じてしまい、プロセス空間84内にガス溜まりが発生して被処理基板W周辺のガス流が安定しないという問題が生じる。
【0088】
しかしながら、本実施例では、噴射孔93a〜93aがガス噴射ノズル部93の壁面に形成される構成であるので、このようなガスがノズル後方に戻るという現象が発生せず、被処理基板W周辺のガス流を安定した層流状態に保つことが可能になる。これにより、被処理基板W上の成膜が均一に形成される。
【0089】
また、各ノズル板93b〜93bに対向する内壁には、ガス溜まりとして機能する凹部93c〜93cが形成されている。この凹部93c〜93cが噴射孔93a〜93aの上流に設けられているので、各噴射孔93a〜93aから噴射されるガスの夫々の流速を平均化にすることができる。これにより、プロセス空間84の全域における流速を平均化することが可能になる。
【0090】
さらに、各凹部93c〜93cは、ガス噴射ノズル部93を貫通するガス供給孔93d〜93dが連通されている。尚、中央のガス供給孔93dは、連通孔92と交差しないように横方向にずらした位置に形成されており、クランク形状に曲げられている。
【0091】
そして、中央のガス供給孔93dには、第1のマスフローコントローラ97aによって流量制御されたガスがガス供給管路99を介して供給される。また、ガス供給孔93dの左右に配置されたガス供給孔93d,93dには、第2のマスフローコントローラ97bによって流量制御されたガスがガス供給管路99,99を介して供給される。
【0092】
また、第1のマスフローコントローラ97a及び第2のマスフローコントローラ97bは、ガス供給管路99,99を介してガス供給部34と接続されており、ガス供給部34から供給されるガスの流量を予め設定された流量に制御する。
【0093】
第1のマスフローコントローラ97a及び第2のマスフローコントローラ97bから供給されたガスは、ガス供給管路99〜99を介してガス供給孔93d〜93dに至り、各凹部93c〜93cに充填された後、噴射孔93a〜93aからプロセス空間84に向けて噴射される。
【0094】
プロセス空間84内のガスは、処理容器22の前部22aの横幅方向に延在する各ノズル板93b〜93bの噴射孔93a〜93aからプロセス空間84の全幅に向かって噴射されるため、プロセス空間84の全域で一定流速(層流)で処理容器22の後部22b側へ流れる。
【0095】
さらに、処理容器22の後部22b側には、後部22bの横幅方向に延在する長方形状の排気口74が開口しているため、プロセス空間84内のガスは、後方へ向かう流れとなり、一定流速(層流)のまま排気経路32へ排気される。
【0096】
また、本実施例においては、2系統の流量制御が可能であるので、例えば、第1のマスフローコントローラ97aと第2のマスフローコントローラ97bとで異なる流量制御することも可能である。
【0097】
これにより、プロセス空間84内に供給されるガスの流量(流速)を異なるように設定してプロセス空間84内におけるガスの濃度分布を変化させることも可能である。さらには、第1のマスフローコントローラ97aと第2のマスフローコントローラ97bとで異なる種類のガスを供給することもでき、例えば、第1のマスフローコントローラ97aにより窒素ガスの流量制御を行い、第2のマスフローコントローラ97bにより酸素ガスの流量制御を行うことも可能である。
【0098】
使用ガスとしては、例えば、酸素含有ガス、窒素含有ガス、並びに希ガス等が挙げられる。
【0099】
(2)ここで、ヒータ部24の構成について詳細に説明する。
図21はヒータ部24の構成を拡大して示す縦断面図である。図22はヒータ部24を拡大して示す底面図である。
【0100】
図21及び図22に示されるように、ヒータ部24は、アルミ合金製のベース110に石英ベルジャ112を載置し、処理容器22の底部22cにフランジ140を介して固定される。そして、石英ベルジャ112の内部空間113には、SiCヒータ114及び熱反射部材116が収納される。そのため、SiCヒータ114及び熱反射部材116は、処理容器22のプロセス空間84から隔離されており、プロセス空間84のガスと接触せず、コンタミネーションが生じない構成になっている。
【0101】
SiCサセプタ118は、SiCヒータ114と対向するように石英ベルジャ112上に載置されており、パイロメータ119によって温度が測定される。このパイロメータ119は、SiCサセプタ118が加熱されるのに伴って生じる焦電効果(パイロ電気効果)によりSiCサセプタ118の温度を測定するものであり、制御回路では、パイロメータ119により検出された温度信号から被処理基板Wの温度を推測し、この推測温度に基づいてSiCヒータ114の発熱量を制御する。
【0102】
また、石英ベルジャ112の内部空間113は、後述するように処理容器22のプロセス空間84を減圧するとき、プロセス空間84との圧力差が小さくなるように減圧システムが作動して同時に減圧される。そのため、石英ベルジャ112は、減圧工程時の圧力差を考慮して肉厚(例えば30mm程度)にする必要がなく、熱容量が小さくて済み、その分加熱時の応答性を高められる。
【0103】
ベース110は、円盤状に形成されており、中央に保持部材120の軸120dが挿通される中央孔142を有し、内部には周方向に延在形成された冷却水用の第1の水路144が設けられている。ベース110は、アルミ合金製であるので、熱膨張率が大きいが、第1の水路144に冷却水を流すことにより、冷却される。
【0104】
また、フランジ140は、ベース110と処理容器22の底部22cとの間に介在する第1のフランジ146と、第1のフランジ146の内周に嵌合する第2のフランジ148とを組み合わせた構成である。第1のフランジ146の内周面には、周方向に延在形成された冷却水用の第2の水路150が設けられている。
【0105】
上冷却水供給部46から供給された冷却水は、上記水路144及び150を流れることにより、SiCヒータ114の発熱により加熱されたベース110及びフランジ140を冷却してベース110及びフランジ140の熱膨張を抑える。
【0106】
また、ベース110の下面には、水路144に冷却水を流入させる第1の流入管路152が連通される第1の流入口154と、水路144を通過した冷却水を排出する流出管路156が連通される第1の流出口158とが設けられている。さらに、ベース110の下面の外周近傍には、第1のフランジ146に締結されるボルト160を挿通するための取付孔162が周方向に複数(例えば、8〜12箇所程度)設けられている。
【0107】
また、ベース110下面の半径方向上の中間位置付近には、SiCヒータ114の温度を測定するための熱電対からなる温度センサ164と、SiCヒータ114に電源を供給するための電源ケーブル接続用端子(ソルトン端子)166a〜166fが設けられている。尚、SiCヒータ114には、3つの領域が形成されており、電源ケーブル接続用端子166a〜166fは各領域に電源を供給する+側端子、−側端子として設けられている。
【0108】
また、フランジ140の下面には、水路150に冷却水を流入させる第2の流入管路168が連通される第2の流入口170と、水路150を通過した冷却水を排出する流出管路172が連通される第2の流出口174とが設けられている。
【0109】
図23は第2の流入口170及び第2の流出口174の取付構造を拡大して示す縦断面図である。図24はフランジ140の取付構造を拡大して示す縦断面図である。
【0110】
図23に示されるように、第1のフランジ146には、第2の流入口170が連通されたL字状の連通孔146aが設けられている。この連通孔146aの端部は、水路150に連通されている。また、第2の流出口174も上記第2の流入口170と同様な構成で水路150に連通されている。
【0111】
水路150は、フランジ140の内部に周方向に延在形成されているため、フランジ140を冷却することにより、第1のフランジ146の段部146bとベース110との間で挟持された石英ベルジャ112の鍔部112aの温度も間接的に冷却している。これにより、石英ベルジャ112の鍔部112aが半径方向に熱膨張することを抑制することができる。
【0112】
図23及び図24に示されるように、石英ベルジャ112の鍔部112aの下面には、複数の位置決め孔178が周方向に所定間隔毎に設けられている。この位置決め孔178は、ベース110の上面に螺入されたピン176が嵌合する孔であるが、熱膨張率の大きいベース110が半径方向に熱膨張したときに鍔部112aに負荷がかからないようにピン176の外径よりも大径に形成されている。すなわち、ピン176と位置決め孔178とのクリアランス分だけ石英ベルジャ112の鍔部112aに対するベース110の熱膨張が許容される。
【0113】
また、石英ベルジャ112の鍔部112aは、第1のフランジ146の段部146bに対して半径方向のクリアランスが設けられているので、この点からもこのクリアランス分だけベース110の熱膨張が許容される。
【0114】
石英ベルジャ112の鍔部112aの下面は、ベース110の上面に装着されたシール部材(Oリング)180によってシールされ、石英ベルジャ112の鍔部112aの上面は、第1のフランジ146に装着されたシール部材(Oリング)182によってシールされる。
【0115】
さらに、第1のフランジ146及び第2のフランジ148の上面は、処理容器22の底部22cに装着されたシール部材(Oリング)184,186によってシールされる。また、第2のフランジ148の下面は、ベース110の上面に装着されたシール部材(Oリング)188によってシールされる。
【0116】
このように、ベース110とフランジ140との間、及びフランジ140と処理容器22の底部22cとの間は、夫々2重シール構造になっており、どれか一つのシール部材が破損しても他のシール部材によってシールすることができるので、処理容器22とヒータ部24との間のシール構造に対する信頼性がより高められている。
【0117】
例えば、石英ベルジャ112が割れた場合、あるいは鍔部112aにひび割れが生じた場合、鍔部112aよりも外側に配置されたシール部材180によって石英ベルジャ112内部の気密性が確保され、処理容器22内のガスが外部に流出することが阻止される。
【0118】
あるいは、ヒータ部24に近い方のシール部材180,182が劣化した場合でも、ヒータ部24よりも離れた位置に装着された外側のシール部材186,188によって処理容器22とベース110との間のシール性能が維持されるため、経年変化によるガス漏れも防止できる。
【0119】
図21に示されるように、SiCヒータ114は、石英ベルジャ112の内部空間113において、熱反射部材116の上面に載置されており、且つベース110の上面に起立する複数のクランプ機構190によって所定高さに保持されている。
【0120】
このクランプ機構190は、熱反射部材116の下面に当接する外筒190aと、外筒190aを貫通してSiCヒータ114の上面に当接する軸190bと、軸190bに対して外筒190aを押圧するコイルバネ192とを有する。
【0121】
そして、クランプ機構190は、コイルバネ192のバネ力でSiCヒータ114及び熱反射部材116を挟持する構成になっているため、例えば、運搬時の振動が入力された場合でもSiCヒータ114及び熱反射部材116が石英ベルジャ112に接触しないように保持することが可能になる。また、上記コイルバネ192のバネ力が常に作用することで、熱膨張によるネジのゆるみも防止されており、SiCヒータ114及び熱反射部材116はがたつきの無い安定状態に保持される。
【0122】
また、各クランプ機構190は、ベース110に対してSiCヒータ114及び熱反射部材116の高さ位置を任意の位置に調整できるように構成されており、複数のクランプ機構190の高さ位置調整によってSiCヒータ114及び熱反射部材116の水平に保持することが可能になる。
【0123】
さらに、石英ベルジャ112の内部空間113には、SiCヒータ114の各端子とベース110に挿通された電源ケーブル接続用端子166a〜166fとを電気的に接続するための接続部材194a〜194f(但し、図21には接続部材194a,194cが図示してある)取り付けられている。
【0124】
図25はクランプ機構190の上端部の取付構造を拡大して示す縦断面図である。
図25に示されるように、クランプ機構190は、熱反射部材116の挿通孔116a及びSiCヒータ114の挿通孔114eに挿通された軸190bの上端に螺入されたナット193を締め付けることで、ワッシャ195を介してL字状ワッシャ197,199を軸方向に押圧してSiCヒータ114を挟持する。
【0125】
SiCヒータ114は、挿通孔114eにL字状ワッシャ197,199の円筒部197a、199aが挿入され、円筒部197a,199a内にクランプ機構190の軸190bが挿通される。そして、L字状ワッシャ197,199の鍔部197b,199bがSiCヒータ114の上面,下面に当接する。
【0126】
クランプ機構190の軸190bは、上記コイルバネ192のバネ力により下方に付勢され、且つクランプ機構190の外筒190aは、上記コイルバネ192のバネ力により上方に付勢されている。このように、コイルバネ192のバネ力がクランプ力として作用するため、熱反射部材116及びSiCヒータ114は、安定的に保持され、運搬時の振動による破損が防止される。
【0127】
SiCヒータ114の挿通孔114eは、L字状ワッシャ197a,197bの円筒部197c、197dよりも大径であり、クリアランスが設けられている。そのため、SiCヒータ114の発熱によって生じる熱膨張により挿通孔114eと軸190bとの位置が相対変位した場合、挿通孔114eはL字状ワッシャ197,199の鍔部197b,199bに当接したまま水平方向にずれることが可能になり、熱膨張に伴う応力の発生が防止される。
【0128】
(3)ここで、SiCヒータ114について説明する。
図26に示されるように、SiCヒータ114は、中心部に円形状に形成された第1の発熱部114aと、第1の発熱部114aの外周を囲むように円弧状に形成された第2、第3の発熱部114b,114cとから構成されている。また、SiCヒータ114の中心には、保持部材120の軸120dが挿通される挿通孔114dが設けられている。
【0129】
発熱部114a〜114cは、夫々ヒータ制御回路196に並列に接続されており、温度調整器198によって設定された任意の温度に制御される。ヒータ制御回路196では、電源200から発熱部114a〜114cに供給される電圧を制御することによりSiCヒータ114から放射される発熱量を制御する。
【0130】
また、発熱部114a〜114cによって容量が異なると、電源200の負担が増大するため、本実施例では、各発熱部114a〜114cの容量(2KW)が同一になるように抵抗が設定されている。
【0131】
ヒータ制御回路196は、発熱部114a〜114cを同時に通電して発熱させる制御方法Iと、被処理基板Wの温度分布状況に応じて中心の第1の発熱部114aあるいは外側の第2、第3の発熱部114b,114cの何れか一方を発熱させる制御方法IIと、被処理基板Wの温度変化に応じて発熱部114a〜114cを同時に発熱させたり、第1の発熱部114aあるいは第2、第3の発熱部114b,114cの何れかを発熱させたりする制御方法IIIを選択することができる。
【0132】
被処理基板Wは、上記保持部材120により保持された状態で回転しながら各発熱部114a〜114cの発熱により加熱される際、外周側と中心部分との温度差によって周縁部分が上方に反ることがある。しかしながら、本実施例では、SiCヒータ114は、熱伝導率が良いSiCサセプタ118を介して被処理基板Wを加熱するため、被処理基板Wの全体がSiCヒータ114からの熱で加熱され、被処理基板Wの周縁部分と中心部分との温度差を小さく抑えられて、被処理基板Wが反ることを防止する。
【0133】
(4)ここで、石英ベルジャ112の構成について詳細に説明する。
図27は石英ベルジャ112の構成を示す図であり、(A)は平面図、(B)は縦断面図である。図28は石英ベルジャ112の構成を示す図であり、(A)は上方からみた斜視図、(B)は下方からみた斜視図である。
【0134】
図27(a)(b)及び図28(A)(B)に示されるように、石英ベルジャ112は、透明な石英により形成されており、前述した鍔部112aの上方に形成された円筒部112bと、円筒部112bの上方を覆う天板112cと、天板112cの中央より下方に延在する中空部112dと、鍔部112aの内側に形成される開口に横架された補強のための梁部112eとを有する。
【0135】
鍔部112a及び天板112cは、荷重を受けるので、円筒部112bよりも厚く形成されている。また、石英ベルジャ112は、縦方向に延在する中空部112dと横方向に延在する梁部112eとが内部で交差しているため、上下方向及び半径方向の強度が高められている。
【0136】
また、梁部112eの中間位置には、中空部112dの下端部分が結合されており、中空部112d内の挿通孔112fは梁部112eも貫通している。この挿通孔112fには、保持部材120の軸120dが挿通される。
【0137】
そして、石英ベルジャ112の内部空間113には、前述したSiCヒータ114及び熱反射部材116が挿入される。また、SiCヒータ114及び熱反射部材116は、円盤状に形成されているが、円弧状に分割可能な構成であり、梁部112eを避けて内部空間113に挿入された後に組み立てられる。
【0138】
さらに、石英ベルジャ112の天板112cには、SiCサセプタ118を支持するためのボス112g〜112iが3箇所(120度間隔)に突出している。そのため、ボス112g〜112iに支持されたSiCサセプタ118は、天板112cから僅かに浮いた状態に載置される。そのため、処理容器22の内部圧力が変化したり、あるいは温度変化が生じることのよりSiCサセプタ118が下方に変動した場合でも、天板112cに接触することが防止される。
【0139】
また、石英ベルジャ112の内部圧力は、後述するように処理容器22のプロセス空間84の圧力と差が50Torr以下になるように減圧システムによる排気流量の制御を行うため、石英ベルジャ112の肉厚を比較的薄く製作することが可能になる。これにより、天板112cの厚さを6〜10mm程度に薄くすることができるので、石英ベルジャ112の熱容量が小さくなって加熱時の熱伝導効率を高めることにより応答性を向上させることが可能になる。尚、本実施例の石英ベルジャ112は、100Torrの圧力に耐える強度を有するように設計されている。
【0140】
図29は減圧システムの排気系統の構成を示す系統図である。
図29に示されるように、処理容器22のプロセス空間84は、前述したようにバルブ48aの開弁により排気口74に連通された排気経路32を介してターボ分子ポンプ50の吸引力により減圧される。さらに、ターボ分子ポンプ50の排気口に接続された真空管路51の下流は、排気されたガスを吸引するポンプ(MBP)201に連通されている。
【0141】
石英ベルジャ112の内部空間113は、排気管路202を介してバイパス管路51aに接続され、回転駆動部28のケーシング122により画成された内部空間124は、排気管路204を介してバイパス管路51aに接続されている。
【0142】
排気管路202には、内部空間113の圧力を測定する圧力計205と、石英ベルジャ112の内部空間113を減圧する際に開弁されるバルブ206とが設けられている。また、バイパス管路51aには、前述したようにバルブ48bが設けられ、且つバルブ48bをバイパスする分岐管路208が設けられている。この分岐管路208には、減圧工程の初期段階に開弁されるバルブ210と、バルブ48bよりも流量を絞るための可変絞り211とが設けられている。
【0143】
また、ターボ分子ポンプ50の排気側には、開閉用のバルブ212と、排気側の圧力を測定する圧力計214とが設けられている。そして、ターボ軸パージ用のNラインがターボ分子ポンプ50に連通されたターボ管路216には、逆止弁218、絞り220、バルブ222が設けられている。
【0144】
尚、上記バルブ206,210、212、222は、電磁弁からなり、制御回路からの制御信号により開弁する。
【0145】
上記のように構成された減圧システムでは、処理容器22、石英ベルジャ112、回転駆動部28の減圧工程を行う場合、一気に減圧するのではなく、段階的に減圧して徐々に真空に近づけるように減圧させる。
【0146】
まず、石英ベルジャ112の排気管路202に設けられたバルブ206を開弁することで石英ベルジャ112の内部空間113とプロセス空間84との間が排気経路32を介して連通状態となり、圧力の均一化が行われる。これにより、減圧工程の開始段階での石英ベルジャ112の内部空間113とプロセス空間84との間の圧力差が小さくなる。
【0147】
次に上記分岐管路208に設けられたバルブ210を開弁させて可変絞り211により絞られた小流量による減圧を行う。その後、バイパス管路51aに設けられたバルブ48bを開弁させて排気流量を段階的に増大させる。
【0148】
また、圧力計205により測定された石英ベルジャ112の圧力と、センサユニット85の圧力計85a〜85cにより測定されたプロセス空間84の圧力とを比較し、両圧力の差が50Torr以下であるとき、バルブ48bを開弁させる。これにより、減圧工程において、石英ベルジャ112にかかる内外の圧力差を緩和して石英ベルジャ112に不要な応力が作用しないように減圧工程を行う。
【0149】
そして、所定時間経過後にバルブ48aを開弁させてターボ分子ポンプ50の吸引力による排気流量を増大させて処理容器22、石英ベルジャ112、回転駆動部28の内部を真空になるまで減圧する。
【0150】
(5)ここで、上記保持部材120の構成について説明する。
図30は保持部材120の構成を示す図であり、(A)は平面図、(B)は側面図である。
【0151】
図30(A)(B)に示されるように、保持部材120は、被処理基板Wを支持する腕部120a〜120cと、腕部120a〜120cが結合された軸120dとから構成されている。腕部120a〜120cは、プロセス空間84におけるコンタミネーションを防止し、且つSiCサセプタ118からの熱を遮蔽しないようにするため、透明石英により形成されており、軸120dの上端を中心軸として120度間隔で水平方向に放射状に延在している。
【0152】
さらに、腕部120a〜120cの長手方向の中間位置には、被処理基板Wの下面に当接するボス120e〜120gが突出している。そのため、被処理基板Wは、ボス120e〜120gが当接する3点で支持される。
【0153】
このように、保持部材120は、点接触で被処理基板Wを支持する構成であるので、SiCサセプタ118に対して僅かな距離で離間した位置に被処理基板Wを保持することができ。尚、SiCサセプタ118と被処理基板Wとの離間距離は、例えば、1〜20mmであり、望ましくは3〜10mm程度が良い。
【0154】
すなわち、被処理基板Wは、SiCサセプタ118の上方に浮いた状態で回転することになり、直接SiCサセプタ118に載置される場合よりもSiCサセプタ118からの熱が均一に放射され、周縁部分と中心部分の温度差が生じにくく、温度差による被処理基板Wの反りも防止される。
【0155】
被処理基板Wは、SiCサセプタ118から離間した位置に保持されているので、温度差によって反りが生じてもSiCサセプタ118に接触せず、定常時の温度均一化に伴って元の水平状態に復帰することが可能になる。
【0156】
また、保持部材120の軸120dは、不透明石英により棒状に形成されており、上記SiCサセプタ118及び石英ベルジャ112の挿通孔112fに挿通されて下方に延在する。このように、保持部材120は、プロセス空間84内で被処理基板Wを保持するものであるが、石英により形成されているので、金属製のものよりもコンタミネーションのおそれがない。
【0157】
(6)ここで、上記回転駆動部28の構成について詳細に説明する。
図31はヒータ部24の下方に配置された回転駆動部28の構成を示す縦断面図である。図32は回転駆動部28を拡大して示す縦断面図である。
【0158】
図31及び図32に示されるように、ヒータ部24のベース110の下面に回転駆動部28を支持するためのホルダ230が締結されている。このホルダ230には、回転位置検出機構232と、ホルダ冷却機構234とが設けられている。
【0159】
さらに、ホルダ230の下方には、保持部材120の軸120dが挿通固定されたセラミック軸126が挿入されており、セラミック軸126を回転可能に支持するセラミック軸受236,237を保持する固定側のケーシング122がボルト240により固定されている。
【0160】
ケーシング122内においては、回転部分がセラミック軸126とセラミック軸受236,237とから構成されているので、金属のコンタミネーションが防止されている。
【0161】
ケーシング122は、ボルト240が挿通されるフランジ242と、フランジ238より下方に延在形成された有底筒状の隔壁244とを有する。隔壁244の外周面には、前述した減圧システムの排気管路204が連通される排気ポート246が設けられており、ケーシング122の内部空間124の気体は、前述した減圧システムによる減圧工程において、排気されて減圧される。そのため、プロセス空間84内のガスが保持部材120の軸120dに沿って外部に流出することが防止される。
【0162】
さらに、内部空間124には、マグネットカップリング130の従動側マグネット248が収納されている。この従動側マグネット248は、コンタミネーションを防止するため、セラミック軸126の外周に嵌合されたマグネットカバー250に覆われており、内部空間124内の気体と接触しないように取り付けられている。
【0163】
マグネットカバー250は、アルミ合金により環状に形成されたカバーであり、内部に収納する環状の空間が形成されている。内にがたつきの無い状態に収納されている。また、マグネットカバー250の継ぎ目部分は、電子ビーム溶接により隙間無く結合されており、ロウ付け等のように銀が流出してコンタミネーションが生じることがないように加工されている。
【0164】
さらに、ケーシング122の外周には、筒状に形成された大気側回転部252が嵌合するように設けられており、軸受254,255を介して回転可能に支持されている。そして、大気側回転部252の内周には、マグネットカップリング130の駆動側マグネット256が取り付けられている。
【0165】
大気側回転部252は、下端部252aが伝達部材257を介してモータ128の駆動軸128aが結合されている。そのため、モータ128の回転駆動力は、大気側回転部252に設けられた駆動側マグネット256とケーシング122の内部に設けられた従動側マグネット248との間の磁力を介してセラミック軸126に伝達され、保持部材120及び被処理基板Wに伝達される。
【0166】
また、大気側回転部252の外側には、大気側回転部252の回転を検出する回転検出ユニット258が設けられている。この回転検出ユニット258は、大気側回転部252の下端部外周に取り付けられた円盤状のスリット板260,261と、スリット板260,261の回転量を光学的に検出するフォトインタラプタ262,263とから構成されている。
【0167】
フォトインタラプタ262,263は、ブラケット264により固定側のケーシング122に固定されている。そして、回転検出ユニット258では、一対のフォトインタラプタ262,263から回転速度に応じたパルスが同時に検出されるので、両パルスを比較することにより回転検出精度を高めることが可能になる。
【0168】
図33はホルダ冷却機構234の構成を示す図であり、(A)は横断面図、(B)は側面図である。
図33(A)(B)に示されるように、ホルダ冷却機構234は、ホルダ230の内部に周方向に延在する冷却水用の水路230aが形成されている。そして、水路230aの一端に冷却水供給ポート230bが連通され、水路230aの他端に冷却水排出ポート230cが連通されている。
【0169】
冷却水供給部46から供給された冷却水は、冷却水供給ポート230bから水路230aを通過した後、冷却水排出ポート230cから排出されるため、ホルダ230全体を冷却することができる。
【0170】
図34は回転位置検出機構232の構成を示す横断面図である。
図34に示されるように、ホルダ230の一方の側面には、発光素子266が取り付けられ、ホルダ230の他方の側面には、発光素子266からの光を受光する受光素子268が取り付けられている。
【0171】
また、ホルダ230の中央には、保持部材120の軸120dが挿通される中央孔230dが上下方向に貫通しており、この中央孔230dに交差するように横方向に貫通する貫通孔230e,230fが設けられている。
【0172】
発光素子266は、一方の貫通孔230eの端部に挿入され、受光素子268は、他方の貫通孔230fの端部に挿入されている。貫通孔230eと230fとの間には、軸120dが挿通されているため、軸120dの回転位置を受光素子268の出力変化から検出することが可能になる。
【0173】
(7)ここで、回転位置検出機構232の構成及び作用について詳細に説明する。
図35は回転位置検出機構232の構成及び作用を説明するための図であり、(A)は非検出状態を示す図、(B)は検出状態を示す図である。
【0174】
図35(A)に示されるように、保持部材120の軸120dは、外周に接線方向の面取り加工が施されている。この面取り部120iは、発光素子266と受光素子268との中間位置に回動したとき、発光素子266から発光された光と平行になる。
【0175】
このとき、発光素子266からの光は、面取り部120iの横を通過して受光素子268に照射される。これにより、受光素子268の出力信号Sはオンになり、回転位置判定回路270に供給される。
【0176】
図35(B)に示されるように、保持部材120の軸120dが回動して面取り部120iの位置が中間位置からずれると、発光素子266からの光は、軸120dに遮断され、回転位置判定回路270への出力信号Sはオフになる。
【0177】
図36回転位置検出機構232の信号波形図であり、(A)は受光素子268の出力信号Sの波形図、(B)は回転位置判定回路270から出力されるパルス信号Pの波形図である。
【0178】
図36(A)に示されるように、受光素子268は、軸120dの回動位置によって発光素子266からの光の受光量(出力信号S)が放物線状に変化する。回転位置判定回路270では、この出力信号Sに対する閾値Hを設定することで、出力信号Sが閾値H以上になったときにパルスPを出力する。
【0179】
このパルスPが保持部材120の回動位置を検出した検出信号として出力される。すなわち、回転位置判定回路270は、図10に示されるように、保持部材120の腕部120a〜120cが昇降アーム132の当接ピン138a〜138cに干渉せず、且つ搬送ロボット98のロボットハンドと干渉しない位置にあることを判定し、その検出信号(パルスP)を出力する。
【0180】
(8)ここで、上記回転位置判定回路270から出力された検出信号(パルスP)に基づいて制御回路が実行する回転位置制御処理について説明する。
図37は制御回路が実行する回転位置制御処理を説明するためのフローチャートである。
【0181】
図37に示されるように、制御回路は、S11において、被処理基板Wの回転を指示する制御信号があると、S12に進み、モータ128を起動させる。続いて、S13に進み、受光素子268の信号がオンかどうかをチェックする。S13で受光素子268の信号がオンであるときは、S14に進み、検出信号(パルスP)の周期から保持部材120及び被処理基板Wの回転数を演算する。
【0182】
続いて、S15に進み、保持部材120及び被処理基板Wの回転数nが予め設定された目標回転naかどうかをチェックする。S15において、保持部材120及び被処理基板Wの回転数nが目標回転naに達していないときは、上記S13に戻り、モータ128の回転数が上昇したかどうかを再度チェックする。
【0183】
また、上記S15において、n=naのときは、保持部材120及び被処理基板Wの回転数nが目標回転naに達しているので、S17に進み、モータ停止の制御信号があるかどうかをチェックする。S17において、モータ停止の制御信号が無いときは、上記S13に戻り、モータ停止の制御信号があるときは、S18に進み、モータ128を停止させる。続いて、S19で受光素子268の信号がオンかどうかをチェックし、受光素子268の信号がオンになるまで繰り返す。
【0184】
このようにして、保持部材120の腕部120a〜120cが昇降アーム132の当接ピン138a〜138cに干渉せず、且つ搬送ロボット98のロボットハンドと干渉しない位置に停止させることができる。
【0185】
尚、上記回転位置制御処理では、受光素子268からの出力信号の周期から回転数を求める方法を用いた場合について説明したが、例えば前述したフォトインタラプタ262,263から出力された信号を積算して回転数を求めることも可能である。
【0186】
(9)ここで、処理容器122の側面に形成された窓75,76の構成について詳細に説明する。
図38は窓75,76の取付箇所を上方からみた横断面図である。図39は窓75を拡大して示す横断面図である。図40は窓76を拡大して示す横断面図である。
【0187】
図38及び図39に示されるように、第1の窓75は、処理容器122の内部に形成されたプロセス空間84にガスが供給されたり、真空に減圧されるため、気密性がより高められた構成になっている。
【0188】
窓75は、透明石英272と、紫外線を遮断するUVガラス274とを有する2重構造になっている。透明石英272は、窓取り付け部276に当接させた状態で第1の窓枠278が窓取り付け部276にビス277でネジ止めされて固定される。窓取り付け部276の外面には、透明石英272との間を気密にシールするシール部材(Oリング)280が装着されている。さらに、第1の窓枠278の外面には、UVガラス274を当接させた状態で第2の窓枠282がビス284でネジ止めされて固定される。
【0189】
このように、窓75は、紫外線光源(UVランプ)86,87から照射された紫外線がUVガラス274によって遮断されてプロセス空間84の外部に漏れることを防止していると共に、シール部材280のシール効果によってプロセス空間84に供給されたガスが外部に流出することを防止している。
【0190】
また、処理容器22の側面を貫通する開口286は、処理容器22の中央、すなわち保持部材120に保持された被処理基板Wの中心に向かうように斜めに貫通している。そのため、窓75は、処理容器22の側面中心から外れた位置に設けられているが、横方向に広く見えるように楕円形状に形成されており、被処理基板Wの状態を外部から視認することができる。
【0191】
また、第2の窓76は、上記窓75と同様な構成になっており、透明石英292と、紫外線を遮断するUVガラス294とを有する2重構造になっている。透明石英292は、窓取り付け部296に当接させた状態で第1の窓枠298が窓取り付け部296にビス297でネジ止めされて固定される。窓取り付け部296の外面には、透明石英292との間を気密にシールするシール部材(Oリング)300が装着されている。さらに、第1の窓枠298の外面には、UVガラス294を当接させた状態で第2の窓枠302がビス304でネジ止めされて固定される。
【0192】
このように、窓76は、紫外線光源(UVランプ)86,87から照射された紫外線がUVガラス294によって遮断されてプロセス空間84の外部に漏れることを防止していると共に、シール部材300のシール効果によってプロセス空間84に供給されたガスが外部に流出することを防止している。
【0193】
尚、本実施例では、処理容器22の側面に一対の窓75,76を配置した構成を一例として説明したが、これに限らず、3個以上の窓を設けるようにしても良いし、あるいは側面以外の場所に設けるようにしても良いのは勿論である。
【0194】
(10)ここで、石英ライナ100を構成する各ケース102,104,106,108について説明する。
図9及び図10に示されるように、石英ライナ100は、下部ケース102、側面ケース104、上部ケース106、円筒状ケース108とを組み合わせた構成になっており、夫々が不透明石英により形成されており、アルミ合金製の処理容器22をガスや紫外線から保護すると共に、処理容器22による金属のコンタミネーションを防止することを目的として設けられている。
【0195】
図41(A)(B)は下部ケース102の構成を示す図であり、(A)は平面図、(B)は側面図である。
図41(A)(B)に示されるように、下部ケース102は、輪郭形状が処理容器22の内壁形状に対応した板状に形成されており、その中央にはSiCサセプタ118及び被処理基板Wに対向する円形開口310が形成されている。この円形開口310は、円筒状ケース108が挿入可能な寸法に形成されており、内周には保持部材120の腕部120a〜120cの先端部を挿入するための凹部310a〜310cが120度間隔で設けられている。
【0196】
尚、凹部310a〜310cの位置は、保持部材120の腕部120a〜120cが昇降アーム132の当接ピン138a〜138cに干渉せず、且つ搬送ロボット98のロボットハンドと干渉しない位置である。
【0197】
また、下部ケース102には、処理容器22の底部に形成された排気口74に対向する長方形状の開口312が設けられている。さらに、下部ケース102は、下面に位置決め用の突起314a,314bが非対称位置に設けられている。
【0198】
また、上記円形開口310の内周には、後述する円筒状ケース108の突起が嵌合するための凹部310dが形成されている。さらに、下部ケース102の周縁部には、側面ケース104に嵌合する段部315が設けられている。
【0199】
図42は側面ケース104の構成を示す図であり、(A)は平面図、(B)は正面図、(C)は背面図、(D)は左側面図、(E)は右側面図である。
図42(A)〜(E)に示されるように、側面ケース104は、外形状が処理容器22の内壁形状に対応した四隅がR形状とされた略四角形の枠形状に形成されており、内側にプロセス空間84が形成される。
【0200】
また、側面ケース104は、正面104aに前述したガス噴射ノズル部93の複数の噴射口93aに対向するように横方向に延在された細長形状のスリット316と、リモートプラズマ部27に連通される連通孔92に対向する位置に設けられたU字状の開口317とが設けられている。尚、本実施例では、スリット316と開口317とが連通した構成になっているが、夫々独立した開口として形成することも可能である。
【0201】
また、側面ケース104は、背面104bに前述した搬送ロボット98のロボットハンドが通過するための凹部318が搬送口94に対向する位置に形成されている。
【0202】
また、側面ケース104は、左側面104cに前述したセンサユニット85に対向する円形の孔319が形成され、右側面104dに前述した窓75,76と、センサユニット77に対向する孔320〜322が形成されている。
【0203】
図43は上部ケース106の構成を示す図であり、(A)は底面図、(B)は側面図である。
図43(A)(B)に示されるように、上部ケース106は、輪郭形状が処理容器22の内壁形状に対応した板状に形成されており、紫外線光源(UVランプ)86,87に対向する位置に長方形状の開口324,325が形成されている。さらに、上部ケース106の周縁部には、側面ケース104に嵌合する段部326が設けられている。
【0204】
また、上部ケース106は、蓋部材82の形状に対応する円形孔327〜329、及び長方形の四角孔330が設けられている。
【0205】
図44は円筒状ケース108の構成を示す図であり、(A)は平面図、(B)は側面縦断面図、(C)は側面図である。
図44(A)〜(C)に示されるように、円筒状ケース108は、石英ベルジャ112の外周を覆うように筒状に形成されており、上端縁部には昇降アーム132の当接ピン138a〜138cが挿入される凹部108a〜108cが設けられている。さらに、円筒状ケース108は、上端部の外周に下部ケース102の凹部310dが嵌合する位置合わせ用の突起108dが形成されている。
【0206】
(11)ここで、リフタ機構30のシール構造について説明する。
図45はリフタ機構30を拡大して示す縦断面図である。図46はリフタ機構30のシール構造拡大して示す縦断面図である。
【0207】
図45及び図46に示されるように、リフタ機構30は、駆動部136により昇降軸134を昇降させてチャンバ80内に挿入された昇降アーム132を昇降させる際、チャンバ80の貫通孔80a内に挿入された昇降軸134の外周が蛇腹形状のベローズ332により覆われており、チャンバ80内でのコンタミネーションを防止するように構成されている。
【0208】
ベローズ332は、蛇腹部分が伸縮可能な形状になっており、例えばインコネルやハステロイなどにより形成されている。また、貫通孔80aは、昇降軸134が挿通された蓋部材340により閉塞されている。
【0209】
さらに、昇降軸134の上端がボルト334により締結される昇降アーム132の連結部材336には、円筒形状のセラミックカバー338が嵌合固定されている。このセラミックカバー338は、連結部材336より下方に延在形成されるため、ベローズ332の周囲を覆うことによりチャンバ80内で直接露出されないように設けられている。
【0210】
そのため、ベローズ332は、プロセス空間84において、昇降アーム132を上昇させる際に上方に伸びてしまい、セラミックにより形成された円筒状カバー338により覆われている。よって、ベローズ332は、貫通孔80aに昇降可能に挿入された円筒状カバー338によりプロセス空間84のガスや熱に直接晒されることがなく、ガスや熱による劣化を防止されている。
【0211】
(12)以下に、基板処理装置20を使って行う被処理基板W表面の紫外光ラジカル酸化処理、およびその後に行われるリモートプラズマラジカル窒化処理について説明する。
〔紫外光ラジカル酸化処理〕
図47(A)は、それぞれ図2の基板処理装置20を使って被処理基板Wのラジカル酸化を行う場合を示す側面図および平面図、図47(B)は図47(A)の構成を示す平面図である。
図47(A)に示されるように、前記プロセス空間84中にはガス噴射ノズル部93から酸素ガスが供給され、被処理基板Wの表面に沿って流れた後、排気口74、ターボ分子ポンプ50およびポンプ201を通って排気される。ターボ分子ポンプ50を使うことにより、前記プロセス空間84のプロセス圧が、基板Wの酸素ラジカルによる酸化に必要な10−3〜10−6Torrの範囲に設定される。
【0212】
これと同時に、好ましくは172nmの波長の紫外光を発生する紫外線光源86,87を駆動することにより、このようにして形成された酸素ガス流中に酸素ラジカルが形成される。形成された酸素ラジカルは前記被処理基板Wの表面に沿って流れる際に、回動している基板表面を酸化する。このような被処理基板Wの酸素ラジカルによる酸化により、シリコン基板表面に1nm以下の膜厚の非常に薄い酸化膜、特に2〜3原子層に相当する約0.4nmの膜厚の酸化膜を、安定に再現性良く形成することが可能になる。
【0213】
図47(B)に示されるように、紫外線光源86,87は酸素ガス流の方向に交差する方向に延在する管状の光源であり、ターボ分子ポンプ50が排気口74を介してプロセス空間84を排気するのがわかる。一方、前記排気口74から直接にポンプ50に至る、図47(B)中に点線で示した排気経路は、バルブ48bを閉鎖することにより遮断されている。
【0214】
図48は、図2の基板処理装置20において図47(A),(B)の工程によりシリコン基板表面にシリコン酸化膜を、基板温度を450℃に設定し、紫外光照射強度および酸素ガス流量あるいは酸素分圧を様々に変化させながら形成した場合の、膜厚と酸化時間との関係を示す。ただし図48の実験ではラジカル酸化に先立ってシリコン基板表面の自然酸化膜を除去し、また場合によっては基板表面に残留する炭素を紫外光励起窒素ラジカル中において除去し、さらにAr雰囲気中、約950℃における高温熱処理を行うことにより、基板表面を平坦化している。また前記紫外線光源86,87としては、波長が172nmのエキシマランプを使った。
【0215】
図48を参照するに、系列1のデータは、紫外光照射強度を紫外光源24Bの窓面における基準強度(50mW/cm)の5%に設定し、プロセス圧を665mPa(5mTorr),酸素ガス流量を30SCCMに設定した場合の酸化時間と酸化膜厚との関係を、系列2のデータは紫外光強度をゼロに設定し、プロセス圧を133Pa(1Torr),酸素ガス流量を3SLMに設定した場合の酸化時間と酸化膜厚との関係を示す。また系列3のデータは紫外光強度をゼロに設定し、プロセス圧を2.66Pa(20mTorr),酸素ガス流量を150SCCMに設定した場合の酸化時間と酸化膜厚との関係を示し、系列4のデータは紫外光照射強度を100%、すなわち前記基準強度に設定し、プロセス圧を2.66Pa(20mTorr),酸素ガス流量を150SCCMに設定した場合の酸化時間と酸化膜厚との関係を示す。さらに系列5のデータは紫外光照射強度を基準強度の20%に設定し、プロセス圧を2.66Pa(20mTorr),酸素ガス流量を150SCCMに設定した場合の酸化時間と酸化膜圧との関係を示し、系列6のデータは、紫外光照射強度を基準照射強度の20%に設定し、プロセス圧を約67Pa(0.5Torr)、酸素ガス流量を0.5SLMに設定した場合の酸化時間と酸化膜厚との関係を示す。さらに系列7のデータは、紫外光照射強度を基準強度の20%に設定し、プロセス圧を665Pa(5Torr)に、酸素ガス流量を2SLMに設定した場合の酸化時間と酸化膜厚との関係を、系列8のデータは、紫外光照射強度を基準強度の5%に設定し、プロセス圧を2.66Pa(20mTorr),酸素ガス流量を150SCCMに設定した場合の酸化時間と酸化膜厚との関係を示す。
【0216】
図48の実験において、酸化膜の膜厚はXPS法により求めているが、このように1nmを下回る非常に薄い酸化膜の膜厚を求める統一された方法は、現時点では存在しない。
【0217】
そこで本発明の発明者は、図49に示す観測されたSi2p軌道のXPSスペクトルに対してバックグラウンド補正および3/2と1/2スピン状態の分離補正を行い、その結果得られた図50に示すSi2p 3/2XPSスペクトルをもとに、Lu他(Z. H. Lu, et al., Appl. Phys, Lett. 71 (1997), pp.2764)の教示に従って、式(1)に示す式および係数を使って酸化膜の膜厚dを求めた。
d=λsinα・ln[IX+/(βI0+)+1]        (1)
λ=2.96
β=0.75
ただし式(1)においてαは図55に示すXPSスペクトルの検出角であり、図示の例では30°に設定されている。また数1中、IX+は酸化膜に対応するスペクトルピークの積分強度(I1x+I2x+I3x+I4x)であり、図50中、102〜104eVのエネルギ領域において見られるピークに対応している。一方、I0+は100eV近傍のエネルギ領域に対応した、シリコン基板に起因するスペクトルピークの積分強度に対応する。
【0218】
再び図48を参照するに、紫外光照射パワー、従って形成される酸素ラジカル密度が小さい場合(系列1,2,3,8)には、最初は酸化膜の酸化膜厚が0nmであったものが、酸化時間と共に酸化膜厚が徐々に増加し続けるのに対し、紫外光照射パワーを基準強度の20%以上に設定した系列4,5,6,7では、図51に概略的に示すように酸化膜成長が成長開始後、おおよそ0.4nmの膜厚に到達した時点で停留し、ある程度の停留時間が経過した後、急激に成長が再開されるのが認められる。
【0219】
図48あるいは図51の関係は、シリコン基板表面の酸化処理において、0.4nm前後の膜厚の非常に薄い酸化膜を、安定して形成できることを意味している。また、図48に見られるように、かかる停留時間がある程度継続することから、形成される酸化膜は、一様な厚さを有することがわかる。すなわち、本発明によれば、約0.4nmの厚さの酸化膜をシリコン基板上に、一様な厚さに形成することが可能になる。
【0220】
図52(A),(B)は、かかるシリコン基板上への薄い酸化膜の形成過程を概略的に示す。これらの図では、シリコン(100)基板上の構造を極めて単純化していることに注意すべきである。
【0221】
図52(A)を参照するに、シリコン基板表面には、シリコン原子1個あたり2個の酸素原子が結合し、1原子層の酸素層が形成されている。この代表的な状態では、基板表面のシリコン原子は基板内部の2つのシリコン原子と基板表面の二つの酸素原子により配位され、サブオキサイドを形成している。
【0222】
これに対し、図52(B)の状態ではシリコン基板最上部のシリコン原子は4つの酸素原子により配位されており、安定なSi4+の状態をとる。これが理由で、図52(A)の状態では速やかに酸化が進み、図52(B)の状態になって酸化が停留するものと考えられる。図52(B)の状態における酸化膜の厚さは約0.4nmであり、これは図48において観測される停留状態における酸化膜厚と良く一致する。
【0223】
図50のXPSスペクトルにおいて、酸化膜厚が0.1nmあるいは0.2nmの場合に101〜104eVのエネルギ範囲において見られる低いピークが図52(A)のサブオキサイドに対応し、酸化膜厚が0.3nmを超えた場合にこのエネルギ領域に表れるピークがSi4+に起因するもので、1原子層を超える酸化膜の形成を表しているものと考えられる。
【0224】
このような0.4nmの膜厚における酸化膜厚の停留現象は、図47(A),(B)のUVOラジカル酸化プロセスに限定されるものではなく、同様に薄い酸化膜が精度よく形成できる酸化膜形成方法であれば、同じように見られるものであると考えられる。
【0225】
図52(B)の状態からさらに酸化を継続すると、酸化膜の厚さは再び増大する。
【0226】
図53は、このように基板処理装置20を使った図47(A),(B)の紫外光ラジカル酸化プロセスにより形成された酸化膜上に厚さが0.4nmのZrSiO膜と電極膜とを形成し(後で説明する図54(B)を参照)、得られた積層構造に対して求めた熱酸化膜換算膜厚Teqとリーク電流Igとの関係を示す。ただし、図53のリーク電流特性は、前記電極膜とシリコン基板との間にフラットバンド電圧Vfbを基準に、Vfb−0.8Vの電圧を印加した状態で測定している。比較のため、図53中には熱酸化膜のリーク電流特性をも示してある。また図示している換算膜厚は、酸化膜とZrSiO膜を合わせた構造についてのものである。
【0227】
図53を参照するに、酸化膜を省略した場合、すなわち酸化膜の膜厚が0nmの場合にはリーク電流密度が熱酸化膜のリーク電流密度を超えており、また熱酸化膜換算膜厚Teqも約1.7nm程度の比較的大きな値になることがわかる。
【0228】
これに対し、酸化膜の膜厚を0nmから0.4nmまで増大させると、熱酸化膜換算膜厚Teqの値が減少をはじめるのがわかる。このような状態では酸化膜がシリコン基板とZrSiO膜との間に介在することになり、物理膜厚は実際には増大するはずなのに換算膜厚Teqは減少しているが、これはシリコン基板上にZrO膜を直接に形成した場合、図54(A)に示すようにZrのシリコン基板中への拡散あるいはSiのZrSiO膜中への拡散が大規模に生じ、シリコン基板とZrSiO膜との間に厚い界面層が形成されていることを示唆している。これに対し、図54(B)に示すように厚さが0.4nmの酸化膜を介在させることにより、このような界面層の形成が抑制され、結果として換算膜厚が減少するものと考えられる。これに伴って、リーク電流の値も酸化膜の厚さと共に減少するのがわかる。ただし図54(A),(B)は、このようにして形成された試料の概略的な断面を示しており、シリコン基板441上に酸化膜442が形成され、酸化膜442上にZrSiO膜443が形成されている構造を示している。
【0229】
一方、前記酸化膜の膜厚が0.4nmを超えると、熱酸化膜換算膜厚の値は再び増大をはじめる。酸化膜の膜厚が0.4nmを超えた範囲においては、膜厚の増大と共にリーク電流の値も減少しており、換算膜厚の増大は酸化膜の物理膜厚の増大に起因するものであると考えられる。
【0230】
このように、図48で観測された酸化膜の成長が停留する0.4nm付近の膜厚は、酸化膜と高誘電体膜とよりなる系の換算膜厚の最小値に対応しており、図52(B)に示す安定な酸化膜により、Zr等の金属元素のシリコン基板中への拡散が効果的に阻止されること、またこれ以上酸化膜の厚さを増大させても、金属元素の拡散阻止効果はそれほど高まらないことがわかる。
【0231】
さらに0.4nmの厚さの酸化膜を使った場合のリーク電流の値は、対応する厚さの熱酸化膜のリーク電流の値よりも二桁ほど小さく、このような構造の絶縁膜をMOSトランジスタのゲート絶縁膜に使うことにより、ゲートリーク電流を最小化できることがわかる。
【0232】
また、図48あるいは図51で説明した酸化膜成長の0.4nmにおける停留現象の結果、図55(A)に示すようにシリコン基板441上に形成された酸化膜442に当初膜厚の変化ないし凹凸が存在していても、酸化膜成長の際に膜厚の増大が図55(B)に示すように0.4nmの近傍において停留するため、停留期間内で酸化膜成長を継続することにより、図55(C)に示す非常に平坦な、一様な膜厚の酸化膜442を得ることができる。
【0233】
先にも説明したように、非常に薄い酸化膜に対しては、現状では統一された膜厚測定方法が存在しない。このため、図55(C)の酸化膜442の膜厚値自体は、測定方法で異なる可能性がある。しかし、先に説明した理由から、酸化膜成長に停留が生じる厚さは、2原子層分の厚さであることがわかっており、従って、好ましい酸化膜442の膜厚は、約2原子層分の厚さであると考えられる。この好ましい厚さには、2原子層分の厚さが酸化膜442全体にわたり確保されるように、部分的に3原子層分の厚さの領域が形成されている場合も含まれる。すなわち、好ましい酸化膜442の厚さは、実際には2〜3原子層の範囲であると考えられる。
〔リモートプラズマラジカル窒化処理〕
図56は、基板処理装置20において使われるリモートプラズマ部27の構成を示す。
図56に示されるように、リモートプラズマ部27は、内部にガス循環通路27aとこれに連通したガス入り口27bおよびガス出口76cを形成された、典型的にはアルミニウムよりなるブロック27Aを含み、前記ブロック27Aの一部にはフェライトコア27Bが形成されている。
【0234】
前記ガス循環通路27aおよびガス入り口27b、ガス出口27cの内面にはフッ素樹脂コーティング27dが施され、前記フェライトコア27Bに巻回されたコイルに周波数が400kHzの高周波を供給することにより、前記ガス循環通路27a内にプラズマ27Cが形成される。
【0235】
プラズマ27Cの励起に伴って、前記ガス循環通路27a中には窒素ラジカルおよび窒素イオンが形成されるが、窒素イオンは前記循環通路27aを循環する際に消滅し、前記ガス出口27cからは主に窒素ラジカルN*が放出される。さらに図56の構成では前記ガス出口27cに接地されたイオンフィルタ27eを設けることにより、窒素イオンをはじめとする荷電粒子が除去され、前記プロセス空間84には窒素ラジカルのみが供給される。また、前記イオンフィルタ27eを接地させない場合においても、前記イオンフィルタ27eの構造は拡散板として作用し、十分に窒素イオンをはじめとする荷電粒子を除去することが可能になる。
【0236】
図57は、リモートプラズマ部27により形成されるイオンの数と電子エネルギの関係を、マイクロ波プラズマ源の場合と比較して示す。
図57に示されるように、マイクロ波によりプラズマを励起した場合には窒素分子のイオン化が促進され、多量の窒素イオンが形成されることになる。これに対し500kHz以下の高周波によりプラズマを励起した場合には、形成される窒素イオンの数が大幅に減少する。マイクロ波によりプラズマ処理を行う場合には、図58に示すように1.33×10−3〜1.33×10−6Pa(10−1〜10−4Torr)の高真空が必要になるが、高周波プラズマ処理は、13.3〜13.3kPa(0.1〜100Torr)の比較的高い圧力で実行可能である。
【0237】
以下の表1は、マイクロ波によりプラズマを励起する場合と、高周波によりプラズマを励起する場合との間での、イオン化エネルギ変換効率、放電可能圧力範囲、プラズマ消費電力、プロセスガス流量の比較を示す。
【0238】
【表1】

Figure 2004119520
表1を参照するに、イオン化エネルギ変換効率は、マイクロ波励起の場合に約1×10−2程度であるのに対し、RF励起の場合、約1×10−7まで減少しており、また放電可能圧力はマイクロ波励起の場合0.1mTorr〜0.1Torr(133mPa〜13.3Pa)程度であるのに対し、RF励起の場合には、0.1〜100Torr(13.3Pa〜13.3kPa)程度であることがわかる。これに伴い、プラズマ消費電力はRF励起の場合の方がマイクロ波励起の場合よりも大きく、プロセスガス流量は、RF励起の場合の方がマイクロ波励起の場合よりもはるかに大きくなっている。
【0239】
基板処理装置20では、酸化膜の窒化処理を窒素イオンではなく窒素ラジカルN*で行っており、このため励起される窒素イオンの数は少ない方が好ましい。また被処理基板に加えられるダメージを最小化する観点からも、励起される窒素イオンの数は少ないのが好ましい。さらに基板処理装置20では、励起される窒素ラジカルの数も少なく、高誘電体ゲート絶縁膜下の非常に薄い、せいぜい2〜3原子層程度の厚さしかないベース酸化膜を窒化するのに好適である。
【0240】
図59(A),(B)は、それぞれ基板処理装置20を使って被処理基板Wのラジカル窒化を行う場合を示す側面図および平面図である。
図59(A),(B)に示されるように、リモートプラズマ部27にはArガスと窒素ガスが供給され、プラズマを数100kHzの周波数で高周波励起することにより窒素ラジカルが形成される。形成された窒素ラジカルは前記被処理基板Wの表面に沿って流れ、前記排気口74およびポンプ201を介して排気される。その結果前記プロセス空間84は、基板Wのラジカル窒化に適当な、1.33Pa〜13.3kPa(0.01〜100Torr)の範囲のプロセス圧に設定される。このようにして形成された窒素ラジカルは、前記被処理基板Wの表面に沿って流れる際に、被処理基板Wの表面を窒化する。
【0241】
図59(A),(B)の窒化工程では、窒化工程に先立つパージ工程では前記バルブ48aおよび212が開放され、バルブ48aが閉鎖されることで前記プロセス空間84の圧力が1.33×10−1〜1.33×10−4Paの圧力まで減圧され、プロセス空間84中に残留している酸素や水分がパージされるが、その後の窒化処理ではバルブ48aおよび212は閉鎖され、ターボ分子ポンプ50はプロセス空間84の排気経路には含まれない。
【0242】
このように、基板処理装置20を使うことにより、被処理基板Wの表面に非常に薄い酸化膜を形成し、その酸化膜表面をさらに窒化することが可能になる。
【0243】
図60(A)は、基板処理装置20によりSi基板上に熱酸化処理により2.0nmの厚さに形成された酸化膜を、リモートプラズマ部27を使って、表2に示す条件で窒化した場合の前記酸化膜中における窒素濃度分布を示し、図60(B)は、同じ酸化膜中における窒素濃度分布と酸素濃度分布との関係を示す。
【0244】
【表2】
Figure 2004119520
表2を参照するに、基板処理装置20を使ったRF窒化処理の際には、前記プロセス空間84中に窒素を50SCCMの流量で、またArを2SLMの流量で供給し、窒化処理は1Torr(133Pa)の圧力下で行われるが、窒化処理開始前に一旦プロセス空間84の内圧を10−6Torr(1.33×10−4Pa)程度まで減圧し、内部に残留している酸素あるいは水分を十分にパージしている。このため、前記1Torr程度の圧力で行われる窒化処理の際には、プロセス空間84中において残留酸素はArおよび窒素により希釈されており、残留酸素濃度、従って残留酸素の熱力学的な活動度は非常に小さくなっている。
【0245】
これに対し、マイクロ波プラズマを使った窒化処理では、窒化処理の際の処理圧力がパージ圧と同程度であり、従ってプラズマ雰囲気中において残留酸素は高い熱力学的な活動度を有するものと考えられる。
【0246】
図60(A)を参照するに、マイクロ波励起プラズマにより窒化した場合には酸化膜中に導入される窒素の濃度は限られており、酸化膜の窒化は実質的に進行していないことがわかる。これに対し本実施例のようにRF励起プラズマにより窒化した場合には、酸化膜中において窒素濃度が深さと共に直線的に変化し、表面近傍では20%近い濃度に達していることがわかる。
【0247】
図61は、XPS(X線分光スペクトル)を使って行う図60(A)の測定の原理を示す。
図61を参照するに、シリコン基板411上に酸化膜412を形成された試料には所定の角度で斜めにX線が照射され、励起されたX線スペクトルを検出器DET1,DET2により、様々な角度で検出する。その際、例えば90°の深い検出角に設定された検出器DET1では励起X線の酸化膜412内における行路が短く、従って前記検出器DET1で検出されるX線スペクトルには酸化膜412の下部の情報を多く含まれるに対し、浅い検出角に設定された検出器DET2では、励起X線の酸化膜12中における行路が長く、従って、検出器DET2は主に酸化膜412の表面近傍の情報を検出する。
【0248】
図60(B)は、前記酸化膜中における窒素濃度と酸素濃度との関係を示す。ただし図60(B)中、酸素濃度はO1s軌道に対応するX線強度により表されている。
【0249】
図60(B)を参照するに、酸化膜の窒化を本発明のようにRFリモートプラズマで行った場合には、窒素濃度の増大に伴って酸素濃度が減少しており、酸化膜中において窒素原子が酸素原子を置き換えていることがわかる。これに対し、酸化膜の窒化をマイクロ波プラズマで行った場合には、このような置換関係は見られず、窒素濃度と共に酸素濃度が低下する関係は見られない。また特に図60(B)においては、マイクロ波窒化により5〜6%の窒素を導入した例においては酸素濃度の増加が見られており、これは窒化と共に酸化膜の増膜が起こることを示唆している。このようなマイクロ波窒化に伴う酸素濃度の増加は、マイクロ波窒化が高真空中において行われ、従って処理空間中に残留する酸素あるいは水分が高周波リモートプラズマ窒化の場合のようにArガスや窒素ガスにより希釈されることがなく、雰囲気中において高い活動度を有することによるものと考えられる。
【0250】
図62は、基板処理装置20において酸化膜を4Å(0.4nm)および7Å(0.7nm)の厚さに形成し、これを前記リモートプラズマ部27を使った図59(A),(B)の窒化工程により窒化した場合の窒化時間と膜中の窒素濃度との関係を示す。また図63は、図62の窒化処理に伴う窒素の酸化膜膜表面への偏析の様子を示す。なお、図62及び図63には、酸化膜を急速熱酸化処理により5Å(0.5nm)および7Å(0.7nm)の厚さに形成した場合をも示している。
【0251】
図62を参照するに、膜中の窒素濃度は、いずれの酸化膜であっても窒化処理時間と共に上昇するが、特に紫外光ラジカル酸化により形成された2原子層分に対応する0.4nmの膜厚を有する酸化膜の場合に、あるいはこれに近い0.5nmの膜厚を有する熱酸化膜の場合には、酸化膜が薄いため、同一成膜条件において膜中の窒素濃度が高くなっている。
【0252】
図63は図61において検出器DET1およびDET2をそれぞれ30°および90°の検出角に設定して窒素濃度を検出した結果を示す。
図63よりわかるように、図63の縦軸は30°の検出角で得られる膜表面に偏析している窒素原子からのX線スペクトル強度を、90°の検出角で得られる膜全体に分散している窒素原子からのX線スペクトル強度の値で割ったものになっており、これを窒素偏析率と定義する。この値が1以上の場合には、表面への窒素の偏析が生じている。
【0253】
図63を参照するに、酸化膜が紫外光励起酸素ラジカル処理により7Åの膜厚に形成されたものの場合,窒素偏析率が1以上となり、窒素原子は当初表面に偏析し、図1中の酸窒化膜12Aのような状態になっているものと考えられる。また90秒間の窒化処理を行った後では、膜中にほぼ一様に分布していることがわかる。また他の膜でも、90秒間の窒化処理で、窒素原子の膜中の分布はほぼ一様になることがわかる。
【0254】
図64の実験では、基板処理装置20において、前記紫外光ラジカル酸化処理およびリモートプラズマ窒化処理を、10枚のウェハ(ウェハ#1〜ウェハ#10)について繰り返し実行した。図64は、このようにして得られた酸窒化膜のウェハ毎の膜厚変動を示す。ただし図64の結果は、基板処理装置20において紫外線光源86,87を駆動して行う紫外光ラジカル酸化処理の際、XPS測定により求めた酸化膜の膜厚が0.4nmになるように酸化膜を形成し、次いでこのようにして形成された酸化膜を、前記リモートプラズマ部27を駆動して行う窒化処理により、窒素原子を約4%含む酸窒化膜に変換した場合についてのものである。
【0255】
図64を参照するに、縦軸は、このようにして得られた酸窒化膜についてエリプソメトリにより求めた膜厚を示すが、図64よりわかるように得られた膜厚はほぼ8Å(0.8nm)で、一定していることがわかる。
【0256】
図65は、基板処理装置20により膜厚が0.4nmの酸化膜をシリコン基板上に紫外線光源86,87を使ったラジカル酸化処理により形成した後、これをリモートプラズマ部27により窒化した場合の、窒化による膜厚増を調べた結果を示す。
【0257】
図65を参照するに、当初(窒化処理を行う前)膜厚が約0.38nmであった酸化膜は、窒化処理により4〜7%の窒素原子を導入された時点で膜厚が約0.5nmまで増大しているのがわかる。一方、窒化処理により窒素原子を約15%導入した場合には膜厚は約1.3nmまで増大しており、この場合には導入された窒素原子が酸化膜を通過してシリコン基板中に侵入し、窒化膜を形成しているものと考えられる。
【0258】
図65中には、厚さが0.4nmの酸化膜中に窒素を一層分だけ導入した理想的なモデル構造についての窒素濃度と膜厚との関係を▲で示している。
【0259】
図65を参照するに、この理想的なモデル構造では、窒素原子導入後の膜厚が約0.5nmとなり、その場合の膜厚の増加は約0.1nm,窒素濃度は約12%となる。このモデルを基準とすると、基板処理装置20により酸化膜の窒化を行う場合、膜厚増は同程度の0.1〜0.2nmに抑制するのが好ましいことが結論される。またその際に膜中に取り込まれる窒素原子の量は、最大で12%程度になると見積もられる。
【0260】
なお、以上の説明では、基板処理装置20を使って非常に薄いベース酸化膜を形成する例を説明したが、本発明はかかる特定の実施例に限定されるものではなく、シリコン基板あるいはシリコン層上に高品質の酸化膜、窒化膜あるいは酸窒化膜を、所望の膜厚に形成するのに適用することが可能である。
【0261】
以上、本発明を好ましい実施例について説明したが、本発明は上記の特定の実施例に限定されるものではなく、特許請求の範囲に記載した要旨内において様々な変形・変更が可能である。
【0262】
【発明の効果】
上述の如く、本発明によれば、保持部材の軸を囲む隔壁の外側に設けられた駆動側マグネットと隔壁の内側に設けられた従動側マグネットとを対向配置させたマグネットカップリングを介して保持部材の軸を回転駆動することにより、隔壁によって従動側マグネットによるコンタミネーションを防止すると共に、回転駆動部をコンパクト化して装置の小型化が図れる。さらに、被処理基板を安定に回転させて被処理基板の成膜処理の効率化を図り、生産性を高めることができる。
【0263】
また、本発明によれば、隔壁の内部で保持部材の軸をセラミックにより形成された回転軸に挿入し、回転軸の外周に従動側マグネットを固定することにより、回転駆動領域における潤滑剤の使用を止めて潤滑剤によるコンタミネーションを防止すると共に、回転部分の耐久性を高めることができる。
【0264】
また、本発明によれば、隔壁がヒータ部の底部に固定された有底筒状に形成され、隔壁の内部に回転軸を回転自在に軸承するセラミック製の軸受を保持することにより、回転駆動領域における金属によるコンタミネーションを防止すると共に、潤滑剤を使用せずに済み、且つ回転部分の耐久性を高めることができる。
【0265】
また、本発明によれば、従動側マグネットが密閉されたケースに収納されているので、従動側マグネットから放出されるガスによるコンタミネーションを防止することができる。
【0266】
また、本発明によれば、処理容器及びヒータ部の内部を減圧にすると共に、隔壁に画成された内部空間を減圧する減圧手段を備えているので、回転駆動領域における潤滑剤によるコンタミネーションを防止することができる。
【図面の簡単な説明】
【図1】高誘電体ゲート絶縁膜を有する半導体装置装置の構成を示す図である。
【図2】本発明になる基板処理装置の一実施例の構成を示す正面図である。
【図3】本発明になる基板処理装置の一実施例の構成を示す側面図である。
【図4】図2中A−A線に沿う横断面図である。
【図5】処理容器22の下方に配置された機器の構成を示す正面図である。
【図6】処理容器22の下方に配置された機器の構成を示す平面図である。
【図7】処理容器22の下方に配置された機器の構成を示す側面図である。
【図8】排気経路32の構成を示す図であり、(A)は平面図、(B)は正面図、(C)はB−B線に沿う縦断面図である。
【図9】処理容器22及びその周辺機器を拡大して示す側面縦断面図である。
【図10】蓋部材82を外した処理容器22の内部を上方からみた平面図である。
【図11】処理容器22の平面図である。
【図12】処理容器22の正面図である。
【図13】処理容器22の底面図である。
【図14】図12中C−C線に沿う縦断面図である。
【図15】処理容器22の右側面図である。
【図16】処理容器22の左側面図である。
【図17】紫外線光源86,87の取付構造を拡大して示す縦断面図である。
【図18】ガス噴射ノズル部93の構成を拡大して示す縦断面図である。
【図19】ガス噴射ノズル部93の構成を拡大して示す横断面図である。
【図20】ガス噴射ノズル部93の構成を拡大して示す正面図である。
【図21】ヒータ部24の構成を拡大して示す縦断面図である。
【図22】ヒータ部24を拡大して示す底面図である。
【図23】第2の流入口170及び第2の流出口174の取付構造を拡大して示す縦断面図である。
【図24】フランジ140の取付構造を拡大して示す縦断面図である。
【図25】クランプ機構190の上端部の取付構造を拡大して示す縦断面図である。
【図26】SiCヒータ114及びSiCヒータ114の制御系の構成を示す図である。
【図27】石英ベルジャ112の構成を示す図であり、(A)は平面図、(B)は縦断面図である。
【図28】石英ベルジャ112の構成を示す図であり、(A)は上方からみた斜視図、(B)は下方からみた斜視図である。
【図29】減圧システムの排気系統の構成を示す系統図である。
【図30】保持部材120の構成を示す図であり、(A)は平面図、(B)は側面図である。
【図31】ヒータ部24の下方に配置された回転駆動部28の構成を示す縦断面図である。
【図32】回転駆動部28を拡大して示す縦断面図である。
【図33】ホルダ冷却機構234の構成を示す図であり、(A)は横断面図、(B)は側面図である。
【図34】回転位置検出機構232の構成を示す横断面図である。
【図35】回転位置検出機構232の構成及び作用を説明するための図であり、(A)は非検出状態を示す図、(B)は検出状態を示す図である。
【図36】回転位置検出機構232の信号波形図であり、(A)は受光素子268の出力信号Sの波形図、(B)は回転位置判定回路270から出力されるパルス信号Pの波形図である。
【図37】制御回路が実行する回転位置制御処理を説明するためのフローチャートである。
【図38】窓75,76の取付箇所を上方からみた横断面図である。
【図39】窓75を拡大して示す横断面図である。
【図40】窓76を拡大して示す横断面図である。
【図41】下部ケース102の構成を示す図であり、(A)は平面図、(B)は側面図である。
【図42】側面ケース104の構成を示す図であり、(A)は平面図、(B)は正面図、(C)は背面図、(D)は左側面図、(E)は右側面図である。
【図43】上部ケース106の構成を示す図であり、(A)は底面図、(B)は側面図である。
【図44】円筒状ケース108の構成を示す図であり、(A)は平面図、(B)は側面縦断面図、(C)は側面図である。
【図45】リフタ機構30を拡大して示す縦断面図である。
【図46】リフタ機構30のシール構造拡大して示す縦断面図である。
【図47】(A),(B)は、基板処理装置20を使って行われる基板の酸化処理を示すそれぞれ側面図および平面図である。
【図48】基板処理装置20を使って行なわれる基板の酸化処理工程を示す図である。
【図49】本発明で使われるXPSによる膜厚測定方法を示す図である。
【図50】本発明で使われるXPSによる膜厚測定方法を示す別の図である。
【図51】基板処理装置20により酸化膜を形成する際に観測される酸化膜厚成長の停留現象を概略的に示す図である。
【図52】(A),(B)は、シリコン基板表面における酸化膜形成過程を示す図である。
【図53】本発明の第1実施例において得られた酸化膜のリーク電流特性を示す図である。
【図54】(A),(B)は、図53のリーク電流特性の原因を説明する図である。
【図55】(A)〜(C)は、基板処理装置20のおいて生じる酸化膜形成工程を示す図である。
【図56】基板処理装置20において使われるリモートプラズマ源の構成を示す図である。
【図57】RFリモートプラズマとマイクロ波プラズマの特性を比較する図である。
【図58】RFリモートプラズマとマイクロ波プラズマの特性を比較する別の図である。
【図59】(A),(B)は、基板処理装置20を使って行われる酸化膜の窒化処理を示すそれぞれ側面図および平面図である。
【図60】(A),(B)は、RFリモートプラズマで窒化された酸化膜中の窒素濃度と膜厚の関係を、窒化をマイクロ波プラズマで行なった場合と比較して示す図である。
【図61】本発明で使われるXPSの概略を示す図である。
【図62】酸化膜のリモートプラズマによる窒化時間と膜中窒素濃度との関係を示す図である。
【図63】酸化膜の窒化時間と、窒素の膜内分布との関係を示す図である。
【図64】酸化膜の窒化処理により形成された酸窒化膜のウェハごとの膜厚変動を示す図である。
【図65】本実施例による酸化膜の窒化処理に伴う膜厚増を示す図である。
【符号の説明】
10 半導体装置
11 シリコン基板
12 ベース酸化膜
12A 酸窒化膜
13,43 高誘電体膜
14 ゲート電極
20 基板処理装置
22 処理容器
24 ヒータ部
26 紫外線照射部
27 リモートプラズマ部
28 回転駆動部
30リフタ機構
32 排気経路
34 ガス供給部
36 フレーム
46 冷却水供給部
48a,48b 排気用バルブ
50 ターボ分子ポンプ
51 真空管路
52 電源ユニット
57 UVランプコントローラ
66 ガスボックス
68 イオンゲージコントローラ
70 APCコントローラ
72 TMPコントローラ
73 開口
74 排気口
75 第1の窓
76 第2の窓
77,85 センサユニット
80 チャンバ
82 蓋部材
84 プロセス空間
86,87 紫外線光源(UVランプ)
85a〜85c 圧力計
88 透明窓
90 供給管路
92 供給口
93 ガス噴射ノズル部
93b〜93b ノズル板
93a〜93an 噴射孔
94 搬送口
96 ゲートバルブ
97a 第1のマスフローコントローラ
97b 第2のマスフローコントローラ
98 搬送ロボット
99〜99 ガス供給管路
100 石英ライナ
102 下部ケース
104 側面ケース
106 上部ケース
108 円筒状ケース
110 ベース
112 石英ベルジャ
113 内部空間
114 SiCヒータ
116 熱反射部材(リフレクタ)
118 SiCサセプタ(加熱部材)
119 パイロメータ
120 保持部材
120a〜120c 腕部
120d 軸
122 ケーシング
124 内部空間
126 セラミック軸
128 モータ
130 マグネットカップリング
132 昇降アーム
134 昇降軸
136 駆動部
138a〜138c 当接ピン
142 中央孔
144 第1の水路
146 第1のフランジ
148 第2のフランジ
150 第2の水路
152 第1の流入管路
154 第1の流入口
156 流出管路
158 第1の流出口
164 温度センサ
166a〜166f 電源ケーブル接続用端子
168 第2の流入管路
170 第2の流入口
172 流出管路
174 第2の流出口
190 クランプ機構
192 コイルバネ
194a〜194f 接続部材
196 ヒータ制御回路
197,199 L字状ワッシャ
198 温度調整器
200 電源
201 ポンプ(MBP)
202 排気管路
204 排気管路
206,210,212 バルブ
208 分岐管路
211 可変絞り
214 圧力計
230 ホルダ
232 回転位置検出機構
234 ホルダ冷却機構
236,237 セラミック軸受
242 フランジ
244 隔壁
246 排気ポート
248 従動側マグネット
250 マグネットカバー
252 大気側回転部
254,255 軸受
256 駆動側マグネット
258 回転検出ユニット
260,261 スリット板
262,263 フォトインタラプタ
266 発光素子
268 受光素子
270 回転位置判定回路[0001]
TECHNICAL FIELD OF THE INVENTION
The present invention relates to a substrate processing apparatus, and more particularly to a substrate processing apparatus that performs processing such as film formation on a substrate.
[0002]
[Prior art]
In today's ultrahigh-speed semiconductor devices, a gate length of 0.1 μm or less is becoming possible with the progress of the miniaturization process. In general, the operating speed of a semiconductor device increases with miniaturization, but in such a very miniaturized semiconductor device, the thickness of the gate insulating film is reduced according to a scaling rule as the gate length is reduced by miniaturization. Need to be done.
[0003]
However, when the gate length is 0.1 μm or less, the thickness of the gate insulating film must be set to 1 to 2 nm or less when a conventional thermal oxide film is used. In the insulating film, a problem that a tunnel current increases and a gate leakage current increases as a result cannot be avoided.
[0004]
Under such circumstances, the relative dielectric constant is much higher than that of the thermal oxide film, and therefore, even if the actual film thickness is large,2Ta with small film thickness when converted to film2O5And Al2O3, ZrO2, HfO2And ZrSiO4Or HfSiO4It has been proposed to apply such a high dielectric material to a gate insulating film. By using such a high-dielectric material, a gate insulating film having a physical thickness of about 10 nm can be used even in an ultra-high-speed semiconductor device having a gate length of 0.1 μm or less, which is extremely short. Gate leak current can be suppressed.
[0005]
For example, Ta2O5The film is Ta (OC2H5)5And O2It is known that it can be formed by a CVD method using as a gaseous material. Typically, the CVD process is performed in a vacuum environment at a temperature of about 480 ° C. or higher. Ta formed in this manner2O5The film is further heat-treated in an oxygen atmosphere, so that oxygen deficiency in the film is eliminated and the film itself is crystallized. Ta crystallized in this manner2O5The film shows a large relative permittivity.
[0006]
From the viewpoint of improving carrier mobility in the channel region, an extremely thin base oxide film having a thickness of 1 nm or less, preferably 0.8 nm or less is interposed between the high dielectric gate oxide film and the silicon substrate. preferable. The base oxide film needs to be very thin, and if the thickness is large, the effect of using the high dielectric film as the gate insulating film is offset. On the other hand, such a very thin base oxide film needs to uniformly cover the surface of the silicon substrate, and is required not to form defects such as interface states.
[0007]
Conventionally, a thin gate oxide film is generally formed by a rapid thermal oxidation (RTO) treatment of a silicon substrate (for example, see Patent Document 1). However, the thermal oxide film is formed to a desired thickness of 1 nm or less. To form a film, it is necessary to lower the processing temperature during film formation. However, such a thermal oxide film formed at a low temperature tends to include defects such as interface states, and is not suitable as a base oxide film of a high dielectric gate oxide film.
[0008]
FIG. 1 shows a schematic configuration of a high-speed semiconductor device 10 having a high dielectric gate insulating film.
[0009]
Referring to FIG. 1, a semiconductor device 10 is formed on a silicon substrate 11, and a Ta base is formed on the silicon substrate 11 with a thin base oxide film 12 interposed therebetween.2O5, Al2O3, ZrO2, HfO2, ZrSiO4, HfSiO4A high dielectric gate insulating film 13 is formed, and a gate electrode 14 is formed on the high dielectric gate insulating film 13.
[0010]
In the semiconductor device 10 of FIG. 1, nitrogen (N) is doped into the surface portion of the base oxide film layer 12 within a range where the flatness of the interface between the silicon substrate 11 and the base oxide film 12 is maintained. , An oxynitride film 12A is formed. By forming the oxynitride film 12A having a larger relative dielectric constant than the silicon oxide film in the base oxide film 12, the equivalent oxide thickness of the base oxide film 12 can be further reduced.
[0011]
As described above, in the high-speed semiconductor device 10, the thickness of the base oxide film 12 is preferably as small as possible.
[0012]
[Patent Document 1]
JP-A-5-47687 (page 3, FIG. 1).
[0013]
[Problems to be solved by the invention]
However, it is much more difficult to form the base oxide film 12 uniformly and stably with a thickness of 1 nm or less, for example, 0.8 nm or less, and further with a thickness of about 0.4 nm corresponding to a few atomic layers. It was difficult.
[0014]
Further, in order to exhibit the function of the high dielectric gate insulating film 13 formed on the base oxide film 12, it is necessary to crystallize the deposited high dielectric film 13 by heat treatment and perform oxygen deficiency compensation. When such a heat treatment is performed on the high dielectric film 13, the thickness of the base oxide film 12 increases, and the effective gate insulating film is formed by using the high dielectric gate insulating film 13. The reduction in thickness was essentially offset.
[0015]
Such an increase in the thickness of the base oxide film 12 due to the heat treatment may be caused by the interdiffusion of oxygen atoms and silicon atoms at the interface between the silicon substrate 11 and the base oxide film 12 and the formation of a silicate transition layer due to this, or This suggests the possibility of growth of the base oxide film 12 due to intrusion of oxygen into the substrate. Such a problem of an increase in the film thickness due to the heat treatment of the base oxide film 12 is very serious particularly when the film thickness of the base oxide film 12 is reduced to a thickness of several atomic layers or less, which is desirable as the base oxide film. It becomes a problem.
[0016]
Accordingly, it is a general object of the present invention to provide a new and useful substrate processing apparatus which solves the above-mentioned problems.
[0017]
A more specific object of the present invention is to stably form an extremely thin oxide film having a thickness of typically 2 to 3 atomic layers on the surface of a silicon substrate, and further nitride the oxide film to form an oxynitride film. An object of the present invention is to provide a substrate processing apparatus that can be formed.
[0018]
Still another object of the present invention is to provide a substrate processing apparatus configured to solve the above-described problems, improve the uniformity and throughput of an oxide film, and prevent contamination. is there.
[0019]
[Means for Solving the Problems]
The present invention has the following features to solve the above problems.
[0020]
The invention described in claim 1 is
A processing vessel in which a processing space is defined,
A heater unit for heating the substrate to be processed inserted into the processing space to a predetermined temperature;
A holding member for holding the substrate to be processed at a position facing the heater section;
A partition wall formed so as to cover the periphery of the axis of the holding member,
A magnet coupling for disposing a driving-side magnet provided outside the partition and a driven-side magnet provided inside the partition to face each other, and transmitting a rotational driving force to a shaft of the holding member passing through the heater. When,
A substrate processing apparatus comprising: a rotation driving unit configured to rotationally drive a shaft of the holding member via the magnet coupling.
[0021]
The invention according to claim 2 is characterized in that the shaft of the holding member is inserted into a rotating shaft made of ceramic inside the partition, and a driven magnet is fixed to the outer periphery of the rotating shaft. It is.
[0022]
According to a third aspect of the present invention, there is provided a ceramic bearing in which the partition wall is formed in a bottomed cylindrical shape whose upper end is fixed to a bottom portion of the heater portion, and in which the rotating shaft is rotatably supported. It is characterized by holding.
[0023]
The invention according to claim 4 is characterized in that the driven magnet is housed in a sealed case.
[0024]
Further, the invention according to claim 5 is characterized in that the inside of the processing container and the heater section is decompressed, and decompression means for decompressing the internal space defined by the partition is provided. .
[0025]
According to the present invention, the shaft of the holding member is connected to the shaft of the holding member via a magnet coupling in which a driving magnet provided outside the partition surrounding the shaft of the holding member and a driven magnet provided inside the partition are opposed to each other. Due to the rotational drive, the partition prevents contamination by the driven magnet, and the rotary drive unit can be made compact to reduce the size of the apparatus. Further, it is possible to stably rotate the substrate to be processed, to improve the efficiency of the film forming process on the substrate to be processed, and to increase the productivity.
[0026]
Further, according to the present invention, the use of the lubricant in the rotation drive region is achieved by inserting the shaft of the holding member inside the partition into the rotating shaft formed of ceramic and fixing the driven magnet on the outer periphery of the rotating shaft. To prevent contamination due to the lubricant, and to increase the durability of the rotating part.
[0027]
Further, according to the present invention, the partition wall is formed in a bottomed cylindrical shape fixed to the bottom of the heater portion, and the ceramic drive that rotatably supports the rotating shaft is held inside the partition wall, thereby rotating the partition. It is possible to prevent contamination by metal in the region, to use no lubricant, and to increase the durability of the rotating part.
[0028]
Further, according to the present invention, since the driven magnet is housed in the sealed case, it is possible to prevent contamination due to gas released from the driven magnet.
[0029]
In addition, according to the present invention, since the inside of the processing container and the heater section is decompressed and the decompression means for depressurizing the internal space defined by the partition wall is provided, contamination by the lubricant in the rotation drive region is reduced. Can be prevented.
[0030]
BEST MODE FOR CARRYING OUT THE INVENTION
Hereinafter, an embodiment of the present invention will be described with reference to the drawings.
[0031]
FIG. 2 is a front view showing the configuration of one embodiment of the substrate processing apparatus according to the present invention. FIG. 3 is a side view showing the configuration of one embodiment of the substrate processing apparatus according to the present invention. FIG. 4 is a cross-sectional view along the line AA in FIG.
[0032]
As shown in FIGS. 2 to 4, the substrate processing apparatus 20 uses an ultraviolet radical oxidation process of a silicon substrate and a high-frequency remote plasma of an oxide film formed by the ultraviolet radical oxidation process, as described later. And the radical nitriding treatment can be performed continuously.
[0033]
The main components of the substrate processing apparatus 20 include: a processing container 22 having a processing space defined therein; a heater unit 24 for heating a substrate to be processed (silicon substrate) inserted into the processing container 22 to a predetermined temperature; An ultraviolet irradiation unit 26 mounted on the upper portion of the processing container 22, a remote plasma unit 27 for supplying nitrogen radicals, a rotation drive unit 28 for rotating the substrate to be processed, and a substrate to be processed inserted into the processing space are raised and lowered. The lifter mechanism 30 includes an exhaust path 32 for reducing the pressure inside the processing container 22, and a gas supply unit 34 for supplying a gas (a process gas such as a nitrogen gas or an oxygen gas) into the processing container 22. .
[0034]
Further, the substrate processing apparatus 20 has a frame 36 for supporting each of the above main components. The frame 36 is a three-dimensional combination of steel frames, and includes a trapezoidal bottom frame 38 placed on the floor surface, vertical frames 40 and 41 standing upright from the rear of the bottom frame 38, and a vertical frame 40. An intermediate frame 42 extends horizontally from an intermediate portion of the frame 40 and an upper frame 44 extends horizontally from upper ends of the vertical frames 40 and 41.
[0035]
In the bottom frame 38, a cooling water supply unit 46, exhaust valves 48a and 48b including electromagnetic valves, a turbo molecular pump 50, a vacuum conduit 51, a power supply unit 52 of the ultraviolet irradiation unit 26, a driving unit 136 of the lifter mechanism 30, a gas The supply unit 34 and the like are mounted.
[0036]
Inside the vertical frame 40, a cable duct 40a through which various cables are inserted is formed. An exhaust duct 41a is formed inside the vertical frame 41. Further, an emergency stop switch 60 is attached to a bracket 58 fixed to an intermediate portion of the vertical frame 40, and a temperature controller for adjusting the temperature using cooling water is attached to a bracket 62 fixed to the intermediate portion of the vertical frame 41. 64 are attached.
[0037]
On the intermediate frame 42, the processing container 22, the ultraviolet irradiation unit 26, the remote plasma unit 27, the rotation driving unit 28, the lifter mechanism 30, and the UV lamp controller 57 are supported. The upper frame 44 controls a gas box 66 to which a plurality of gas pipes 58 drawn from the gas supply unit 34 are connected, an ion gauge controller 68, an APC controller 70 for performing pressure control, and a turbo molecular pump 50. A TMP controller 72 and the like are mounted.
[0038]
FIG. 5 is a front view showing the configuration of the equipment disposed below the processing container 22. FIG. 6 is a plan view showing the configuration of the device disposed below the processing container 22. FIG. 7 is a side view showing the configuration of the device disposed below the processing container 22. 8A and 8B are diagrams showing the configuration of the exhaust path 32, where FIG. 8A is a plan view, FIG. 8B is a front view, and FIG. 8C is a longitudinal sectional view along line BB.
[0039]
As shown in FIGS. 5 to 7, an exhaust path 32 for exhausting gas inside the processing container 22 is provided below the rear part of the processing container 22. The exhaust passage 32 is attached so as to communicate with a rectangular exhaust port 74 having a lateral width substantially equal to the lateral width of the processing space formed inside the processing container 22.
[0040]
As described above, since the exhaust port 74 is formed so as to extend to a length corresponding to the width of the inside of the processing container 22, the gas supplied from the front portion 22 a side of the processing container 22 to the inside thereof will be described later. The flow passes through the inside of the processing vessel 22 and flows backward, and is efficiently exhausted to the exhaust path 32 at a constant flow rate (laminar flow).
[0041]
As shown in FIGS. 8A to 8C, the exhaust path 32 has a rectangular opening 32a communicating with the exhaust port 74, and the left and right side surfaces of the opening 32a are tapered downward. Tapered portion 32b, a bottom portion 32c having a reduced passage area at a lower end of the tapered portion 32b, an L-shaped main exhaust pipe 32d protruding forward from the bottom portion 32c, and an outlet opening at a lower end of the main exhaust pipe 32d. 32e, and a bypass outlet 32g opening to the lower portion 32f of the tapered portion 32b. The outlet 32 e communicates with the inlet of the turbo-molecular pump 50. The bypass outlet 32g communicates with the bypass pipe 51a.
[0042]
As shown in FIGS. 5 to 7, the gas discharged from the exhaust port 74 of the processing container 22 flows into the rectangular opening 32 a by the suction force of the turbo-molecular pump 50 and flows through the tapered portion 32 b. It passes through to the bottom 32c and is led to the turbo-molecular pump 50 via the main exhaust pipe 32d and the outlet 32e.
[0043]
The discharge pipe 50a of the turbo molecular pump 50 is connected to a vacuum pipe 51 via a valve 48a. Therefore, the gas filled in the processing container 22 is discharged to the vacuum pipe 51 via the turbo molecular pump 50 when the valve 48a is opened. A bypass pipe 51a is connected to the bypass outlet 32g of the exhaust path 32. The bypass pipe 51a is connected to the vacuum pipe 51 by opening a valve 48b.
[0044]
Here, a configuration of the processing container 22 and a peripheral device thereof that constitute a main part of the present invention will be described.
[0045]
[Configuration of Processing Container 22]
FIG. 9 is a side longitudinal sectional view showing the processing container 22 and its peripheral devices in an enlarged manner. FIG. 10 is a plan view of the inside of the processing container 22 from which the lid member 82 has been removed, as viewed from above.
As shown in FIGS. 9 and 10, the processing container 22 has a configuration in which the upper opening of the chamber 80 is closed by a lid member 82, and the inside is a process space (processing space) 84.
[0046]
The processing container 22 has a supply port 22g through which gas is supplied to a front portion 22a, and a transfer port 94 at a rear portion 22b. The supply port 22g is provided with a gas injection nozzle 93 described later, and the transfer port 94 is connected to a gate valve 96 described later.
[0047]
FIG. 11 is a plan view of the processing container 22. FIG. 12 is a front view of the processing container 22. FIG. 13 is a bottom view of the processing container 22. FIG. 14 is a longitudinal sectional view taken along line CC in FIG. FIG. 15 is a right side view of the processing container 22. FIG. 16 is a left side view of the processing container 22.
[0048]
As shown in FIGS. 11 to 16, the bottom portion 22 c of the processing container 22 is provided with an opening 73 into which the heater unit 24 is inserted, and the above-described rectangular-shaped exhaust port 74. The aforementioned exhaust path 32 communicates with the exhaust port 74. The chamber 80 and the lid member 82 are, for example, formed by cutting an aluminum alloy into a shape as described above.
[0049]
Further, on the right side surface 22 e of the processing container 22, first and second windows 75 and 76 for looking into the process space 84 and a sensor unit 77 for measuring the temperature of the process space 84 are attached.
[0050]
In the present embodiment, an elliptical first window 75 is disposed on the left side of the center of the right side 22e, and a circular second window 76 is disposed on the right side of the center of the right side 22e. Therefore, the state of the target substrate W held in the process space 84 can be directly viewed from both directions, which is advantageous for observing the film formation state of the target substrate W and the like.
[0051]
The windows 75 and 76 can be removed from the processing container 22 when a temperature measuring instrument such as a thermocouple is inserted.
[0052]
A sensor unit 85 for measuring the pressure in the process space 84 is attached to the left side surface 22d of the processing container 22. The sensor unit 85 is provided with three pressure gauges 85a to 85c having different measurement ranges, so that a pressure change in the process space 84 can be measured with high accuracy.
[0053]
At the four corners of the inner wall of the processing container 22 forming the process space 84, curved portions 22h formed in an R shape are provided. Acts to stabilize the gas flow injected from the.
[0054]
[Configuration of UV Irradiation Unit 26]
As shown in FIGS. 8 to 11, the ultraviolet irradiation section 26 is attached to the upper surface of the lid member 82. Inside the housing 26a of the ultraviolet irradiation section 26, two cylindrical ultraviolet light sources (UV lamps) 86 and 87 are arranged in parallel at a predetermined interval.
[0055]
The ultraviolet light sources 86 and 87 have a characteristic of emitting ultraviolet light having a wavelength of 172 nm, and have a process space through rectangular openings 82 a and 82 b formed in the cover member 82 and extending in the horizontal direction. The front half (left half in FIG. 8) of the process space 84 is provided at a position where the ultraviolet light is irradiated so as to face the upper surface of the processing target substrate W held by the substrate 84.
[0056]
Further, the intensity distribution of the ultraviolet rays emitted from the ultraviolet light sources 86 and 87 extending linearly onto the substrate W to be processed is not uniform, and varies depending on the radial position of the substrate W to be processed. Decreases toward the outer peripheral side of the substrate W to be processed, and the other decreases toward the inner peripheral side. As described above, the ultraviolet light sources 86 and 87 alone form a monotonically changing ultraviolet intensity distribution on the substrate W to be processed, but the direction of change in the ultraviolet intensity distribution with respect to the substrate W is reversed.
[0057]
Therefore, by optimizing the driving power of the ultraviolet light sources 86 and 87 under the control of the UV lamp controller 57, it is possible to realize a very uniform ultraviolet intensity distribution on the substrate W to be processed.
[0058]
The optimum value of the driving power can be obtained by changing the driving output to the ultraviolet light sources 86 and 87 and evaluating the film formation result.
[0059]
The distance between the substrate W to be processed and the center of the cylindrical core of the ultraviolet light sources 86 and 87 is set, for example, to 50 to 300 mm, and preferably about 100 to 200 mm.
[0060]
FIG. 17 is an enlarged longitudinal sectional view showing the mounting structure of the ultraviolet light sources 86 and 87.
As shown in FIG. 17, the ultraviolet light sources 86 and 87 are held at positions facing the bottom opening 26b of the housing 26a of the ultraviolet irradiation unit 26. The bottom opening 26b is opened at a position facing the upper surface of the substrate W to be processed held in the process space 84, and is formed in a rectangular shape having a lateral width longer than the entire length of the ultraviolet light sources 86 and 87.
[0061]
A transparent window 88 made of transparent quartz is attached to the peripheral portion 26c of the bottom opening 26b. The transparent window 88 transmits ultraviolet light emitted from the ultraviolet light sources 86 and 87 to the process space 84 and has a strength to withstand a pressure difference when the process space 84 is depressurized.
[0062]
Further, a sealing surface 88a with which a sealing member (O-ring) 89 mounted in a groove of the peripheral portion 26c of the bottom opening 26b abuts is formed on a lower peripheral portion of the transparent window 88. The sealing surface 88a is formed of coating or black quartz for protecting the sealing member 89. Accordingly, the material of the seal member 89 is not decomposed, the deterioration is prevented, the sealing performance is secured, and the material of the seal member 89 is prevented from entering the process space 84.
[0063]
A cover 88b made of stainless steel is in contact with the peripheral edge of the upper surface of the transparent window 88. By increasing the strength when the transparent window 88 is clamped by the fastening member 91, the transparent window 88 is pressed by the pressing force at the time of fastening. To prevent damage.
[0064]
Further, in the present embodiment, the ultraviolet light sources 86 and 87 and the transparent window 88 are provided so as to extend in a direction orthogonal to the flow direction of the gas flow injected from the gas injection nozzle 93, but this is not restrictive. Instead, for example, the ultraviolet light sources 86 and 87 and the transparent window 88 may be provided in a direction extending in the gas flow direction.
[0065]
[Configuration of gas injection nozzle unit 93]
As shown in FIGS. 9 and 10, the processing container 22 is provided with a gas injection nozzle unit 93 that injects a nitrogen gas or an oxygen gas into the process space 84 at a supply port 22 g that opens to the front part 22 a. The gas injection nozzle section 93 has a plurality of injection ports 93a arranged in a line in the width direction of the process space 84 as described later, and the gas injected from the plurality of injection ports 93a flows in a laminar state to the substrate to be processed. A stable flow is generated inside the process space 84 so as to pass through the surface of W.
[0066]
The distance between the lower surface of the lid member 82 for closing the process space 84 and the substrate W to be processed is set, for example, to 5 to 100 mm, and preferably about 25 to 85 mm.
[0067]
[Configuration of heater unit 24]
As shown in FIGS. 9 and 10, the heater unit 24 includes a base 110 made of an aluminum alloy, a transparent quartz bell jar 112 fixed on the base 110, and SiC housed in an internal space 113 of the quartz bell jar 112. The configuration includes a heater 114, a heat reflection member (reflector) 116 formed of opaque quartz, and a SiC susceptor (heating member) 118 mounted on the upper surface of the quartz bell jar 112 and heated by the SiC heater 114. .
[0068]
Therefore, the SiC heater 114 and the heat reflecting member 116 are isolated in the internal space 113 of the quartz bell jar 112, so that contamination in the process space 84 is prevented. In the cleaning step, only the SiC susceptor 118 exposed in the process space 84 needs to be cleaned, so that the labor for cleaning the SiC heater 114 and the heat reflecting member 116 can be omitted.
[0069]
The substrate to be processed W is held by the holding member 120 so as to face above the SiC susceptor 118. On the other hand, the SiC heater 114 is mounted on the upper surface of the heat reflecting member 116, and the heat generated by the SiC heater 114 is radiated to the SiC susceptor 118, and the heat reflected by the heat reflecting member 116 is also transmitted to the SiC susceptor 118. Radiated. The SiC heater 114 of this embodiment is heated to a temperature of about 700 ° C. while being slightly separated from the SiC susceptor 118.
[0070]
Since the SiC susceptor 118 has a good thermal conductivity, the heat from the SiC heater 114 is efficiently transmitted to the substrate to be processed W so that the substrate to be processed W eliminates the temperature difference between the peripheral portion and the central portion, and Prevents W from warping due to temperature difference.
[0071]
[Configuration of the rotation drive unit 28]
As shown in FIGS. 9 and 10, the rotation driving unit 28 includes a holding member 120 that holds the substrate W to be processed above the SiC susceptor 118, a casing 122 fixed to the lower surface of the base 110, and a casing 122. A motor 128 for rotating a ceramic shaft 126 coupled to the shaft 120d of the holding member 120 in an internal space 124 defined by the above, and a magnet coupling 130 for transmitting the rotation of the motor 128. .
[0072]
In the rotation drive unit 28, the shaft 120 d of the holding member 120 penetrates the quartz bell jar 112 and is connected to the ceramic shaft 126, and the ceramic shaft 126 and the rotation shaft of the motor 128 are in non-contact with each other via the magnet coupling 130. As a result, the configuration of the rotary drive system is compact, which contributes to downsizing of the entire apparatus.
[0073]
The holding member 120 has arms 120a to 120c extending radially (at intervals of 120 degrees in the circumferential direction) in the horizontal direction from the upper end of the shaft 120d. The substrate W to be processed is held while being placed on the arm portions 120a to 120c of the holding member 120. The substrate W to be processed thus held is rotated at a constant rotation speed by the motor 128 together with the holding member 120, whereby the temperature distribution due to the heat generated by the SiC heater 114 is averaged, and the ultraviolet light source 86, The intensity distribution of the ultraviolet light emitted from the light source 87 becomes uniform, and a uniform film is formed on the surface.
[0074]
[Configuration of Lifter Mechanism 30]
As shown in FIGS. 9 and 10, the lifter mechanism 30 is provided below the chamber 80 and beside the quartz bell jar 112, and is connected to the lifting arm 132 inserted into the chamber 80 and the lifting arm 132. And a drive unit 136 that moves the elevating shaft 134 up and down. The elevating arm 132 is made of, for example, ceramic or quartz. As shown in FIG. 10, the elevating arm 132 includes a coupling part 132 a to which an upper end of an elevating shaft 134 is coupled and an annular part 132 b surrounding the outer periphery of the SiC susceptor 118. Have. The lifting arm 132 is provided with three contact pins 138a to 138c extending from the inner periphery of the annular portion 132b to the center at intervals of 120 degrees in the circumferential direction.
[0075]
The contact pins 138a to 138c are lowered to positions where they are fitted into grooves 118a to 118c extending from the outer periphery of the SiC susceptor 118 toward the center. Move up. The contact pins 138a to 138c are arranged so as not to interfere with the arms 120a to 120c of the holding member 120 formed to extend from the center of the SiC susceptor 118 to the outer peripheral side.
[0076]
The elevating arm 132 causes the contact pins 138 a to 138 c to abut on the lower surface of the processing target substrate W immediately before the robot hand of the transfer robot 98 takes out the processing target substrate W, thereby holding the processing target substrate W to the arm of the holding member 120. Lift from 120a to 120c. Thereby, the robot hand of the transfer robot 98 can move below the substrate W to be processed, and the lifting arm 132 descends to hold and transport the substrate W to be processed.
[0077]
[Configuration of quartz liner 100]
As shown in FIGS. 9 and 10, a quartz liner 100 made of, for example, white opaque quartz is mounted inside the processing container 22 to block ultraviolet rays. Further, the quartz liner 100 has a configuration in which a lower case 102, a side case 104, an upper case 106, and a cylindrical case 108 that covers the outer periphery of the quartz bell jar 112 are combined as described later.
[0078]
The quartz liner 100 covers the inner walls of the processing container 22 and the lid member 82 that form the process space 84, thereby providing a heat insulating effect of preventing the thermal expansion of the processing container 22 and the lid member 82. It has a function of preventing the inner wall of the lid member 82 from being oxidized by ultraviolet rays and preventing metal contamination.
[0079]
[Configuration of Remote Plasma Unit 27]
As shown in FIGS. 9 and 10, the remote plasma unit 27 that supplies nitrogen radicals to the process space 84 is attached to the front part 22 a of the processing container 22. It is communicated with the supply port 92.
[0080]
In the remote plasma unit 27, a nitrogen gas is supplied together with an inert gas such as Ar, and the nitrogen gas is activated by plasma to form nitrogen radicals. The nitrogen radicals formed in this way flow along the surface of the substrate W to be processed, and nitride the substrate surface.
[0081]
In addition to nitrogen gas, O2, NO, N2O, NO2, NH3Oxidation and oxynitride radical processes using a gas or the like can also be performed.
[0082]
[Configuration of Gate Valve 96]
As shown in FIGS. 9 and 10, a transfer port 94 for transferring the substrate to be processed W is provided at a rear portion of the processing container 22. The transfer port 94 is closed by a gate valve 96 and is opened by the opening operation of the gate valve 96 only when the substrate W to be processed is transferred.
[0083]
A transfer robot 98 is provided behind the gate valve 96. Then, in accordance with the opening operation of the gate valve 96, the robot hand of the transfer robot 98 enters the inside of the process space 84 through the transfer port 94 to perform the work of replacing the substrate W to be processed.
[Details of each component above]
(1) Here, the configuration of the gas injection nozzle section 93 will be described in detail.
FIG. 18 is an enlarged longitudinal sectional view showing the configuration of the gas injection nozzle section 93. FIG. 19 is a cross-sectional view showing the configuration of the gas injection nozzle unit 93 in an enlarged manner. FIG. 20 is an enlarged front view showing the configuration of the gas injection nozzle unit 93.
[0084]
As shown in FIGS. 18 to 20, the gas injection nozzle unit 93 has a communication hole 92 in the center of the front surface, through which the supply pipe 90 of the remote plasma unit 27 communicates. Injection hole 93a1~ 93anAre arranged in a row in the horizontal direction.1~ 93b3Is attached. Injection hole 93a1~ 93anAre small holes having a diameter of 1 mm, for example, and are provided at intervals of 10 mm.
[0085]
In the present embodiment, the injection holes 93a formed of small holes are used.1~ 93anHowever, the present invention is not limited to this. For example, a thin slit may be provided as an injection hole.
[0086]
Also, the nozzle plate 93b1~ 93b3Are fastened to the wall surface of the gas injection nozzle portion 93. Therefore, the injection holes 93a1~ 93anThe gas injected from the nozzle flows forward from the wall surface of the gas injection nozzle unit 93.
[0087]
For example, the injection hole 93a1~ 93anIs provided in the pipe-shaped nozzle conduit, the injection holes 93a1~ 93anIs generated such that a part of the gas injected from the substrate wraps around the back of the nozzle conduit, and a gas pool is generated in the process space 84, so that the gas flow around the substrate W to be processed becomes unstable. .
[0088]
However, in the present embodiment, the injection holes 93a1~ 93anIs formed on the wall surface of the gas injection nozzle portion 93, so that such a phenomenon that the gas returns to the rear of the nozzle does not occur, and the gas flow around the substrate W to be processed is maintained in a stable laminar flow state. Becomes possible. Thereby, a film is uniformly formed on the substrate W to be processed.
[0089]
In addition, each nozzle plate 93b1~ 93b3A concave portion 93c functioning as a gas reservoir1~ 93c3Is formed. This recess 93c1~ 93c3Is the injection hole 93a1~ 93anOf each injection hole 93a1~ 93anThe flow velocity of each of the gas injected from the nozzles can be averaged. This makes it possible to average the flow velocity in the entire process space 84.
[0090]
Further, each recess 93c1~ 93c3Is a gas supply hole 93d penetrating the gas injection nozzle 93.1~ 93d3Is communicated. In addition, the central gas supply hole 93d2Are formed at positions shifted in the lateral direction so as not to intersect with the communication hole 92, and are bent into a crank shape.
[0091]
And the central gas supply hole 93d2The gas whose flow rate is controlled by the first mass flow controller 97a is supplied to the gas supply line 99.2Is supplied via Also, gas supply holes 93d2Gas supply holes 93d arranged on the left and right of1, 93d3The gas whose flow rate is controlled by the second mass flow controller 97b is supplied to the gas supply line 99.1, 993Is supplied via
[0092]
Further, the first mass flow controller 97a and the second mass flow controller 97b are connected to the gas supply line 99.4, 995And is connected to the gas supply unit 34 via the control unit, and controls the flow rate of the gas supplied from the gas supply unit 34 to a preset flow rate.
[0093]
The gas supplied from the first mass flow controller 97a and the second mass flow controller 97b is supplied to a gas supply line 99.1~ 993Through the gas supply hole 93d1~ 93d3And each recess 93c1~ 93c3After filling, the injection holes 93a1~ 93anIs injected toward the process space 84.
[0094]
The gas in the process space 84 is supplied to each nozzle plate 93 b extending in the width direction of the front portion 22 a of the processing container 22.1~ 93b3Injection hole 93a1~ 93anIs injected toward the entire width of the process space 84, and flows toward the rear part 22 b of the processing container 22 at a constant flow velocity (laminar flow) throughout the process space 84.
[0095]
Further, since a rectangular exhaust port 74 extending in the width direction of the rear portion 22b is opened on the rear portion 22b side of the processing container 22, the gas in the process space 84 flows backward and has a constant flow rate. The air is exhausted to the exhaust passage 32 as (laminar flow).
[0096]
In this embodiment, since two systems of flow control are possible, for example, different flow control can be performed by the first mass flow controller 97a and the second mass flow controller 97b.
[0097]
Thereby, it is also possible to change the flow rate (flow rate) of the gas supplied into the process space 84 so as to change the gas concentration distribution in the process space 84. Furthermore, different types of gases can be supplied to the first mass flow controller 97a and the second mass flow controller 97b. For example, the first mass flow controller 97a controls the flow rate of nitrogen gas, It is also possible to control the flow rate of oxygen gas by the controller 97b.
[0098]
Examples of the used gas include an oxygen-containing gas, a nitrogen-containing gas, and a rare gas.
[0099]
(2) Here, the configuration of the heater section 24 will be described in detail.
FIG. 21 is an enlarged longitudinal sectional view showing the configuration of the heater section 24. FIG. 22 is a bottom view showing the heater section 24 in an enlarged manner.
[0100]
As shown in FIGS. 21 and 22, the heater unit 24 has a quartz bell jar 112 mounted on an aluminum alloy base 110 and is fixed to a bottom 22 c of the processing container 22 via a flange 140. The SiC heater 114 and the heat reflecting member 116 are housed in the internal space 113 of the quartz bell jar 112. Therefore, the SiC heater 114 and the heat reflecting member 116 are isolated from the process space 84 of the processing container 22, do not contact the gas in the process space 84, and have a configuration in which contamination does not occur.
[0101]
The SiC susceptor 118 is mounted on the quartz bell jar 112 so as to face the SiC heater 114, and the temperature is measured by a pyrometer 119. The pyrometer 119 measures the temperature of the SiC susceptor 118 by a pyroelectric effect (pyroelectric effect) generated as the SiC susceptor 118 is heated. In the control circuit, a temperature signal detected by the pyrometer 119 is used. , The temperature of the substrate W to be processed is estimated, and the amount of heat generated by the SiC heater 114 is controlled based on the estimated temperature.
[0102]
Further, when the process space 84 of the processing vessel 22 is depressurized as described later, the internal space 113 of the quartz bell jar 112 is simultaneously depressurized by operating the depressurization system so that the pressure difference with the process space 84 is reduced. Therefore, the quartz bell jar 112 does not need to be made thick (for example, about 30 mm) in consideration of the pressure difference during the depressurizing step, has a small heat capacity, and can increase the responsiveness during heating.
[0103]
The base 110 is formed in a disk shape, has a central hole 142 in the center through which the shaft 120d of the holding member 120 is inserted, and a first water passage for cooling water formed in the inside thereof and extending in the circumferential direction. 144 are provided. Since the base 110 is made of an aluminum alloy, it has a large coefficient of thermal expansion, but is cooled by flowing cooling water through the first water channel 144.
[0104]
Further, the flange 140 is configured by combining a first flange 146 interposed between the base 110 and the bottom portion 22 c of the processing container 22 and a second flange 148 fitted on the inner periphery of the first flange 146. It is. On the inner peripheral surface of the first flange 146, a second water passage 150 for cooling water is formed extending in the circumferential direction.
[0105]
The cooling water supplied from the upper cooling water supply unit 46 flows through the water passages 144 and 150, thereby cooling the base 110 and the flange 140 heated by the heat generated by the SiC heater 114, and thermally expanding the base 110 and the flange 140. Suppress.
[0106]
In addition, on the lower surface of the base 110, a first inflow port 154 through which a first inflow pipe 152 for flowing the cooling water into the water path 144 is communicated, and an outflow pipe 156 for discharging the cooling water passing through the water path 144. And a first outlet 158 through which is communicated. Further, in the vicinity of the outer periphery of the lower surface of the base 110, a plurality of (for example, about 8 to 12) mounting holes 162 for inserting the bolts 160 fastened to the first flange 146 are provided in the circumferential direction.
[0107]
A temperature sensor 164 composed of a thermocouple for measuring the temperature of the SiC heater 114 and a power cable connecting terminal for supplying power to the SiC heater 114 are provided near a radially intermediate position on the lower surface of the base 110. (Salton terminals) 166a to 166f are provided. The SiC heater 114 has three regions formed therein, and the power cable connection terminals 166a to 166f are provided as a positive terminal and a negative terminal for supplying power to each region.
[0108]
In addition, a second inflow port 170 through which a second inflow pipe 168 that allows cooling water to flow into the water passage 150 is communicated with a lower surface of the flange 140, and an outflow pipe 172 that discharges cooling water that has passed through the water passage 150. And a second outlet 174 through which the fluid flows.
[0109]
FIG. 23 is an enlarged longitudinal sectional view showing a mounting structure of the second inlet 170 and the second outlet 174. FIG. 24 is a longitudinal sectional view showing the mounting structure of the flange 140 in an enlarged manner.
[0110]
As shown in FIG. 23, the first flange 146 is provided with an L-shaped communication hole 146a to which the second inlet 170 is connected. The end of the communication hole 146a communicates with the water channel 150. In addition, the second outlet 174 has the same configuration as the second inlet 170 and communicates with the water channel 150.
[0111]
Since the water channel 150 is formed to extend in the circumferential direction inside the flange 140, by cooling the flange 140, the quartz bell jar 112 sandwiched between the step portion 146 b of the first flange 146 and the base 110 is cooled. The temperature of the flange 112a is also indirectly cooled. Thereby, the thermal expansion of the flange portion 112a of the quartz bell jar 112 in the radial direction can be suppressed.
[0112]
As shown in FIGS. 23 and 24, a plurality of positioning holes 178 are provided at predetermined intervals in the circumferential direction on the lower surface of the flange 112a of the quartz bell jar 112. The positioning hole 178 is a hole into which a pin 176 screwed into the upper surface of the base 110 fits, so that a load is not applied to the flange 112a when the base 110 having a large thermal expansion coefficient thermally expands in the radial direction. The diameter of the pin 176 is larger than the outer diameter of the pin 176. That is, the thermal expansion of the base 110 with respect to the flange 112 a of the quartz bell jar 112 is allowed by the clearance between the pin 176 and the positioning hole 178.
[0113]
Further, since the flange portion 112a of the quartz bell jar 112 is provided with a radial clearance with respect to the step portion 146b of the first flange 146, the thermal expansion of the base 110 is allowed by this clearance from this point. You.
[0114]
The lower surface of the flange 112a of the quartz bell jar 112 is sealed by a seal member (O-ring) 180 mounted on the upper surface of the base 110, and the upper surface of the flange 112a of the quartz bell jar 112 is mounted on the first flange 146. Sealed by a seal member (O-ring) 182.
[0115]
Further, the upper surfaces of the first flange 146 and the second flange 148 are sealed by seal members (O-rings) 184 and 186 attached to the bottom 22 c of the processing container 22. The lower surface of the second flange 148 is sealed by a seal member (O-ring) 188 mounted on the upper surface of the base 110.
[0116]
As described above, the space between the base 110 and the flange 140 and the space between the flange 140 and the bottom portion 22c of the processing container 22 have a double seal structure, respectively. , The reliability of the sealing structure between the processing container 22 and the heater section 24 is further improved.
[0117]
For example, when the quartz bell jar 112 is cracked or when the flange 112a is cracked, the airtightness inside the quartz bell jar 112 is ensured by the seal member 180 disposed outside the flange 112a, and the inside of the processing vessel 22 is prevented. Is prevented from flowing out.
[0118]
Alternatively, even when the seal members 180 and 182 closer to the heater unit 24 are deteriorated, the outer seal members 186 and 188 mounted at positions more distant from the heater unit 24 cause a gap between the processing container 22 and the base 110. Since the sealing performance is maintained, gas leakage due to aging can be prevented.
[0119]
As shown in FIG. 21, SiC heater 114 is mounted on the upper surface of heat reflecting member 116 in internal space 113 of quartz bell jar 112, and is fixed by a plurality of clamp mechanisms 190 standing on the upper surface of base 110. Held at height.
[0120]
The clamp mechanism 190 presses the outer cylinder 190a against the shaft 190b, the outer cylinder 190a contacting the lower surface of the heat reflecting member 116, the shaft 190b penetrating the outer cylinder 190a and contacting the upper surface of the SiC heater 114, and the shaft 190b. And a coil spring 192.
[0121]
Since the clamp mechanism 190 is configured to sandwich the SiC heater 114 and the heat reflecting member 116 by the spring force of the coil spring 192, for example, even when vibration during transportation is input, the SiC heater 114 and the heat reflecting member It is possible to keep the 116 from contacting the quartz bell jar 112. Further, since the spring force of the coil spring 192 always acts, the loosening of the screw due to thermal expansion is prevented, and the SiC heater 114 and the heat reflecting member 116 are maintained in a stable state without rattling.
[0122]
In addition, each clamp mechanism 190 is configured so that the height position of the SiC heater 114 and the heat reflecting member 116 can be adjusted to an arbitrary position with respect to the base 110, and by adjusting the height position of the plurality of clamp mechanisms 190. It is possible to hold the SiC heater 114 and the heat reflecting member 116 horizontally.
[0123]
Further, in the internal space 113 of the quartz bell jar 112, connection members 194a to 194f for electrically connecting the respective terminals of the SiC heater 114 and the power cable connection terminals 166a to 166f inserted into the base 110 are provided. FIG. 21 shows connection members 194a and 194c).
[0124]
FIG. 25 is an enlarged longitudinal sectional view showing the mounting structure at the upper end of the clamp mechanism 190.
As shown in FIG. 25, the clamp mechanism 190 tightens a nut 193 screwed into an upper end of a shaft 190 b inserted into the insertion hole 116 a of the heat reflection member 116 and the insertion hole 114 e of the SiC heater 114, thereby obtaining a washer. The L-shaped washers 197 and 199 are pressed in the axial direction via 195 to clamp the SiC heater 114.
[0125]
In the SiC heater 114, the cylindrical portions 197a and 199a of the L-shaped washers 197 and 199 are inserted into the insertion holes 114e, and the shaft 190b of the clamp mechanism 190 is inserted into the cylindrical portions 197a and 199a. Then, the flange portions 197b and 199b of the L-shaped washers 197 and 199 come into contact with the upper and lower surfaces of the SiC heater 114.
[0126]
The shaft 190b of the clamp mechanism 190 is urged downward by the spring force of the coil spring 192, and the outer cylinder 190a of the clamp mechanism 190 is urged upward by the spring force of the coil spring 192. Thus, since the spring force of the coil spring 192 acts as a clamping force, the heat reflecting member 116 and the SiC heater 114 are stably held, and damage due to vibration during transportation is prevented.
[0127]
The insertion hole 114e of the SiC heater 114 has a larger diameter than the cylindrical portions 197c and 197d of the L-shaped washers 197a and 197b, and is provided with a clearance. Therefore, when the positions of the insertion hole 114e and the shaft 190b are relatively displaced due to thermal expansion caused by the heat generated by the SiC heater 114, the insertion hole 114e is horizontally kept in contact with the flange portions 197b and 199b of the L-shaped washers 197 and 199. It is possible to shift in the direction, and the generation of stress due to thermal expansion is prevented.
[0128]
(3) Here, the SiC heater 114 will be described.
As shown in FIG. 26, SiC heater 114 has a first heat generating portion 114a formed in a circular shape at the center portion, and a second arc shape formed to surround the outer periphery of first heat generating portion 114a. , And the third heat generating portions 114b and 114c. At the center of the SiC heater 114, an insertion hole 114d through which the shaft 120d of the holding member 120 is inserted is provided.
[0129]
The heat generating units 114a to 114c are connected in parallel to the heater control circuit 196, respectively, and are controlled to an arbitrary temperature set by the temperature controller 198. The heater control circuit 196 controls the amount of heat emitted from the SiC heater 114 by controlling the voltage supplied from the power supply 200 to the heat generating units 114a to 114c.
[0130]
Further, if the capacities differ among the heat generating units 114a to 114c, the load on the power supply 200 increases, and therefore, in this embodiment, the resistance is set so that the capacities (2 KW) of the heat generating units 114a to 114c are the same. .
[0131]
The heater control circuit 196 controls the first heating unit 114a at the center or the second and third outside units according to the control method I for simultaneously energizing the heating units 114a to 114c to generate heat and the temperature distribution of the substrate W to be processed. The control method II for causing one of the heat generating units 114b and 114c to generate heat, the heat generating units 114a to 114c to generate heat simultaneously according to the temperature change of the substrate W to be processed, or the first heat generating unit 114a or the second or The control method III for causing one of the three heat generating units 114b and 114c to generate heat can be selected.
[0132]
When the substrate to be processed W is heated by the heat generated by the heat generating portions 114a to 114c while being rotated while being held by the holding member 120, the peripheral edge portion is warped upward due to a temperature difference between the outer peripheral side and the central portion. Sometimes. However, in the present embodiment, since the SiC heater 114 heats the substrate W through the SiC susceptor 118 having good thermal conductivity, the entire substrate W is heated by the heat from the SiC heater 114, The temperature difference between the peripheral portion and the central portion of the processing substrate W is suppressed to be small, and the processing target substrate W is prevented from warping.
[0133]
(4) Here, the configuration of the quartz bell jar 112 will be described in detail.
27A and 27B are diagrams showing the configuration of the quartz bell jar 112, wherein FIG. 27A is a plan view and FIG. 27B is a longitudinal sectional view. 28A and 28B are views showing the configuration of the quartz bell jar 112, wherein FIG. 28A is a perspective view seen from above, and FIG. 28B is a perspective view seen from below.
[0134]
As shown in FIGS. 27 (a) and 27 (b) and FIGS. 28 (A) and 28 (B), the quartz bell jar 112 is made of transparent quartz, and has a cylindrical portion formed above the above-mentioned flange 112a. 112b, a top plate 112c that covers the upper side of the cylindrical portion 112b, a hollow portion 112d extending below the center of the top plate 112c, and a reinforcing member laid horizontally on an opening formed inside the flange portion 112a. And a beam portion 112e.
[0135]
Since the flange 112a and the top plate 112c receive a load, they are formed thicker than the cylindrical portion 112b. Further, in the quartz bell jar 112, since the hollow portion 112d extending in the vertical direction and the beam portion 112e extending in the horizontal direction intersect inside, the strength in the vertical direction and the radial direction is increased.
[0136]
A lower end portion of the hollow portion 112d is connected to an intermediate position of the beam portion 112e, and the insertion hole 112f in the hollow portion 112d also penetrates the beam portion 112e. The shaft 120d of the holding member 120 is inserted into the insertion hole 112f.
[0137]
The SiC heater 114 and the heat reflecting member 116 described above are inserted into the internal space 113 of the quartz bell jar 112. Although the SiC heater 114 and the heat reflecting member 116 are formed in a disk shape, they can be divided into arc shapes, and are assembled after being inserted into the internal space 113 avoiding the beam 112e.
[0138]
Further, bosses 112g to 112i for supporting the SiC susceptor 118 protrude from the top plate 112c of the quartz bell jar 112 at three places (at 120 degree intervals). Therefore, the SiC susceptor 118 supported by the bosses 112g to 112i is placed so as to slightly float from the top plate 112c. Therefore, even when the internal pressure of the processing container 22 changes or the temperature changes, the SiC susceptor 118 is prevented from contacting the top plate 112c even when the SiC susceptor 118 changes downward.
[0139]
In addition, since the internal pressure of the quartz bell jar 112 is controlled by the decompression system so that the difference between the internal pressure of the quartz bell jar 112 and the pressure of the process space 84 of the processing chamber 22 is 50 Torr or less, the thickness of the quartz bell jar 112 is reduced. It becomes possible to manufacture it relatively thin. As a result, the thickness of the top plate 112c can be reduced to about 6 to 10 mm, so that the heat capacity of the quartz bell jar 112 is reduced and the responsiveness can be improved by increasing the heat conduction efficiency during heating. Become. The quartz bell jar 112 of this embodiment is designed to have a strength to withstand a pressure of 100 Torr.
[0140]
FIG. 29 is a system diagram showing a configuration of an exhaust system of the pressure reducing system.
As shown in FIG. 29, the process space 84 of the processing container 22 is depressurized by the suction force of the turbo-molecular pump 50 via the exhaust path 32 connected to the exhaust port 74 by opening the valve 48a as described above. You. Further, the downstream of the vacuum pipe 51 connected to the exhaust port of the turbo-molecular pump 50 is connected to a pump (MBP) 201 for sucking the exhausted gas.
[0141]
The internal space 113 of the quartz bell jar 112 is connected to the bypass pipe 51 a via the exhaust pipe 202, and the internal space 124 defined by the casing 122 of the rotary drive unit 28 is connected to the bypass pipe 51 via the exhaust pipe 204. It is connected to the road 51a.
[0142]
The exhaust pipe 202 is provided with a pressure gauge 205 for measuring the pressure of the internal space 113 and a valve 206 that is opened when the internal space 113 of the quartz bell jar 112 is depressurized. As described above, the bypass pipe 51a is provided with the valve 48b and the branch pipe 208 for bypassing the valve 48b. The branch pipe 208 is provided with a valve 210 which is opened at an initial stage of the pressure reduction step, and a variable throttle 211 for narrowing the flow rate more than the valve 48b.
[0143]
On the exhaust side of the turbo-molecular pump 50, a valve 212 for opening and closing and a pressure gauge 214 for measuring the pressure on the exhaust side are provided. And N for turbo shaft purging2A check valve 218, a throttle 220, and a valve 222 are provided in a turbo conduit 216 whose line communicates with the turbo molecular pump 50.
[0144]
The valves 206, 210, 212, and 222 are composed of solenoid valves and are opened by a control signal from a control circuit.
[0145]
In the decompression system configured as described above, when performing the decompression process of the processing container 22, the quartz bell jar 112, and the rotation driving unit 28, the pressure is not reduced at once, but is reduced stepwise so as to gradually approach the vacuum. Reduce pressure.
[0146]
First, by opening the valve 206 provided in the exhaust pipe 202 of the quartz bell jar 112, the internal space 113 of the quartz bell jar 112 and the process space 84 communicate with each other via the exhaust path 32, and the pressure becomes uniform. Is performed. Thereby, the pressure difference between the internal space 113 of the quartz bell jar 112 and the process space 84 at the start stage of the decompression step is reduced.
[0147]
Next, the valve 210 provided in the branch conduit 208 is opened to reduce the pressure by the small flow rate restricted by the variable restrictor 211. Thereafter, the valve 48b provided in the bypass pipe 51a is opened to increase the exhaust flow rate stepwise.
[0148]
Further, the pressure of the quartz bell jar 112 measured by the pressure gauge 205 is compared with the pressure of the process space 84 measured by the pressure gauges 85a to 85c of the sensor unit 85, and when the difference between the two pressures is 50 Torr or less, The valve 48b is opened. Thereby, in the depressurizing step, the depressurizing step is performed so that the pressure difference between the inside and the outside applied to the quartz bell jar 112 is reduced so that unnecessary stress does not act on the quartz bell jar 112.
[0149]
Then, after a lapse of a predetermined time, the valve 48a is opened to increase the exhaust flow rate by the suction force of the turbo-molecular pump 50, and the pressure inside the processing container 22, the quartz bell jar 112, and the rotation drive unit 28 is reduced to a vacuum.
[0150]
(5) Here, the configuration of the holding member 120 will be described.
30A and 30B are diagrams showing the configuration of the holding member 120, where FIG. 30A is a plan view and FIG. 30B is a side view.
[0151]
As shown in FIGS. 30A and 30B, the holding member 120 includes arms 120a to 120c that support the substrate W to be processed, and a shaft 120d to which the arms 120a to 120c are connected. . The arms 120a to 120c are formed of transparent quartz in order to prevent contamination in the process space 84 and not to shield heat from the SiC susceptor 118, and are formed at 120 degrees with the upper end of the shaft 120d as a central axis. It extends radially in the horizontal direction at intervals.
[0152]
Further, bosses 120e to 120g that come into contact with the lower surface of the substrate to be processed W protrude at intermediate positions in the longitudinal direction of the arms 120a to 120c. Therefore, the substrate to be processed W is supported at three points where the bosses 120e to 120g abut.
[0153]
As described above, since the holding member 120 is configured to support the target substrate W by point contact, the target substrate W can be held at a position separated from the SiC susceptor 118 by a small distance. The distance between the SiC susceptor 118 and the substrate W to be processed is, for example, 1 to 20 mm, and preferably about 3 to 10 mm.
[0154]
That is, the processing target substrate W rotates while floating above the SiC susceptor 118, and the heat from the SiC susceptor 118 is more uniformly radiated than when the substrate W is directly mounted on the SiC susceptor 118. The temperature difference between the substrate and the central portion is less likely to occur, and the substrate W to be processed due to the temperature difference is also prevented.
[0155]
Since the substrate to be processed W is held at a position separated from the SiC susceptor 118, it does not come into contact with the SiC susceptor 118 even if warpage occurs due to a temperature difference, and returns to the original horizontal state with the temperature uniformity during steady state. It is possible to return.
[0156]
Further, the shaft 120d of the holding member 120 is formed in a rod shape by opaque quartz, and is inserted into the through hole 112f of the SiC susceptor 118 and the quartz bell jar 112 and extends downward. As described above, the holding member 120 holds the substrate W to be processed in the process space 84. However, since the holding member 120 is formed of quartz, there is less possibility of contamination than a metal member.
[0157]
(6) Here, the configuration of the rotation drive unit 28 will be described in detail.
FIG. 31 is a longitudinal sectional view showing the configuration of the rotation drive unit 28 arranged below the heater unit 24. FIG. 32 is an enlarged longitudinal sectional view showing the rotation drive unit 28.
[0158]
As shown in FIGS. 31 and 32, a holder 230 for supporting the rotation drive unit 28 is fastened to the lower surface of the base 110 of the heater unit 24. The holder 230 is provided with a rotation position detection mechanism 232 and a holder cooling mechanism 234.
[0159]
Further, a ceramic shaft 126 into which the shaft 120d of the holding member 120 is inserted and fixed is inserted below the holder 230, and a fixed-side casing that holds ceramic bearings 236 and 237 that rotatably supports the ceramic shaft 126. 122 is fixed by bolts 240.
[0160]
In the casing 122, since the rotating part is constituted by the ceramic shaft 126 and the ceramic bearings 236 and 237, metal contamination is prevented.
[0161]
The casing 122 has a flange 242 through which the bolt 240 is inserted, and a bottomed cylindrical partition 244 extending below the flange 238. An exhaust port 246 is provided on the outer peripheral surface of the partition 244 to communicate with the exhaust pipe 204 of the pressure reducing system described above. The gas in the internal space 124 of the casing 122 is exhausted in the pressure reducing step by the pressure reducing system described above. The pressure is reduced. Therefore, the gas in the process space 84 is prevented from flowing outside along the axis 120d of the holding member 120.
[0162]
Further, a driven magnet 248 of the magnet coupling 130 is housed in the internal space 124. The driven magnet 248 is covered by a magnet cover 250 fitted on the outer periphery of the ceramic shaft 126 to prevent contamination, and is attached so as not to come into contact with the gas in the internal space 124.
[0163]
The magnet cover 250 is a ring-shaped cover made of an aluminum alloy, and has a ring-shaped space housed therein. It is housed in a state without rattling. Further, the joint portion of the magnet cover 250 is joined without gaps by electron beam welding, and is processed so that contamination does not occur due to the outflow of silver as in the case of brazing or the like.
[0164]
Further, an atmosphere-side rotating portion 252 formed in a cylindrical shape is provided on the outer periphery of the casing 122 so as to fit therein, and is rotatably supported via bearings 254 and 255. A drive-side magnet 256 of the magnet coupling 130 is attached to the inner periphery of the atmosphere-side rotation unit 252.
[0165]
A lower end portion 252a of the atmosphere-side rotating portion 252 is coupled to a drive shaft 128a of the motor 128 via a transmission member 257. Therefore, the rotational driving force of the motor 128 is transmitted to the ceramic shaft 126 via the magnetic force between the driving-side magnet 256 provided on the atmosphere-side rotating unit 252 and the driven-side magnet 248 provided inside the casing 122. Is transmitted to the holding member 120 and the substrate to be processed W.
[0166]
A rotation detection unit 258 that detects the rotation of the atmosphere-side rotation unit 252 is provided outside the atmosphere-side rotation unit 252. The rotation detecting unit 258 includes disk-shaped slit plates 260 and 261 attached to the outer periphery of the lower end portion of the atmosphere-side rotating unit 252, and photo interrupters 262 and 263 for optically detecting the rotation amounts of the slit plates 260 and 261. It is composed of
[0167]
The photo interrupters 262 and 263 are fixed to the fixed casing 122 by a bracket 264. Then, in the rotation detection unit 258, pulses corresponding to the rotation speed are simultaneously detected from the pair of photointerrupters 262 and 263, so that it is possible to improve the rotation detection accuracy by comparing the two pulses.
[0168]
FIGS. 33A and 33B are diagrams showing the configuration of the holder cooling mechanism 234, wherein FIG. 33A is a cross-sectional view and FIG. 33B is a side view.
As shown in FIGS. 33 (A) and 33 (B), the holder cooling mechanism 234 has a water channel 230 a for cooling water extending in the circumferential direction inside the holder 230. The cooling water supply port 230b is connected to one end of the water channel 230a, and the cooling water discharge port 230c is connected to the other end of the water channel 230a.
[0169]
The cooling water supplied from the cooling water supply unit 46 passes through the water passage 230a from the cooling water supply port 230b, and is then discharged from the cooling water discharge port 230c, so that the entire holder 230 can be cooled.
[0170]
FIG. 34 is a cross-sectional view showing the configuration of the rotation position detection mechanism 232.
As shown in FIG. 34, a light emitting element 266 is attached to one side surface of holder 230, and a light receiving element 268 that receives light from light emitting element 266 is attached to the other side surface of holder 230. .
[0171]
In the center of the holder 230, a central hole 230d through which the shaft 120d of the holding member 120 is inserted is vertically penetrated, and through holes 230e, 230f penetrating in the lateral direction so as to cross the central hole 230d. Is provided.
[0172]
The light emitting element 266 is inserted into the end of one through hole 230e, and the light receiving element 268 is inserted into the end of the other through hole 230f. Since the shaft 120d is inserted between the through holes 230e and 230f, the rotational position of the shaft 120d can be detected from the output change of the light receiving element 268.
[0173]
(7) Here, the configuration and operation of the rotation position detection mechanism 232 will be described in detail.
35A and 35B are diagrams for explaining the configuration and operation of the rotation position detection mechanism 232, where FIG. 35A is a diagram illustrating a non-detection state, and FIG. 35B is a diagram illustrating a detection state.
[0174]
As shown in FIG. 35A, the shaft 120d of the holding member 120 has a tangential chamfering process applied to the outer periphery. When the chamfered portion 120i is rotated to an intermediate position between the light emitting element 266 and the light receiving element 268, the light becomes parallel to the light emitted from the light emitting element 266.
[0175]
At this time, light from the light emitting element 266 passes through the side of the chamfered portion 120i and is irradiated on the light receiving element 268. As a result, the output signal S of the light receiving element 268 turns on and is supplied to the rotational position determination circuit 270.
[0176]
As shown in FIG. 35B, when the shaft 120d of the holding member 120 rotates and the position of the chamfered portion 120i shifts from the intermediate position, the light from the light emitting element 266 is blocked by the shaft 120d, and the rotation position is changed. The output signal S to the determination circuit 270 turns off.
[0177]
36 is a signal waveform diagram of the rotation position detection mechanism 232, (A) is a waveform diagram of an output signal S of the light receiving element 268, and (B) is a waveform diagram of a pulse signal P output from the rotation position determination circuit 270. .
[0178]
As shown in FIG. 36A, in the light receiving element 268, the amount of light received from the light emitting element 266 (output signal S) changes in a parabolic manner depending on the rotational position of the shaft 120d. The rotation position determination circuit 270 sets a threshold value H for the output signal S, and outputs a pulse P when the output signal S becomes equal to or larger than the threshold value H.
[0179]
This pulse P is output as a detection signal for detecting the rotation position of the holding member 120. That is, as shown in FIG. 10, the rotation position determination circuit 270 determines that the arm portions 120 a to 120 c of the holding member 120 do not interfere with the contact pins 138 a to 138 c of the elevating arm 132, and It is determined that it is at a position where no interference occurs, and the detection signal (pulse P) is output.
[0180]
(8) Here, the rotation position control processing executed by the control circuit based on the detection signal (pulse P) output from the rotation position determination circuit 270 will be described.
FIG. 37 is a flowchart for describing a rotational position control process executed by the control circuit.
[0181]
As shown in FIG. 37, when there is a control signal instructing rotation of the processing target substrate W in S11, the control circuit proceeds to S12 and starts the motor 128. Then, the process proceeds to S13, where it is checked whether the signal of the light receiving element 268 is on. If the signal of the light receiving element 268 is ON in S13, the process proceeds to S14, and the rotation speed of the holding member 120 and the substrate to be processed W is calculated from the cycle of the detection signal (pulse P).
[0182]
Subsequently, the process proceeds to S15, where it is checked whether or not the rotation speed n of the holding member 120 and the substrate to be processed W is a preset target rotation na. In S15, when the rotation speed n of the holding member 120 and the substrate to be processed W has not reached the target rotation na, the flow returns to S13 to check again whether the rotation speed of the motor 128 has increased.
[0183]
If n = na in S15, the rotation speed n of the holding member 120 and the substrate to be processed W has reached the target rotation na, and the process proceeds to S17 to check whether there is a control signal for stopping the motor. I do. In S17, when there is no motor stop control signal, the process returns to S13. When there is a motor stop control signal, the process proceeds to S18 to stop the motor 128. Subsequently, in S19, it is checked whether or not the signal of the light receiving element 268 is on, and the process is repeated until the signal of the light receiving element 268 is turned on.
[0184]
In this way, the arm portions 120a to 120c of the holding member 120 can be stopped at a position where they do not interfere with the contact pins 138a to 138c of the lifting arm 132 and do not interfere with the robot hand of the transfer robot 98.
[0185]
In the above-described rotation position control processing, a case has been described in which a method of calculating the number of rotations from the cycle of the output signal from the light receiving element 268 is used. For example, the signals output from the photointerrupters 262 and 263 described above are integrated. It is also possible to determine the number of revolutions.
[0186]
(9) Here, the configuration of the windows 75 and 76 formed on the side surface of the processing container 122 will be described in detail.
FIG. 38 is a cross-sectional view of the mounting location of the windows 75 and 76 as viewed from above. FIG. 39 is a cross-sectional view showing the window 75 in an enlarged manner. FIG. 40 is a cross-sectional view showing the window 76 in an enlarged manner.
[0187]
As shown in FIG. 38 and FIG. 39, the first window 75 is more airtight because gas is supplied to the process space 84 formed inside the processing container 122 or the pressure is reduced to a vacuum. Configuration.
[0188]
The window 75 has a double structure including transparent quartz 272 and UV glass 274 that blocks ultraviolet rays. In a state where the transparent quartz 272 is in contact with the window mounting portion 276, the first window frame 278 is fixed to the window mounting portion 276 by screws with screws 277. A seal member (O-ring) 280 for hermetically sealing the space between the window mount 276 and the transparent quartz 272 is mounted. Further, the second window frame 282 is fixed to the outer surface of the first window frame 278 by screws with screws 284 in a state where the UV glass 274 is in contact therewith.
[0189]
As described above, the window 75 prevents the ultraviolet rays emitted from the ultraviolet light sources (UV lamps) 86 and 87 from being blocked by the UV glass 274 and leaking out of the process space 84, and the sealing of the sealing member 280. The effect prevents the gas supplied to the process space 84 from flowing out.
[0190]
The opening 286 penetrating the side surface of the processing container 22 obliquely penetrates toward the center of the processing container 22, that is, toward the center of the processing target substrate W held by the holding member 120. Therefore, although the window 75 is provided at a position deviated from the center of the side surface of the processing container 22, the window 75 is formed in an elliptical shape so as to be seen wide in the lateral direction, so that the state of the substrate W to be processed can be visually recognized from the outside. Can be.
[0191]
The second window 76 has the same configuration as the above-described window 75, and has a double structure including transparent quartz 292 and UV glass 294 that blocks ultraviolet rays. In a state where the transparent quartz 292 is in contact with the window attachment portion 296, the first window frame 298 is fixed to the window attachment portion 296 by screws with screws 297. A sealing member (O-ring) 300 for hermetically sealing the space between the window mounting portion 296 and the transparent quartz 292 is mounted. Further, the second window frame 302 is fixed to the outer surface of the first window frame 298 by screws with screws 304 in a state where the UV glass 294 is in contact therewith.
[0192]
As described above, the window 76 prevents ultraviolet rays emitted from the ultraviolet light sources (UV lamps) 86 and 87 from being blocked by the UV glass 294 and leaking out of the process space 84, and the sealing of the sealing member 300. The effect prevents the gas supplied to the process space 84 from flowing out.
[0193]
In the present embodiment, the configuration in which the pair of windows 75 and 76 are disposed on the side surface of the processing container 22 has been described as an example. However, the present invention is not limited to this, and three or more windows may be provided. Of course, it may be provided at a place other than the side.
[0194]
(10) Here, each of the cases 102, 104, 106, and 108 constituting the quartz liner 100 will be described.
As shown in FIGS. 9 and 10, the quartz liner 100 has a configuration in which a lower case 102, a side case 104, an upper case 106, and a cylindrical case 108 are combined, and each is formed of opaque quartz. The processing container 22 is provided for the purpose of protecting the processing container 22 made of an aluminum alloy from gas and ultraviolet rays and preventing metal contamination by the processing container 22.
[0195]
FIGS. 41A and 41B are diagrams showing the configuration of the lower case 102, where FIG. 41A is a plan view and FIG. 41B is a side view.
As shown in FIGS. 41A and 41B, the lower case 102 is formed in a plate shape having an outline shape corresponding to the inner wall shape of the processing container 22, and has a SiC susceptor 118 and a substrate to be processed in the center. A circular opening 310 facing W is formed. The circular opening 310 is formed to have a size into which the cylindrical case 108 can be inserted, and recesses 310 a to 310 c for inserting the distal ends of the arms 120 a to 120 c of the holding member 120 are provided at 120 ° intervals on the inner periphery. It is provided in.
[0196]
The positions of the concave portions 310a to 310c are positions where the arm portions 120a to 120c of the holding member 120 do not interfere with the contact pins 138a to 138c of the lifting arm 132 and do not interfere with the robot hand of the transfer robot 98.
[0197]
Further, the lower case 102 is provided with a rectangular opening 312 facing the exhaust port 74 formed at the bottom of the processing container 22. Further, positioning protrusions 314a and 314b are provided on the lower surface of the lower case 102 at asymmetric positions.
[0198]
Further, a concave portion 310d is formed on the inner periphery of the circular opening 310 for fitting a projection of a cylindrical case 108 described later. Further, a step portion 315 that fits into the side surface case 104 is provided at a peripheral portion of the lower case 102.
[0199]
42A and 42B are diagrams showing the configuration of the side case 104, where FIG. 42A is a plan view, FIG. 42B is a front view, FIG. 42C is a rear view, FIG. 42D is a left side view, and FIG. It is.
As shown in FIGS. 42 (A) to (E), the side case 104 is formed in a substantially rectangular frame shape whose outer shape corresponds to the inner wall shape of the processing container 22 and whose four corners are R-shaped. A process space 84 is formed inside.
[0200]
The side case 104 communicates with the remote plasma unit 27 and an elongated slit 316 extending in the lateral direction so as to face the plurality of injection ports 93a of the gas injection nozzle unit 93 on the front surface 104a. A U-shaped opening 317 is provided at a position facing the communication hole 92. In the present embodiment, the slit 316 and the opening 317 are connected to each other, but they may be formed as independent openings.
[0201]
The side case 104 has a concave portion 318 on the back surface 104b through which the above-described robot hand of the transfer robot 98 passes, facing the transfer port 94.
[0202]
In the side case 104, a circular hole 319 facing the sensor unit 85 described above is formed on the left side surface 104c, and the windows 75 and 76 described above and holes 320 to 322 facing the sensor unit 77 are formed on the right side surface 104d. Is formed.
[0203]
FIGS. 43A and 43B show the configuration of the upper case 106, wherein FIG. 43A is a bottom view and FIG. 43B is a side view.
As shown in FIGS. 43 (A) and 43 (B), the upper case 106 is formed in a plate shape whose contour corresponds to the inner wall shape of the processing container 22 and faces the ultraviolet light sources (UV lamps) 86 and 87. The rectangular openings 324 and 325 are formed at the positions where they are to be. Further, a step portion 326 that fits into the side case 104 is provided at a peripheral portion of the upper case 106.
[0204]
The upper case 106 is provided with circular holes 327 to 329 corresponding to the shape of the lid member 82 and a rectangular square hole 330.
[0205]
44A and 44B are diagrams showing the configuration of the cylindrical case 108, wherein FIG. 44A is a plan view, FIG. 44B is a side longitudinal sectional view, and FIG. 44C is a side view.
As shown in FIGS. 44 (A) to (C), the cylindrical case 108 is formed in a cylindrical shape so as to cover the outer periphery of the quartz bell jar 112, and a contact pin of the lifting arm 132 is provided at the upper end edge. Depressions 108a to 108c into which 138a to 138c are inserted are provided. Further, the cylindrical case 108 has a positioning projection 108d formed on the outer periphery of the upper end portion so that the concave portion 310d of the lower case 102 fits.
[0206]
(11) Here, the seal structure of the lifter mechanism 30 will be described.
FIG. 45 is a longitudinal sectional view showing the lifter mechanism 30 in an enlarged manner. FIG. 46 is an enlarged longitudinal sectional view showing the seal structure of the lifter mechanism 30.
[0207]
As shown in FIGS. 45 and 46, when the lifter mechanism 30 raises and lowers the lift shaft 134 by the drive unit 136 to raise and lower the lift arm 132 inserted into the chamber 80, the lifter mechanism 30 is inserted into the through hole 80 a of the chamber 80. The outer periphery of the inserted elevating shaft 134 is covered with a bellows-shaped bellows 332, and is configured to prevent contamination in the chamber 80.
[0208]
The bellows 332 has a shape in which the bellows portion can expand and contract, and is formed of, for example, Inconel or Hastelloy. Further, the through hole 80a is closed by a lid member 340 into which the elevating shaft 134 is inserted.
[0209]
Further, a cylindrical ceramic cover 338 is fitted and fixed to a connecting member 336 of the elevating arm 132 to which an upper end of the elevating shaft 134 is fastened by a bolt 334. Since the ceramic cover 338 extends below the connecting member 336, the ceramic cover 338 covers the bellows 332 so as not to be directly exposed in the chamber 80.
[0210]
Therefore, the bellows 332 extends upward in the process space 84 when the lifting arm 132 is raised, and is covered by the cylindrical cover 338 made of ceramic. Therefore, the bellows 332 is not directly exposed to the gas or heat in the process space 84 by the cylindrical cover 338 inserted into the through hole 80a so as to be able to move up and down, so that the deterioration due to the gas and heat is prevented.
[0211]
(12) Hereinafter, the ultraviolet radical oxidation process on the surface of the substrate W to be processed using the substrate processing apparatus 20 and the remote plasma radical nitriding process performed thereafter will be described.
(Ultraviolet light radical oxidation treatment)
FIG. 47A is a side view and a plan view showing a case where radical oxidation of a substrate W to be processed is performed using the substrate processing apparatus 20 of FIG. 2, respectively. FIG. 47B shows the configuration of FIG. FIG.
As shown in FIG. 47A, an oxygen gas is supplied into the process space 84 from the gas injection nozzle unit 93 and flows along the surface of the substrate W to be processed. Exhausted through 50 and pump 201. By using the turbo-molecular pump 50, the process pressure in the process space 84 is reduced to a value required for oxidation of the substrate W by oxygen radicals.-3-10-6It is set in the range of Torr.
[0212]
At the same time, oxygen radicals are formed in the oxygen gas stream thus formed by driving the ultraviolet light sources 86 and 87 which preferably generate ultraviolet light having a wavelength of 172 nm. The formed oxygen radicals oxidize the rotating substrate surface when flowing along the surface of the substrate W to be processed. Oxidation of the substrate to be processed W by oxygen radicals causes an extremely thin oxide film having a thickness of 1 nm or less, particularly an oxide film having a thickness of about 0.4 nm corresponding to a few atomic layers on the silicon substrate surface. , And can be formed stably with good reproducibility.
[0213]
As shown in FIG. 47B, the ultraviolet light sources 86 and 87 are tubular light sources extending in a direction crossing the direction of the oxygen gas flow, and the turbo molecular pump 50 is connected to the process space 84 through the exhaust port 74. You can see the exhaust. On the other hand, the exhaust path indicated by a dotted line in FIG. 47 (B) from the exhaust port 74 directly to the pump 50 is shut off by closing the valve 48b.
[0214]
FIG. 48 shows a state in which a silicon oxide film is formed on the surface of the silicon substrate by the steps of FIGS. 47A and 47B in the substrate processing apparatus 20 of FIG. Alternatively, it shows the relationship between the film thickness and the oxidation time when formed while changing the oxygen partial pressure in various ways. However, in the experiment of FIG. 48, the natural oxide film on the surface of the silicon substrate was removed prior to radical oxidation, and in some cases, carbon remaining on the surface of the substrate was removed in ultraviolet-excited nitrogen radicals. The substrate surface is flattened by performing the high-temperature heat treatment in. As the ultraviolet light sources 86 and 87, excimer lamps having a wavelength of 172 nm were used.
[0215]
Referring to FIG. 48, the data of series 1 indicates that the ultraviolet light irradiation intensity is the reference intensity (50 mW / cm) at the window surface of the ultraviolet light source 24B.2) Is set to 5%, the process pressure is set to 665 mPa (5 mTorr), and the oxygen gas flow rate is set to 30 SCCM. The relationship between the oxidation time and the oxide film thickness is shown. 4 shows the relationship between the oxidation time and the oxide film thickness when the process pressure is set to 133 Pa (1 Torr) and the oxygen gas flow rate is set to 3 SLM. The data of series 3 shows the relationship between the oxidation time and the oxide film thickness when the ultraviolet light intensity was set to zero, the process pressure was set to 2.66 Pa (20 mTorr), and the oxygen gas flow rate was set to 150 SCCM. The data shows the relationship between the oxidation time and the oxide film thickness when the ultraviolet light irradiation intensity is set to 100%, that is, the reference intensity, the process pressure is set to 2.66 Pa (20 mTorr), and the oxygen gas flow rate is set to 150 SCCM. Further, the data of series 5 shows the relationship between the oxidation time and the oxide film pressure when the ultraviolet light irradiation intensity is set to 20% of the reference intensity, the process pressure is set to 2.66 Pa (20 mTorr), and the oxygen gas flow rate is set to 150 SCCM. The data of series 6 shows the oxidation time and oxidation when the ultraviolet light irradiation intensity was set to 20% of the reference irradiation intensity, the process pressure was set to about 67 Pa (0.5 Torr), and the oxygen gas flow rate was set to 0.5 SLM. The relationship with the film thickness is shown. Further, the data of series 7 shows the relationship between the oxidation time and the oxide film thickness when the ultraviolet light irradiation intensity is set to 20% of the reference intensity, the process pressure is set to 665 Pa (5 Torr), and the oxygen gas flow rate is set to 2 SLM. The data in series 8 shows the relationship between the oxidation time and the oxide film thickness when the ultraviolet light irradiation intensity is set to 5% of the reference intensity, the process pressure is set to 2.66 Pa (20 mTorr), and the oxygen gas flow rate is set to 150 SCCM. Is shown.
[0216]
In the experiment shown in FIG. 48, the thickness of the oxide film is obtained by the XPS method. However, there is no unified method for obtaining the thickness of the oxide film which is extremely thin below 1 nm.
[0219]
Therefore, the inventor of the present invention has observed the observed Si shown in FIG.2pThe background correction and the separation correction of the 3/2 and 1/2 spin states were performed on the orbital XPS spectrum, and the resulting Si shown in FIG. 50 was obtained.2p 3/2Based on the XPS spectrum, using the equation and coefficients shown in Equation (1) according to the teachings of Lu et al. (Z. H. Lu, et al., Appl. Phys, Let. Thus, the thickness d of the oxide film was obtained.
d = λ sin α · ln [IX +/ (ΒI0+) +1] (1)
λ = 2.96
β = 0.75
However, in Expression (1), α is the detection angle of the XPS spectrum shown in FIG. 55, and is set to 30 ° in the illustrated example. Also, in Equation 1, IX +Is the integrated intensity of the spectral peak corresponding to the oxide film (I1x+ I2x+ I3x+ I4x), Which corresponds to the peak seen in the energy region of 102 to 104 eV in FIG. On the other hand, I0+Corresponds to the integrated intensity of the spectrum peak due to the silicon substrate corresponding to the energy region near 100 eV.
[0218]
Referring again to FIG. 48, when the ultraviolet light irradiation power, that is, the density of oxygen radicals formed is small (series 1, 2, 3, 8), the oxide film thickness of the oxide film was initially 0 nm. However, while the oxide film thickness gradually increases with the oxidation time, in the series 4, 5, 6, and 7 in which the ultraviolet light irradiation power is set to 20% or more of the reference intensity, as schematically shown in FIG. It is recognized that the oxide film growth stops after reaching the film thickness of about 0.4 nm after the start of the growth, and the growth is rapidly restarted after a certain stop time has elapsed.
[0219]
The relationship shown in FIG. 48 or FIG. 51 means that an extremely thin oxide film having a thickness of about 0.4 nm can be formed stably in the oxidation treatment of the silicon substrate surface. In addition, as shown in FIG. 48, since the stop time continues to some extent, it can be seen that the formed oxide film has a uniform thickness. That is, according to the present invention, an oxide film having a thickness of about 0.4 nm can be formed on a silicon substrate to a uniform thickness.
[0220]
FIGS. 52A and 52B schematically show a process of forming a thin oxide film on such a silicon substrate. It should be noted that in these figures, the structure on the silicon (100) substrate is greatly simplified.
[0221]
Referring to FIG. 52A, two oxygen atoms are bonded to one silicon atom on the surface of the silicon substrate to form an oxygen layer of one atomic layer. In this typical state, the silicon atoms on the substrate surface are coordinated by two silicon atoms inside the substrate and two oxygen atoms on the substrate surface to form a suboxide.
[0222]
On the other hand, in the state of FIG. 52 (B), the silicon atom at the top of the silicon substrate is coordinated by four oxygen atoms, and stable silicon4+Take the state of. For this reason, it is considered that oxidation progresses quickly in the state of FIG. 52A, and the oxidation stops in the state of FIG. 52B. The thickness of the oxide film in the state of FIG. 52B is about 0.4 nm, which is in good agreement with the oxide film thickness in the stationary state observed in FIG.
[0223]
In the XPS spectrum of FIG. 50, when the oxide film thickness is 0.1 nm or 0.2 nm, a low peak observed in the energy range of 101 to 104 eV corresponds to the suboxide in FIG. 0.3 nm, the peak appearing in this energy region is Si4+This is considered to indicate the formation of an oxide film exceeding one atomic layer.
[0224]
Such a stationary phenomenon of the oxide film thickness at the film thickness of 0.4 nm is caused by the UVO in FIGS. 47A and 47B.2The present invention is not limited to the radical oxidation process, and it is considered that a similar method can be used if an oxide film forming method capable of forming a thin oxide film with high accuracy.
[0225]
When the oxidation is further continued from the state of FIG. 52 (B), the thickness of the oxide film increases again.
[0226]
FIG. 53 shows a ZrSiO layer having a thickness of 0.4 nm on an oxide film formed by the ultraviolet radical oxidation process of FIGS. 47A and 47B using the substrate processing apparatus 20 in this manner.xA film and an electrode film are formed (see FIG. 54B described later), and the relationship between the equivalent thermal oxide film thickness Teq and the leak current Ig obtained for the obtained laminated structure is shown. However, the leakage current characteristics in FIG. 53 are measured in a state where a voltage of Vfb-0.8 V is applied between the electrode film and the silicon substrate with reference to the flat band voltage Vfb. For comparison, FIG. 53 also shows the leakage current characteristics of the thermal oxide film. The converted film thicknesses shown in the figure are the oxide film and the ZrSiOxIt is about the structure which combined the film.
[0227]
Referring to FIG. 53, when the oxide film is omitted, that is, when the thickness of the oxide film is 0 nm, the leak current density exceeds the leak current density of the thermal oxide film. Is also a relatively large value of about 1.7 nm.
[0228]
On the other hand, when the thickness of the oxide film is increased from 0 nm to 0.4 nm, the value of the thermal oxide film equivalent thickness Teq starts to decrease. In such a state, the oxide film is made of a silicon substrate and ZrSiO.xAlthough the physical film thickness is supposed to actually increase, the converted film thickness Teq decreases, but this is because ZrO2When the film is formed directly, as shown in FIG. 54 (A), diffusion of Zr into a silicon substrate or ZrSiOxDiffusion into the film occurs on a large scale, and the silicon substrate and ZrSiOxThis suggests that a thick interface layer is formed between the film and the film. On the other hand, by interposing an oxide film having a thickness of 0.4 nm as shown in FIG. 54B, the formation of such an interface layer is suppressed, and as a result, the reduced film thickness is considered to be reduced. Can be With this, it can be seen that the value of the leak current decreases with the thickness of the oxide film. 54A and 54B show schematic cross sections of the sample thus formed. An oxide film 442 is formed on a silicon substrate 441, and a ZrSiO 2 film is formed on the oxide film 442.xThe structure in which the film 443 is formed is shown.
[0229]
On the other hand, when the thickness of the oxide film exceeds 0.4 nm, the value of the thermal oxide film equivalent thickness starts to increase again. In the range where the thickness of the oxide film exceeds 0.4 nm, the value of the leak current decreases as the thickness increases, and the increase in the converted thickness is due to the increase in the physical thickness of the oxide film. It is believed that there is.
[0230]
As described above, the film thickness in the vicinity of 0.4 nm where the growth of the oxide film stops observed in FIG. 48 corresponds to the minimum value of the reduced film thickness of the system including the oxide film and the high dielectric film, The diffusion of the metal element such as Zr into the silicon substrate is effectively prevented by the stable oxide film shown in FIG. 52B, and even if the thickness of the oxide film is further increased, It can be seen that the diffusion inhibiting effect of is not so high.
[0231]
Furthermore, the value of the leak current when using an oxide film having a thickness of 0.4 nm is about two orders of magnitude smaller than the value of the leak current of a thermal oxide film having a corresponding thickness. It can be seen that the gate leakage current can be minimized by using it for the gate insulating film of the transistor.
[0232]
Further, as a result of the stopping phenomenon at 0.4 nm of the oxide film growth described with reference to FIG. 48 or FIG. 51, the oxide film 442 formed on the silicon substrate 441 has the initial film thickness change or Even if there are irregularities, the increase in the film thickness during the oxide film growth stops at around 0.4 nm as shown in FIG. 55 (B), so that the oxide film growth is continued during the stop period. 55 (C), an oxide film 442 having a very flat and uniform thickness can be obtained.
[0233]
As described above, there is no unified thickness measurement method at present for an extremely thin oxide film. Therefore, the thickness of the oxide film 442 in FIG. 55C may be different depending on the measurement method. However, for the reasons explained above, it has been found that the thickness at which oxide film growth stops is the thickness of two atomic layers, and therefore, the preferred thickness of the oxide film 442 is approximately two atomic layers. Is considered to be a minute thick. This preferable thickness includes a case where a region having a thickness of three atomic layers is formed partially so that a thickness of two atomic layers is secured over the entire oxide film 442. That is, it is considered that the preferable thickness of the oxide film 442 is actually in the range of 2 to 3 atomic layers.
[Remote plasma radical nitriding]
FIG. 56 shows a configuration of the remote plasma unit 27 used in the substrate processing apparatus 20.
As shown in FIG. 56, the remote plasma unit 27 includes a block 27A, typically made of aluminum, in which a gas circulation passage 27a and a gas inlet 27b and a gas outlet 76c communicating therewith are formed. A ferrite core 27B is formed in a part of the block 27A.
[0234]
The inner surface of the gas circulation passage 27a, the gas inlet 27b, and the gas outlet 27c is coated with a fluororesin coating 27d, and the coil wound around the ferrite core 27B is supplied with a high frequency having a frequency of 400 kHz. Plasma 27C is formed in passage 27a.
[0235]
With the excitation of the plasma 27C, nitrogen radicals and nitrogen ions are formed in the gas circulation passage 27a, but the nitrogen ions disappear when circulating in the circulation passage 27a, and mainly from the gas outlet 27c. Nitrogen radical N2* Is released. Further, in the configuration of FIG. 56, by providing an ion filter 27e grounded at the gas outlet 27c, charged particles including nitrogen ions are removed, and only nitrogen radicals are supplied to the process space 84. Further, even when the ion filter 27e is not grounded, the structure of the ion filter 27e functions as a diffusion plate, and it is possible to sufficiently remove charged particles including nitrogen ions.
[0236]
FIG. 57 shows the relationship between the number of ions formed by the remote plasma unit 27 and the electron energy in comparison with the case of the microwave plasma source.
As shown in FIG. 57, when plasma is excited by microwaves, ionization of nitrogen molecules is promoted and a large amount of nitrogen ions are formed. On the other hand, when the plasma is excited by a high frequency of 500 kHz or less, the number of formed nitrogen ions is greatly reduced. In the case of performing the plasma processing by microwave, 1.33 × 10 3-3~ 1.33 × 10-6Pa (10-1-10-4Although a high vacuum of Torr is required, high frequency plasma processing can be performed at a relatively high pressure of 13.3 to 13.3 kPa (0.1 to 100 Torr).
[0237]
Table 1 below shows a comparison of ionization energy conversion efficiency, dischargeable pressure range, plasma power consumption, and process gas flow rate between the case where plasma is excited by microwaves and the case where plasma is excited by high frequencies. .
[0238]
[Table 1]
Figure 2004119520
Referring to Table 1, the ionization energy conversion efficiency was about 1 × 10 for microwave excitation.-2In the case of RF excitation, about 1 × 10-7In the case of microwave excitation, the dischargeable pressure is about 0.1 mTorr to 0.1 Torr (133 mPa to 13.3 Pa), whereas in the case of RF excitation, the dischargeable pressure is 0.1 to 100 Torr ( It can be seen that the pressure is about 13.3 Pa to 13.3 kPa). Accordingly, the plasma power consumption is larger in the case of RF excitation than in the case of microwave excitation, and the process gas flow rate is much larger in the case of RF excitation than in the case of microwave excitation.
[0239]
In the substrate processing apparatus 20, the nitridation of the oxide film is performed not by nitrogen ions but by nitrogen radicals N.2*, And it is therefore preferable that the number of nitrogen ions to be excited is small. Also, from the viewpoint of minimizing damage to the substrate to be processed, it is preferable that the number of excited nitrogen ions is small. Further, in the substrate processing apparatus 20, the number of excited nitrogen radicals is small, and it is suitable for nitriding a very thin base oxide film having a thickness of only about 2 to 3 atomic layers under the high dielectric gate insulating film. It is.
[0240]
59A and 59B are a side view and a plan view, respectively, showing a case where the substrate to be processed W is subjected to radical nitridation using the substrate processing apparatus 20.
As shown in FIGS. 59A and 59B, an Ar gas and a nitrogen gas are supplied to the remote plasma unit 27, and nitrogen radicals are formed by exciting the plasma at a high frequency of several hundred kHz. The formed nitrogen radicals flow along the surface of the substrate to be processed W and are exhausted through the exhaust port 74 and the pump 201. As a result, the process space 84 is set to a process pressure in a range of 1.33 Pa to 13.3 kPa (0.01 to 100 Torr) suitable for radical nitridation of the substrate W. The nitrogen radicals thus formed, when flowing along the surface of the target substrate W, nitridate the surface of the target substrate W.
[0241]
In the nitriding step of FIGS. 59A and 59B, in the purge step prior to the nitriding step, the valves 48a and 212 are opened and the valve 48a is closed, so that the pressure in the process space 84 is 1.33 × 10 3.-1~ 1.33 × 10-4The pressure is reduced to Pa and the oxygen and moisture remaining in the process space 84 are purged. However, in the subsequent nitriding process, the valves 48 a and 212 are closed, and the turbo molecular pump 50 is connected to the exhaust path of the process space 84. Is not included.
[0242]
As described above, by using the substrate processing apparatus 20, it is possible to form an extremely thin oxide film on the surface of the substrate W to be processed, and to further nitride the oxide film surface.
[0243]
In FIG. 60A, an oxide film formed to a thickness of 2.0 nm on a Si substrate by a thermal oxidation process by the substrate processing apparatus 20 was nitrided using the remote plasma unit 27 under the conditions shown in Table 2. FIG. 60B shows the relationship between the nitrogen concentration distribution and the oxygen concentration distribution in the same oxide film.
[0244]
[Table 2]
Figure 2004119520
Referring to Table 2, during the RF nitriding using the substrate processing apparatus 20, nitrogen is supplied into the process space 84 at a flow rate of 50 SCCM and Ar is supplied at a flow rate of 2 SLM, and the nitriding processing is performed at 1 Torr ( This is performed under a pressure of 133 Pa).-6Torr (1.33 × 10-4The pressure is reduced to about Pa), and oxygen or moisture remaining inside is sufficiently purged. For this reason, at the time of the nitriding treatment performed at a pressure of about 1 Torr, the residual oxygen is diluted with Ar and nitrogen in the process space 84, and the residual oxygen concentration, that is, the thermodynamic activity of the residual oxygen is reduced. Very small.
[0245]
On the other hand, in the nitriding treatment using microwave plasma, the treatment pressure during the nitridation treatment is almost the same as the purge pressure, and therefore, it is considered that the residual oxygen has high thermodynamic activity in the plasma atmosphere. Can be
[0246]
Referring to FIG. 60A, when nitriding by microwave excitation plasma, the concentration of nitrogen introduced into the oxide film is limited, and nitriding of the oxide film does not substantially proceed. Understand. On the other hand, when nitriding by RF excitation plasma as in the present embodiment, it can be seen that the nitrogen concentration in the oxide film changes linearly with the depth, reaching nearly 20% near the surface.
[0247]
FIG. 61 shows the principle of the measurement in FIG. 60A performed using XPS (X-ray spectroscopy).
Referring to FIG. 61, a sample having an oxide film 412 formed on a silicon substrate 411 is irradiated with X-rays at an oblique angle at a predetermined angle, and the excited X-ray spectra are subjected to various detections by detectors DET1 and DET2. Detect by angle. At this time, the path of the excited X-rays in the oxide film 412 is short in the detector DET1 set at a deep detection angle of, for example, 90 °. In the detector DET2 set at a shallow detection angle, the path of the excited X-rays in the oxide film 12 is long, so that the detector DET2 mainly includes information near the surface of the oxide film 412. Is detected.
[0248]
FIG. 60B shows the relationship between the nitrogen concentration and the oxygen concentration in the oxide film. However, in FIG. 60B, the oxygen concentration is represented by the X-ray intensity corresponding to the O1s orbit.
[0249]
Referring to FIG. 60B, when the oxide film is nitrided by RF remote plasma as in the present invention, the oxygen concentration decreases as the nitrogen concentration increases. It can be seen that the atoms have replaced the oxygen atoms. On the other hand, when the nitriding of the oxide film is performed by microwave plasma, such a substitution relationship is not seen, and a relationship in which the oxygen concentration decreases with the nitrogen concentration is not seen. In particular, in FIG. 60 (B), an increase in the oxygen concentration is observed in the case where 5 to 6% of nitrogen is introduced by microwave nitridation, which suggests that the oxide film increases with nitridation. are doing. Such an increase in oxygen concentration due to microwave nitridation is caused by the fact that microwave nitridation is performed in a high vacuum, so that oxygen or moisture remaining in the processing space is reduced by Ar gas or nitrogen gas as in high-frequency remote plasma nitridation. This is considered to be due to having high activity in the atmosphere without being diluted.
[0250]
FIG. 62 shows that an oxide film is formed to a thickness of 4 ° (0.4 nm) and 7 ° (0.7 nm) in the substrate processing apparatus 20, and this is shown in FIGS. 2) shows the relationship between the nitriding time and the nitrogen concentration in the film when nitriding is performed in the nitriding step of FIG. FIG. 63 shows a state of segregation of nitrogen on the oxide film surface due to the nitriding treatment of FIG. FIGS. 62 and 63 also show cases where the oxide film is formed to a thickness of 5 ° (0.5 nm) and 7 ° (0.7 nm) by rapid thermal oxidation treatment.
[0251]
Referring to FIG. 62, the nitrogen concentration in the film increases with the nitridation treatment time for any oxide film. In particular, the nitrogen concentration of 0.4 nm corresponding to two atomic layers formed by ultraviolet radical oxidation is increased. In the case of an oxide film having a thickness or a thermal oxide film having a thickness of 0.5 nm close to the thickness, the oxide film is thin, so that the nitrogen concentration in the film increases under the same deposition conditions. I have.
[0252]
FIG. 63 shows the result of detecting the nitrogen concentration by setting the detectors DET1 and DET2 to the detection angles of 30 ° and 90 ° in FIG. 61, respectively.
As can be seen from FIG. 63, the vertical axis in FIG. 63 shows that the X-ray spectrum intensity from the nitrogen atoms segregated on the film surface obtained at the detection angle of 30 ° is dispersed throughout the film obtained at the detection angle of 90 °. It is obtained by dividing by the value of the X-ray spectrum intensity from the nitrogen atom, which is defined as the nitrogen segregation rate. When this value is 1 or more, segregation of nitrogen on the surface occurs.
[0253]
Referring to FIG. 63, in the case where the oxide film was formed to a thickness of 7 ° by the ultraviolet light excited oxygen radical treatment, the nitrogen segregation rate became 1 or more, and nitrogen atoms were initially segregated on the surface. It is considered that it is in a state like the film 12A. Also, it can be seen that after the nitriding treatment for 90 seconds, the film is almost uniformly distributed in the film. It can also be seen that the distribution of nitrogen atoms in the other films becomes substantially uniform after nitriding for 90 seconds.
[0254]
In the experiment of FIG. 64, in the substrate processing apparatus 20, the ultraviolet light radical oxidation treatment and the remote plasma nitridation treatment were repeatedly performed on ten wafers (wafer # 1 to wafer # 10). FIG. 64 shows a variation in the thickness of the oxynitride film obtained in this manner for each wafer. However, the results in FIG. 64 show that the oxide film was formed such that the thickness of the oxide film obtained by XPS measurement was 0.4 nm during the ultraviolet radical oxidation treatment performed by driving the ultraviolet light sources 86 and 87 in the substrate processing apparatus 20. Then, the oxide film thus formed is converted into an oxynitride film containing about 4% of nitrogen atoms by a nitriding process performed by driving the remote plasma unit 27.
[0255]
Referring to FIG. 64, the ordinate indicates the film thickness obtained by ellipsometry for the oxynitride film thus obtained, and as can be seen from FIG. 64, the obtained film thickness is approximately 8 ° (0. 8 nm).
[0256]
FIG. 65 shows a case where an oxide film having a thickness of 0.4 nm is formed on a silicon substrate by a radical oxidation process using ultraviolet light sources 86 and 87 by the substrate processing apparatus 20 and then nitrided by the remote plasma unit 27. And the results of examining the increase in film thickness due to nitriding are shown.
[0257]
Referring to FIG. 65, the oxide film having an initial thickness of about 0.38 nm (before performing the nitriding treatment) has a thickness of about 0% when 4 to 7% of nitrogen atoms are introduced by the nitriding treatment. It can be seen that it has increased to 0.5 nm. On the other hand, when about 15% of nitrogen atoms are introduced by the nitriding treatment, the film thickness increases to about 1.3 nm. In this case, the introduced nitrogen atoms pass through the oxide film and enter the silicon substrate. It is considered that a nitride film is formed.
[0258]
In FIG. 65, the relationship between the nitrogen concentration and the film thickness in an ideal model structure in which nitrogen is introduced into the oxide film having a thickness of 0.4 nm by one layer is shown by ▲.
[0259]
Referring to FIG. 65, in this ideal model structure, the film thickness after introducing nitrogen atoms is about 0.5 nm, in which case the film thickness increases by about 0.1 nm and the nitrogen concentration becomes about 12%. . Based on this model, it can be concluded that when nitriding the oxide film by the substrate processing apparatus 20, it is preferable to suppress the increase in film thickness to about 0.1 to 0.2 nm. At that time, the amount of nitrogen atoms taken into the film is estimated to be about 12% at the maximum.
[0260]
In the above description, an example in which a very thin base oxide film is formed using the substrate processing apparatus 20 has been described. However, the present invention is not limited to such a specific embodiment, and a silicon substrate or a silicon layer may be used. It is possible to apply a high-quality oxide film, nitride film, or oxynitride film thereon to a desired thickness.
[0261]
As described above, the present invention has been described with reference to the preferred embodiments. However, the present invention is not limited to the above-described specific embodiments, and various modifications and changes can be made within the scope of the claims.
[0262]
【The invention's effect】
As described above, according to the present invention, the drive-side magnet provided outside the partition surrounding the shaft of the holding member and the driven-side magnet provided inside the partition are held via the magnet coupling in which the magnet is opposed to each other. By rotating the shaft of the member, contamination by the driven magnet can be prevented by the partition, and the rotation drive unit can be downsized to reduce the size of the apparatus. Further, the substrate to be processed can be stably rotated to improve the efficiency of the film forming process on the substrate to be processed, thereby increasing the productivity.
[0263]
Further, according to the present invention, the use of the lubricant in the rotation drive region is achieved by inserting the shaft of the holding member inside the partition into the rotating shaft formed of ceramic and fixing the driven magnet on the outer periphery of the rotating shaft. To prevent contamination due to the lubricant, and increase the durability of the rotating part.
[0264]
Further, according to the present invention, the partition wall is formed in a bottomed cylindrical shape fixed to the bottom of the heater portion, and the ceramic drive that rotatably supports the rotating shaft is held inside the partition wall, thereby rotating the partition. It is possible to prevent contamination by metal in the region, to use no lubricant, and to increase the durability of the rotating part.
[0265]
Further, according to the present invention, since the driven magnet is housed in the sealed case, it is possible to prevent contamination due to gas released from the driven magnet.
[0266]
In addition, according to the present invention, since the inside of the processing container and the heater section is decompressed and the decompression means for depressurizing the internal space defined by the partition wall is provided, contamination by the lubricant in the rotation drive region is reduced. Can be prevented.
[Brief description of the drawings]
FIG. 1 is a diagram showing a configuration of a semiconductor device having a high dielectric gate insulating film.
FIG. 2 is a front view showing the configuration of an embodiment of the substrate processing apparatus according to the present invention.
FIG. 3 is a side view showing a configuration of an embodiment of the substrate processing apparatus according to the present invention.
FIG. 4 is a cross-sectional view taken along line AA in FIG.
FIG. 5 is a front view showing a configuration of a device disposed below a processing container 22.
FIG. 6 is a plan view showing a configuration of a device disposed below a processing container 22.
FIG. 7 is a side view illustrating a configuration of a device disposed below a processing container 22.
8A and 8B are diagrams showing a configuration of an exhaust path 32, where FIG. 8A is a plan view, FIG. 8B is a front view, and FIG. 8C is a longitudinal sectional view along line BB.
FIG. 9 is a side longitudinal sectional view showing the processing container 22 and its peripheral devices in an enlarged manner.
FIG. 10 is a plan view of the inside of the processing container 22 from which the cover member 82 has been removed, as viewed from above.
11 is a plan view of the processing container 22. FIG.
FIG. 12 is a front view of a processing container 22.
FIG. 13 is a bottom view of the processing container 22.
FIG. 14 is a longitudinal sectional view taken along the line CC in FIG.
FIG. 15 is a right side view of the processing container 22.
FIG. 16 is a left side view of the processing container 22.
FIG. 17 is an enlarged longitudinal sectional view showing an attachment structure of the ultraviolet light sources 86 and 87.
FIG. 18 is an enlarged longitudinal sectional view showing a configuration of a gas injection nozzle unit 93.
FIG. 19 is a cross-sectional view showing the configuration of the gas injection nozzle section 93 in an enlarged manner.
20 is an enlarged front view showing a configuration of a gas injection nozzle unit 93. FIG.
FIG. 21 is an enlarged longitudinal sectional view showing a configuration of a heater unit 24.
FIG. 22 is an enlarged bottom view showing the heater unit 24.
FIG. 23 is an enlarged longitudinal sectional view showing a mounting structure of a second inflow port 170 and a second outflow port 174.
24 is an enlarged longitudinal sectional view showing a mounting structure of a flange 140. FIG.
FIG. 25 is an enlarged longitudinal sectional view showing a mounting structure of an upper end portion of the clamp mechanism 190.
FIG. 26 is a diagram showing a configuration of a SiC heater 114 and a control system of the SiC heater 114.
27A and 27B are diagrams showing a configuration of a quartz bell jar 112, wherein FIG. 27A is a plan view and FIG. 27B is a longitudinal sectional view.
28A and 28B are diagrams showing a configuration of a quartz bell jar 112, wherein FIG. 28A is a perspective view seen from above, and FIG. 28B is a perspective view seen from below.
FIG. 29 is a system diagram showing a configuration of an exhaust system of the pressure reducing system.
30A and 30B are diagrams showing a configuration of a holding member 120, wherein FIG. 30A is a plan view and FIG. 30B is a side view.
FIG. 31 is a longitudinal sectional view illustrating a configuration of a rotation drive unit disposed below a heater unit.
FIG. 32 is an enlarged longitudinal sectional view showing the rotation driving unit 28.
33A and 33B are diagrams showing a configuration of a holder cooling mechanism 234, wherein FIG. 33A is a cross-sectional view and FIG. 33B is a side view.
FIG. 34 is a cross-sectional view showing the configuration of the rotation position detection mechanism 232.
35A and 35B are diagrams for describing the configuration and operation of the rotation position detection mechanism 232, where FIG. 35A is a diagram illustrating a non-detection state, and FIG. 35B is a diagram illustrating a detection state.
36A is a signal waveform diagram of the rotation position detection mechanism 232, FIG. 36A is a waveform diagram of an output signal S of the light receiving element 268, and FIG. 36B is a waveform diagram of a pulse signal P output from the rotation position determination circuit 270. It is.
FIG. 37 is a flowchart illustrating a rotational position control process performed by the control circuit.
FIG. 38 is a cross-sectional view of the mounting location of the windows 75 and 76 as viewed from above.
39 is a cross-sectional view showing the window 75 in an enlarged manner. FIG.
FIG. 40 is a cross-sectional view showing the window 76 in an enlarged manner.
41A and 41B are diagrams showing a configuration of a lower case 102, wherein FIG. 41A is a plan view and FIG. 41B is a side view.
42 (A) is a plan view, FIG. 42 (B) is a front view, FIG. 42 (C) is a rear view, FIG. 42 (D) is a left side view, and FIG. 42 (E) is a right side view. FIG.
43A and 43B are diagrams showing a configuration of the upper case 106, wherein FIG. 43A is a bottom view and FIG. 43B is a side view.
44A and 44B are diagrams showing a configuration of a cylindrical case 108, wherein FIG. 44A is a plan view, FIG. 44B is a side longitudinal sectional view, and FIG.
FIG. 45 is an enlarged longitudinal sectional view showing the lifter mechanism 30.
FIG. 46 is an enlarged longitudinal sectional view showing the seal structure of the lifter mechanism 30.
FIGS. 47A and 47B are a side view and a plan view, respectively, showing a substrate oxidation treatment performed using the substrate processing apparatus 20. FIGS.
FIG. 48 is a view showing a substrate oxidation treatment step performed using the substrate processing apparatus 20.
FIG. 49 is a view showing a method of measuring a film thickness by XPS used in the present invention.
FIG. 50 is another diagram showing a film thickness measuring method by XPS used in the present invention.
FIG. 51 is a diagram schematically showing a stop phenomenon of oxide film thickness growth observed when an oxide film is formed by the substrate processing apparatus 20.
FIGS. 52A and 52B are diagrams showing a process of forming an oxide film on the surface of a silicon substrate.
FIG. 53 is a view showing a leakage current characteristic of an oxide film obtained in the first example of the present invention.
FIGS. 54A and 54B are diagrams for explaining the cause of the leakage current characteristics of FIG. 53;
FIGS. 55A to 55C are diagrams showing an oxide film forming step occurring in the substrate processing apparatus 20. FIGS.
FIG. 56 is a diagram showing a configuration of a remote plasma source used in the substrate processing apparatus 20.
FIG. 57 is a diagram comparing characteristics of RF remote plasma and microwave plasma.
FIG. 58 is another diagram comparing characteristics of the RF remote plasma and the microwave plasma.
59 (A) and (B) are a side view and a plan view, respectively, showing an oxide film nitriding process performed using the substrate processing apparatus 20.
FIGS. 60A and 60B are diagrams showing a relationship between a nitrogen concentration and a film thickness in an oxide film nitrided by RF remote plasma in comparison with a case where nitriding is performed by microwave plasma; .
FIG. 61 is a diagram schematically showing XPS used in the present invention.
FIG. 62 is a diagram showing the relationship between the nitriding time of an oxide film by remote plasma and the nitrogen concentration in the film.
FIG. 63 is a diagram showing the relationship between the nitriding time of an oxide film and the distribution of nitrogen in the film.
FIG. 64 is a diagram showing a change in film thickness of each oxynitride film formed by nitriding the oxide film for each wafer.
FIG. 65 is a diagram showing an increase in film thickness due to nitriding of an oxide film according to the present embodiment.
[Explanation of symbols]
10mm semiconductor device
11 silicon substrate
12 base oxide film
12A oxynitride film
13,43 high dielectric film
14 gate electrode
20mm substrate processing equipment
22 processing container
24 heater section
26 UV irradiation unit
27 remote plasma unit
28 ° rotation drive
30 lifter mechanism
32mm exhaust path
34 gas supply unit
36mm frame
46 cooling water supply section
48a, 48b exhaust valve
50 turbo molecular pump
51 vacuum line
52 power supply unit
57 UV lamp controller
66 gas box
68 ion gauge controller
70 APC controller
72 ° TMP controller
73 ° opening
74 ° exhaust port
75 first window
76 The second window
77, 85 sensor unit
80 chamber
82 lid member
84 process space
86,87 UV light source (UV lamp)
85a ~ 85c pressure gauge
88 mm transparent window
90 supply line
92 supply port
93 gas injection nozzle
93b1~ 93b3Nozzle plate
93a1~ 93anInjection hole
94mm transfer port
96mm gate valve
97a @ First mass flow controller
97b @ 2nd mass flow controller
98 transfer robot
991~ 993Gas supply line
100 mm quartz liner
102 lower case
104 side case
106 upper case
108 cylindrical case
110 base
112 quartz bell jar
113 interior space
114 SiC heater
116 heat reflection member (reflector)
118 SiC susceptor (heating member)
119 pyrometer
120 ° holding member
120a-120c @ arm
120d axis
122 casing
124 interior space
126 ceramic shaft
128 motor
130 magnetic coupling
132 lifting arm
134 ° vertical shaft
136 drive unit
138a-138c Contact pin
142 central hole
144 The first waterway
146 first flange
148 ° second flange
150 Second waterway
152 first inflow line
154 first inlet
156 Outflow line
158 First outlet
164 temperature sensor
166a to 166f Power cable connection terminal
168 second inflow line
170 ° second inlet
172 Outflow line
174 @ second outlet
190 ° clamp mechanism
192 coil spring
194a to 194f connection member
196 heater control circuit
197,199 L-shaped washer
198 temperature controller
200 power supply
201 pump (MBP)
202 exhaust line
204 exhaust pipe
206, 210, 212 valve
208 branch pipe
211 variable aperture
214 pressure gauge
230 holder
232 ° rotation position detection mechanism
234 ° holder cooling mechanism
236,237mm ceramic bearing
242 flange
244 partition
246mm exhaust port
248 ° driven magnet
250mm magnet cover
252 atmosphere side rotating part
254, 255 bearing
256 drive side magnet
258 ° rotation detection unit
260,261 slit plate
262,263 Photo interrupter
266 light emitting element
268 light receiving element
270 ° rotation position judgment circuit

Claims (5)

内部に処理空間が画成された処理容器と、
前記処理空間に挿入された被処理基板を所定温度に加熱するヒータ部と、
前記被処理基板を前記ヒータ部に対向する位置に保持する保持部材と、
前記保持部材の軸の周囲を覆うように形成された隔壁と、
前記隔壁の外側に設けられた駆動側マグネットと前記隔壁の内側に設けられた従動側マグネットとを対向配置させ、前記ヒータ部を貫通する前記保持部材の軸に回転駆動力を伝達するマグネットカップリングと、
該マグネットカップリングを介して前記保持部材の軸を回転駆動する回転駆動手段と、
を備えたことを特徴とする基板処理装置。
A processing vessel in which a processing space is defined,
A heater unit for heating the substrate to be processed inserted into the processing space to a predetermined temperature;
A holding member for holding the substrate to be processed at a position facing the heater section;
A partition wall formed so as to cover the periphery of the axis of the holding member,
A magnet coupling for disposing a driving-side magnet provided outside the partition and a driven-side magnet provided inside the partition to face each other, and transmitting a rotational driving force to a shaft of the holding member passing through the heater. When,
Rotation driving means for rotating and driving the shaft of the holding member via the magnet coupling,
A substrate processing apparatus comprising:
前記隔壁の内部で前記保持部材の軸をセラミックにより形成された回転軸に挿入し、前記回転軸の外周に従動側マグネットを固定したことを特徴とする請求項1記載の基板処理装置。2. The substrate processing apparatus according to claim 1, wherein a shaft of the holding member is inserted into a rotating shaft made of ceramic inside the partition, and a driven magnet is fixed to an outer periphery of the rotating shaft. 前記隔壁は、上端が前記ヒータ部の底部に固定された有底筒状に形成され、前記内部に前記回転軸を回転自在に軸承するセラミック製の軸受を保持することを特徴とする請求項1または2記載の基板処理装置。2. The partition wall is formed in a bottomed cylindrical shape whose upper end is fixed to the bottom of the heater section, and holds a ceramic bearing that rotatably supports the rotating shaft in the interior. Or the substrate processing apparatus according to 2. 前記従動側マグネットは、密閉されたケースに収納されたことを特徴とする請求項1または2記載の基板処理装置。The substrate processing apparatus according to claim 1, wherein the driven magnet is housed in a sealed case. 前記処理容器及び前記ヒータ部の内部を減圧にすると共に、前記隔壁に画成された内部空間を減圧する減圧手段を備えたことを特徴とする請求項1記載の基板処理装置。The substrate processing apparatus according to claim 1, further comprising a decompression unit that decompresses the inside of the processing container and the heater unit and decompresses an internal space defined by the partition.
JP2002278197A 2002-09-24 2002-09-24 Substrate processing equipment Pending JP2004119520A (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2002278197A JP2004119520A (en) 2002-09-24 2002-09-24 Substrate processing equipment
PCT/JP2003/012083 WO2004030063A1 (en) 2002-09-24 2003-09-22 Substrate processing apparatus
AU2003266563A AU2003266563A1 (en) 2002-09-24 2003-09-22 Substrate processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002278197A JP2004119520A (en) 2002-09-24 2002-09-24 Substrate processing equipment

Publications (1)

Publication Number Publication Date
JP2004119520A true JP2004119520A (en) 2004-04-15

Family

ID=32040421

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002278197A Pending JP2004119520A (en) 2002-09-24 2002-09-24 Substrate processing equipment

Country Status (3)

Country Link
JP (1) JP2004119520A (en)
AU (1) AU2003266563A1 (en)
WO (1) WO2004030063A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011023522A (en) * 2009-07-15 2011-02-03 Nuflare Technology Inc Manufacturing apparatus and method for semiconductor device
US10136472B2 (en) 2012-08-07 2018-11-20 Plansee Se Terminal for mechanical support of a heating element

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102199761A (en) * 2011-05-10 2011-09-28 绿种子能源科技股份有限公司 Thin film deposition apparatus
CN102230168A (en) * 2011-06-17 2011-11-02 绿种子能源科技股份有限公司 Film deposition device

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4902531A (en) * 1986-10-30 1990-02-20 Nihon Shinku Gijutsu Kabushiki Kaisha Vacuum processing method and apparatus
JP2966025B2 (en) * 1989-03-15 1999-10-25 株式会社東芝 Vapor phase growth equipment
JPH09260364A (en) * 1996-03-26 1997-10-03 Tokyo Electron Ltd Thermal treatment method and thermal treatment equipment
JP2002212729A (en) * 2001-01-17 2002-07-31 Hitachi Kokusai Electric Inc Substrate processor and method for producing semiconductor device
JP2002246319A (en) * 2001-02-19 2002-08-30 Hitachi Kokusai Electric Inc Substrate processor

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011023522A (en) * 2009-07-15 2011-02-03 Nuflare Technology Inc Manufacturing apparatus and method for semiconductor device
US10136472B2 (en) 2012-08-07 2018-11-20 Plansee Se Terminal for mechanical support of a heating element

Also Published As

Publication number Publication date
WO2004030063A1 (en) 2004-04-08
AU2003266563A1 (en) 2004-04-19

Similar Documents

Publication Publication Date Title
JP2004119521A (en) Substrate processing equipment
JP3877157B2 (en) Substrate processing equipment
JP4191137B2 (en) Cleaning method for substrate processing apparatus
US6506253B2 (en) Photo-excited gas processing apparatus for semiconductor process
JP3778432B2 (en) Substrate processing method and apparatus, and semiconductor device manufacturing apparatus
US20070196011A1 (en) Integrated vacuum metrology for cluster tool
US20070134821A1 (en) Cluster tool for advanced front-end processing
WO2008005773A2 (en) Cluster tool for advanced front-end processing
WO2004049421A1 (en) Method for cleaning substrate processing chamber
KR20050010782A (en) Method for improving nitrogen profile in plasma nitrided gate dielectric layers
WO2021108042A1 (en) Inline measurement of process gas dissociation using infrared absorption
JP2004119520A (en) Substrate processing equipment
JP2004119523A (en) Substrate processing equipment
JP2004119519A (en) Substrate processing equipment
JP2004006614A (en) Nitriding method, semiconductor device and its manufacture, substrate processing device, and substrate processing method
JP2006121106A (en) Substrate processing equipment

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060815

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20061212