JP2004056099A - Silicon nitride film, semiconductor device and its manufacturing method - Google Patents

Silicon nitride film, semiconductor device and its manufacturing method Download PDF

Info

Publication number
JP2004056099A
JP2004056099A JP2003139390A JP2003139390A JP2004056099A JP 2004056099 A JP2004056099 A JP 2004056099A JP 2003139390 A JP2003139390 A JP 2003139390A JP 2003139390 A JP2003139390 A JP 2003139390A JP 2004056099 A JP2004056099 A JP 2004056099A
Authority
JP
Japan
Prior art keywords
film
silicon nitride
less
nitride film
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003139390A
Other languages
Japanese (ja)
Other versions
JP2004056099A5 (en
JP4627971B2 (en
Inventor
Toru Takayama
高山 徹
Shunpei Yamazaki
山崎 舜平
Kengo Akimoto
秋元 健吾
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Priority to JP2003139390A priority Critical patent/JP4627971B2/en
Publication of JP2004056099A publication Critical patent/JP2004056099A/en
Publication of JP2004056099A5 publication Critical patent/JP2004056099A5/ja
Application granted granted Critical
Publication of JP4627971B2 publication Critical patent/JP4627971B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a technique for forming a dense insulating film of high quality suitably applicable as a gate insulating film or a protective film, at a temperature below the deformation point on a glass substrate and to apply a semiconductor device for realizing high reliability with high performance using the technique. <P>SOLUTION: In this semiconductor device, a silicon nitride film having characteristics of an etching rate of 10 nm/min or less to a mixed aqueous solution containing hydrogen of 1×10<SP>21</SP>/cm<SP>3</SP>or lower for the concentration, oxygen of 5×10<SP>18</SP>-5×10<SP>21</SP>/cm<SP>3</SP>for the concentration, ammonium hydrogen fluoride (NH<SB>4</SB>HF<SB>2</SB>) of 7.13% and ammonium fluoride (NH<SB>4</SB>F) of 15.4% is formed in a gate insulating film of a field effect transistor, having a channel length of 0.32-2.5 μm on a crystalline semiconductor film via a silicon oxide film. <P>COPYRIGHT: (C)2004,JPO

Description

【0001】
【発明の属する技術分野】
本発明は、歪み点が700℃以下の基板に形成する窒化珪素膜と、当該窒化珪素膜を用いた電界効果型トランジスタに代表される半導体素子及び半導体集積回路を含む半導体装置に関する。
【0002】
【従来の技術】
液晶やエレクトロルミネセンス(ELと略記する)を利用した表示装置において、同一のガラス基板上に電界効果型薄膜トランジスタ(TFTと略記する)を用いて駆動回路を一体形成する技術が開発されている。当該TFTには、実用的な動作周波数を実現するために、その主要構成部である活性層(チャネル部を形成する半導体領域)に多結晶珪素膜を用いている。そして、さらなる高速動作を実現してマイクロプロセッサをはじめ、画像処理プロセッサやメモリーなど様々な機能を有する集積回路をTFTにより実現するシステムオンパネルという概念が提案されている。
【0003】
勿論、TFTは多結晶珪素膜のみでなく、ゲート絶縁膜や配線間を絶縁分離するための絶縁膜など、各部位に絶縁膜が用いられ、これらが一体となって集積回路を形成している。各部位に用いられる素材に対し、要求される特性は異なり、ゲート絶縁膜においては欠陥が少なく、低リーク電流であり、界面欠陥準位密度などが低いことが要求され、保護膜としては不純物に対し、特にアルカリイオンなどの侵入を阻止する特性が必要など用途によって様々である。
【0004】
配線に関しては高集積化の進展に伴って、アルミニウムより高密度で電流を流すことが可能であり、エレクトロマイグレーションに対する耐性が高い銅を配線材料として用いる技術が開発されている。
【0005】
絶縁膜にはピンホールなどなく、緻密で低欠陥密度であり、固定電荷を含まず、下地との密着性が良いことが求められている。また、素子の微細化に伴ってトランジスタの性能を上げるには、ゲート絶縁膜を薄くしてゲート駆動能力を向上させる必要があり、それに伴ってゲートリーク電流を増加させない緻密な絶縁膜が求められている。
【0006】
絶縁膜の形成方法には化学的な膜形成法であるCVD法と、物理的な膜形成法であるスパッタリング法が知られている。CVD法ではそれを分類するパラメータとして、膜形成時の圧力、供給するガスの流量、化学反応を促進させるためのエネルギーなどがあり、常圧又は減圧下における熱CVD法、プラズマを利用するプラズマCVD法などがあり、それぞれ特徴を有し目的に応じて利用されている。
【0007】
【発明が解決しようとする課題】
ガラスや石英などの絶縁基板上に多結晶珪素膜を形成し、それを用いて集積回路を実現する場合、大規模集積回路で培われた製造技術をそのまま転用することは不可能であった。それは、多結晶珪素膜の結晶性の問題のみでなく、従来種々の方法によって作製される絶縁膜及びそれを用いた半導体素子は、所望された特性及び信頼性を十分発揮できないのが現状であった。
【0008】
緻密でアルカリイオンなどを通さない窒化珪素膜は減圧CVD法で形成することが可能であるが、膜形成温度を750℃以上とする必要があった。プラズマCVD法は低温で膜形成が可能であるが、膜がプラズマ中の荷電粒子により損傷を受け、欠陥やピンホールが出来やすいということが問題であった。また、500℃以下の膜形成温度は、水素が膜中に含まれそれが膜の安定性を低下させていた。これに対し、高周波スパッタリング法は、窒化珪素などの絶縁物ターゲットの使用も可能であり、膜中に水素の混入のない窒化珪素膜を形成することが可能である。しかし、一般に大きな圧縮応力を持つことが知られ、膜の剥離がしばしば問題とないていた。
【0009】
また、絶縁膜を堆積して形成するTFTのゲート絶縁膜は、界面準位密度が必然的に増加してしまい良好な界面を形成することができなかった。また、界面の清浄性から見ても絶縁基板上に形成される多結晶珪素膜は汚染されやすいことが問題であった。特に、化学汚染はその汚染原因や汚染経路を明確に特定することが困難であり、クリーンルームのフィルター材が発生原因と考えられるボロン汚染や、壁材やシーリング材からの燐や有機物汚染などは、日常的な基板管理のみでは防ぐことは難しく、ガラス基板のサイズが大型化する程に困難さが増している。
【0010】
上述の如きCu配線は、配線が絶縁膜に埋め込まれたダマシン構造で形成されるが、適切なバリア膜を用いないと、周囲の絶縁膜中や積層界面に容易に拡散するという問題点を有している。これを防ぐためには、Cuを拡散させず、かつ下地との密着性の良いバリア膜を形成する必要がある。
【0011】
本発明は、上記問題点を鑑みなされたものであり、ゲート絶縁膜や保護膜として好適に適用可能な緻密で高品質の絶縁膜を、ガラス基板に歪み点以下の温度で形成する技術、並びにそれを用いて高性能で高信頼性を実現する半導体装置に適用することを目的とする。
【0012】
【課題を解決するための手段】
上記問題点を解決するために、本発明は、珪素をターゲットとし、高周波マグネトロンスパッタリング法で、窒素又は窒素と希ガスをスパッタガスとして、300℃以下の基板加熱温度で窒化珪素膜を形成するものである。当該窒化珪素膜はTFTのゲート絶縁膜として適用することができる。また、本発明は、当該窒化珪素膜と、化学処理、加熱処理、光照射により結晶性半導体膜表面に形成される酸化膜とを積層させてゲート絶縁膜として適用するものである。
【0013】
本発明において、珪素をターゲットとして用い、高周波マグネトロンスパッタリング法で形成される窒化珪素膜は次に示す特性の少なくとも一つを満たす。即ち、フッ化水素アンモニウム(NHHF)を7.13%とフッ化アンモニウム(NHF)を15.4%含む混合水溶液(20℃)におけるエッチング速度が10nm/min以下(好ましくは3.5nm/min以下)、水素濃度が1×1021/cm以下(好ましくは5×1020/cm以下)、酸素濃度が5×1018〜5×1021/cm(好ましくは1×1019〜1×1021/cm)であることのいずれか一つ、好ましくは複数の要件を同時に満たすものである。また、内部応力の絶対値は2×1010dyn/cm以下、好ましくは5×10dyn/cm以下、さらに好ましくは5×10dyn/cm以下とする。
【0014】
本発明は、水素を1×1021/cm以下の濃度で含み、酸素を5×1018〜5×1021/cmの濃度で含み、また、フッ化水素アンモニウム(NHHF)を7.13%とフッ化アンモニウム(NHF)を15.4%含む混合水溶液に対するエッチング速度が10nm/min以下の特性を有する窒化珪素膜を提供する。このような水素及び酸素含有量及びエッチング特性を有する窒化珪素膜は、半導体装置にあって、ゲート絶縁膜や容量部の誘電体保護膜など電気絶縁性が要求される部位のみでなく、ガスやイオン性不純物の拡散を阻止する保護膜として適用することができる。
【0015】
本発明の半導体装置は、水素を1×1021/cm以下の濃度で含み、酸素を5×1018〜5×1021/cmの濃度で含み、また、フッ化水素アンモニウム(NHHF)を7.13%とフッ化アンモニウム(NHF)を15.4%含む混合水溶液に対するエッチング速度が10nm/min以下の特性を有する窒化珪素膜を少なくとも一層含むゲート絶縁膜が形成されていることを特徴とする。さらに、当該窒化珪素膜が、チャネル長0.35〜2.5μmの電界効果型トランジスタのゲート絶縁膜として少なくとも一層形成されていることを特徴とする。
【0016】
前記したゲート絶縁膜又は電界効果型トランジスタのゲート絶縁膜は、表面における突起部の曲率半径が1μm以下である結晶性半導体膜上に形成されていることを特徴とする。上記ゲート絶縁膜、又は電界効果型トランジスタのゲート絶縁膜は、表面における突起部の曲率半径が1μm以下である結晶性半導体膜上に形成されていることを特徴とする。
【0017】
本発明は、前記組成及びエッチング特性を有する窒化珪素膜が、ゲート絶縁膜、容量部の誘電体膜として、半導体素子の保護膜として、又は有機樹脂で成る層間絶縁膜上に形成された構成のいずれか一つ又は複数の組み合わせを含んでいる。
【0018】
このような水素及び酸素含有量、エッチング特性を有することにより、ゲート絶縁膜への適用においては、ゲートリーク電流が低減し、電界効果移動度、サブスレショルド係数、コンダクタンス(gm)などが良好となり、連続動作におけるトランジスタ特性の経時変化が低減し、製造歩留まりや特性ばらつきを向上させることができる。また、このような効果は、結晶性半導体膜と窒化珪素膜との間に、酸化珪素膜を介在させることによって、より有効に発現させることができる。
【0019】
本発明の半導体装置の作製方法は、絶縁基板上に形成された結晶性半導体膜に酸化処理と、酸化膜除去処理を行う第1段階と、高周波電力を印加してArとN若しくはNのみのグロー放電下において、珪素のターゲットをスパッタリングして窒化珪素膜を形成する第2段階と、直流電力を印加して導電性膜を形成する第3段階の各段階を含み、前記第1乃至第3段階は、大気に晒すことなく不活性雰囲気又は減圧下で連続して行うことを特徴としている。上記第2段階におけるNに対するArの割合は、0.01〜0.5とすることが好ましい。
【0020】
本発明の半導体装置の作製方法は、絶縁基板上に形成された結晶性半導体膜に、酸化処理と、酸化膜除去処理を行う第1段階と、高周波電力を印加してOのグロー放電下において、酸化性雰囲気中での加熱処理により酸化珪素膜を形成する第2段階と、高周波電力を印加してArとN若しくはNのみのグロー放電下において、珪素のターゲットをスパッタリングして窒化珪素膜を形成する第3段階と、直流電力を印加して導電性膜を形成する第4段階の各段階を含み、前記第1乃至第4段階は、大気に晒すことなく不活性雰囲気又は減圧下で連続して行うことを特徴としている。第2段階における酸化性雰囲気はOに、NF、HF、ClFから選ばれた一種又は複数種を0.01〜0.1%添加することが好ましい。第3段階におけるNに対するArの割合は、0.01〜0.5とすることが好ましい。
【0021】
上記本発明の半導体装置の作製方法は、歪み点700℃以下のガラス基板に適用することもできる。
【0022】
上記本発明の半導体装置の作製方法により、室温から300℃以下の温度、好ましくは200℃以下の温度において、水素を1×1021/cm以下の濃度で含み、酸素を5×1018〜5×1021/cmの濃度で含み、かつ、フッ化水素アンモニウム(NHHF)を7.13%とフッ化アンモニウム(NHF)を15.4%含む混合水溶液に対するエッチング速度が10nm/min以下の特性を有する窒化珪素膜を得ることができる。
【0023】
上記本発明の半導体装置の作製方法において、高周波マグネトロンスパッタリング法において適用する電力周波数は、1MHz以上120MHz以下、好ましくは10MHz以上60MHz以下とすることができる。
【0024】
尚、本発明における半導体装置とは、半導体特性を利用することで機能しうる装置全般を指し、電気光学装置、半導体回路および電子装置は全て半導体装置の範疇に含まれるものとする。
【0025】
【発明の実施の形態】
本発明は、半導体装置の主要構成要素である電界効果型トランジスタ、典型的には電界効果型薄膜トランジスタ(以下、TFTと略記する)のゲート絶縁膜及び保護膜に対し、また液晶やELを利用した表示装置における層間絶縁膜や保護膜、ガラスなどの絶縁基板上に形成される集積回路における層間絶縁膜や保護膜、及び当該集積回路を構成するTFTのゲート絶縁膜などに、酸素濃度1×1019/cm以下の単結晶又は多結晶珪素をターゲットとして、窒素又は窒素と希ガスをスパッタガスとして用い、基板加熱温度を室温から300℃以下の範囲内として、高周波マグネトロンスパッタリング法により作製される窒化珪素を素材として用いる。
【0026】
図7は本発明を実施するに当たり、好適なマルチタスク型マグネトロンスパッタリング/酸化膜形成装置の態様を説明する図である。図7で示す装置の構成は、基板の搬送手段102を備えた第1共通室101に対し、仕切弁119を介してスパッタリングにより被膜の形成が可能な複数の膜形成室を備えている。窒化珪素膜を形成するに当たっては、一つの反応室があれば良いが、大気に触れさせず界面を汚染することなく性状の異なる複数の被膜を連続して形成するには図7に示す装置の構成は好適である。
【0027】
窒化珪素膜など被膜を形成する基板はロード/アンロード室111に装填され、第2共通室109に備えられた搬送手段110により搬送される。前処理室112は、基板を回転させるスピナーが備えられ、薬液供給手段118からの各種薬液の塗布により基板の被堆積表面の洗浄、酸化、酸化膜除去などの処理が可能である。ロード/アンロード室111、第2共通室109、前処理室112はガス供給手段130により不活性ガスが充填されて常圧で使用するものであり、中間室108は内部を減圧にする第1共通室101並びにそれに連結する複数の膜形成室との間で基板を相互に受け渡しするための部屋として備えられている。図7では詳細に示さないが、中間室108はロード/アンロード室111に装填される基板の全数を一時的保持するカセットホルダーなどが備えられていても良い。
【0028】
加熱処理室103には加熱手段120が備えられ、基板に吸着している大気成分を含む各種不純物を離脱させ清浄化させ、若しくはスパッタリングにより形成された被膜を加熱処理して緻密化又は結晶化するなどの処理を行う。
【0029】
加熱処理室103の構成として、瞬間熱アニール(RTA)を行う加熱手段120が備えられていても良い。図20は加熱処理室103の詳細を説明する図である。加熱処理室103には石英で形成された反応室1129があり、その外側に光源1110が設けられている。反応室1129内には、石英で形成された基板ホルダー1112があり、被処理基板はこの基板ホルダー1112上に設置される。このとき、温度分布を均一なものとするために被処理基板はピン上に乗せられる。また、光源1110により加熱される温度をモニターする手段として、ここでは熱電対を用いた温度検出システム1128を採用している。
【0030】
光源1110は電源1111により点灯と消灯の動作をする。コンピュータ1118はこの電源と流量制御手段1115の動作を制御している。反応室1129に導入された冷媒はサーキュレータ1116により循環させて動作させても良い。その循環経路には精製器1117を設けて冷媒であるHeの純度を保つことも重要である。
【0031】
また、減圧下での熱処理を可能とするために排気手段としてターボ分子ポンプ1119とドライポンプ1120を設けている。減圧下での熱処理においても、ランプ光が半導体膜に吸収される波長帯を用いることにより、半導体膜を加熱することが可能である。減圧下での熱処理は酸素濃度が低減されることにより、半導体膜の表面の酸化が抑制され、その結果、結晶化の促進やゲッタリング効率の向上に寄与することができる。被処理基板はゲートを介して接続された搬送室から行われ、搬送手段によって基板ステージ1112に被処理基板がセットされる。
【0032】
図21は光源により加熱される被処理基板と、処理室に流す気体の流量の制御方法について示す図である。最初、室温に置かれた被処理基板は光源により急速に加熱される。昇温期間は100〜200℃/秒という昇温速度で設定温度(例えば1100℃)まで加熱する。例えば、150℃/秒の昇温速度で加熱すれば、1100℃まで7秒弱で加熱できる。その後、ある一定時間設定温度に保持し、光源の点灯を遮断する。保持時間は0.5〜5秒とする。従って、光源の連続点灯時間は0.1秒以上であり、20秒を超えることはない。処理雰囲気中に気体を流し続けることにより降温速度は50〜150℃/秒とすることができる。例えば、100℃/秒の速度で冷却すると、1100℃から300℃まで8秒で冷却することができる。
【0033】
このように光源による加熱と、気体の循環による冷却のサイクルを複数回繰り返し行うことを特徴としている。これをPPTA(Plural Pulse Thermal Annealing)法と呼ぶ。PPTA法により、実際の加熱時間を短くし、かつ、半導体膜に選択的に吸収される光を光源から照射することにより、基板自体はそれ程加熱することなく、半導体膜のみを選択的に加熱することが可能となる。図21で示すようなパルス光は半導体膜を加熱し、その熱が基板側に伝搬する前に加熱を止め、かつ、冷媒で周囲から冷やすことにより、基板の温度はさほど上昇しない。従って、従来のRTA装置で問題とされていた基板の変形を防ぐことができる。
【0034】
光源の1回当たりの発光時間は0.1〜60秒、好ましくは0.1〜20秒であり、当該光源からの光を複数回照射する。または、半導体膜の最高温度の保持時間が0.5〜5秒であるように光源からの光をパルス状に照射する。さらに、光源の点滅に伴って、冷媒の供給量を増減させることで、半導体膜の熱処理効果を高めると共に、熱による基板のダメージを防いでいる。また、処理室内を減圧にする排気手段を設け、熱処理雰囲気における酸素濃度を低減させる。このとにより、熱処理により半導体膜の表面が酸化したり、汚染されたりすることを防ぐことができる。
【0035】
図7において、膜形成室104〜107には異なる材料のターゲットが装着され、それにより複数の被膜を減圧下で連続して積層形成することができる。各膜形成室にはスパッタガスを供給するガス供給手段115、排気手段114及び圧力制御手段113が備えられている。膜形成室104、105は絶縁物質のターゲットを備え、スパッタリングをするために高周波電源116が連接している。高周波電源が供給する電力の周波数は1MHz以上120MHz以下、好ましくは10MHz以上60MHz以下の周波数を適用する。このような周波数の適用範囲は、それが高くなるに従いシース電位が下がり、物理的な膜形成機構によるスパッタリング法にあっても、化学的な反応による膜形成が優位となり緻密な被膜を形成することが期待できる。また、膜形成室106、107は金属のターゲットを備え直流電源117が接続されている。
【0036】
図8は一例として膜形成室105の詳細を説明する図である。膜形成室105は本発明に係る窒化珪素膜を形成する場所である。ターゲット120は珪素であり、バッキングプレートを介して冷媒により冷却されている。永久磁石124はターゲット面と平行な方向に円運動又は直線運動することにより対向する基板表面に膜厚の均一性の良い被膜の形成を可能とする。シャッター123は膜形成開始前後に開閉し、放電初期においてプラズマが不安定な状態で被膜が形成されるのを防いでいる。基板保持手段122はホルダーが上下して基板を載置並びに背面板121に固定する。背面板121内には加熱手段128としてシーズヒーターが埋め込まれ、また、加熱された希ガスを基板裏側から導入して均熱性を高めている。ガス導入手段115からは希ガスの他に窒素ガスが導入され、膜形成室105内の圧力は、コンダクタンスバルブ126により制御される仕組みである。整流板125は膜形成室105内でのスパッタガスの流れを整流する目的で設けられている。ターゲットには高周波電源に接続され、高周波電力を印加することによりスパッタリングが成される。
【0037】
図8の構成による高周波マグネトロンスパッタリングにより、珪素をターゲットとして緻密な窒化珪素膜を形成することができる。主要な膜形成条件としては、ターゲット材として珪素を用い、Nのみ又はNとArの混合ガスをスパッタガスとして用いている。印加する高周波電力の周波数は、典型的には13.56MHzであるが、それより高い27〜120MHzの周波数を適用しても良い。周波数の増加に従って膜形成の機構はより化学的反応が優先的となり、緻密で下地へのダメージが少ない膜形成が期待できる。スパッタガスとして用いられるArは、基板を加熱するためのガスとして、図8で示したように基板の裏側から導入され、最終的にNと混合されてスパッタリングに寄与する。
【0038】
以下に示す表1は膜形成条件の代表例を示す。勿論、ここで示す成膜条件は一例であり、上記主要な膜形成条件を満たす範囲において適宜設定することができる。
【0039】
【表1】

Figure 2004056099
【0040】
また、比較例として、従来のプラズマCVD法により形成される窒化珪素膜の膜形成条件を表2に示す。
【0041】
【表2】
Figure 2004056099
【0042】
次に、表1の成膜条件で形成された窒化珪素膜と、表2の条件で形成された窒化珪素膜の代表的な特性値について比較した結果を表3に示す。尚、試料において「RFSP−SiN(No.1)」と「RFSP−SiN(No.2)」との違いはスパッタリング装置による違いであり、本発明における窒化珪素膜としての機能を損なうものでははい。また、内部応力は、圧縮応力と引っ張り応力とで数値の正負が変わるが、ここではその絶対値のみを取り扱う。
【0043】
【表3】
Figure 2004056099
【0044】
表3に示すように、上述の高周波マグネトロンスパッタリング法で作製された「RFSP−SiN(No.1)」と「RFSP−SiN(No.2)」の試料のプラズマCVD法で作製された比較例の試料に対する特徴的な違いは、フッ化水素アンモニウム(NHHF)を7.13%とフッ化アンモニウム(NHF)を15.4%含む混合水溶液の20℃(LAL500 SAバッファードフッ酸;橋本化成株式会社製)におけるエッチング速度が非常に遅く、水素の含有量が極めて少ない点にある。また、内部応力は、プラズマCVD法で形成された窒化珪素膜よりも絶対値で比較して小さい値となっている。
【0045】
当該窒化珪素膜における水素、酸素、炭素の不純物濃度は二次イオン質量分析(SIMS)により調べたものであり、その深さ方向分析の結果を図3に示す。試料は単結晶珪素基板上に表1に従う条件で形成された窒化珪素膜であり、水素濃度が1×1021/cm以下であることが判明している。窒化珪素膜における水素結合の有無はフーリエ変換赤外分光分析(FT−IR)によっても調べ、その結果をプラズマCVD法で作製された窒化珪素膜の特性との比較で図5に示す。FT−IRの分析によってもSi−H結合、N−H結合による吸収ピークは観測されていない。
【0046】
また、分光光度計で測定した透過率を図4に示し、比較参照のため同図には表2で示す条件によりプラズマCVD法で作製した窒化珪素膜の特性についても示している。両者には顕著な違いは見られず、どちらも透明度の良い膜であることが分かる。
【0047】
上記、特性値は代表的な結果を示すものであり、種々の実験結果から本発明に係る高周波マグネトロンスパッタリング法で作製された窒化珪素膜の主要な特性値は以下の通りである。
【0048】
本発明に係る窒化珪素膜は種々検討した結果、次に示す特性の少なくとも一つを満たすものである。即ち、フッ化水素アンモニウム(NHHF)を7.13%とフッ化アンモニウム(NHF)を15.4%含む混合水溶液(20℃)におけるエッチング速度が10nm/min以下(好ましくは3.5nm/min以下)、水素濃度が1×1021/cm以下(好ましくは5×1020/cm以下)、酸素濃度が5×1018〜5×1021/cm(好ましくは1×1019〜1×1021/cm)であることのいずれか一つ、好ましくは複数の要件を同時に満たすものである。また、内部応力の絶対値は2×1010dyn/cm以下、好ましくは5×10dyn/cm以下、さらに好ましくは5×10dyn/cm以下とする。内部応力を小さくすれば他の被膜と積層させた場合において、界面における欠陥準位の発生を抑えることができ、また剥離などの問題が生じることはない。
【0049】
さらに、上記特性を有する本発明の窒化珪素膜は、NaやLiに代表される周期律表1族及び2族の元素に対するブロッキング効果が極めて高く、これらの可動イオンの拡散を抑制することができる。この事実を端的に示すデータを図1、図2、図6に示す。図6は表2の条件でプラズマCVD法により膜形成した窒化珪素膜を誘電体としたMOS構造のバイアス−熱ストレス(B−Tストレス)試験の結果前後におけるC−V特性の変化を示すグラフである。試料の構造は単結晶珪素基板(n型、1〜10Ωcm)に100nmの窒化珪素膜を表2の条件で形成し、その上にAlにLiを添加(0.2〜1.5重量%)した金属を電極(直径1mm)としたものである。この試料の構造においてAl電極にLiを添加することにより、Li拡散の有無を調べることができる。B−Tストレス試験の条件は、1.7MVの電圧を印加して150℃にて1時間保持する条件下にて行った。図6によれば、BTストレス試験によりC−V特性が大きくシフトし、窒化珪素膜上に形成したAlにLiを添加した電極からLiが拡散している影響が顕著に確認することができる。
【0050】
図1と図2は、表1の条件で作製した窒化珪素膜を誘電体膜としたMOS構造の試料におけるB−Tストレス試験前後におけるC−V特性を示している。図1の試料は窒化珪素膜上の電極をAl−Si(珪素を添加したAl)で形成したものであり、図2はAl−Liで電極を形成した試料である。但し、試料は単結晶珪素基板(p型、1〜10Ωcm)の表面に50nmの酸化膜を形成してあり、これは窒化珪素膜と珪素基板との界面準位の影響を低減する目的で形成したものである。従って、窒化珪素膜のLiに対するブロッキング性に何ら影響を与えるものではない。
【0051】
図1と図2の特性を比較すると、両グラフともにB−Tストレス試験前後におけるC−V特性の変化に殆ど差がなく、Liの拡散による影響が表れていないこと、即ち、表1の膜形成条件で作製した窒化珪素膜が効果的にブロッキング膜として機能することが確認できる。このように、本発明に係る窒化珪素膜は300℃以下の温度で形成されているにもかかわらず、非常に緻密でありNaやLiといった可動イオンに対するブロッキング効果が高いことを確認することができる。
【0052】
以上におけるB−Tストレス試験などで確認される、非常に緻密な窒化珪素膜は従来のスパッタリング現象による物理的な膜形成機構とは異なり、ターゲット表面及び被膜堆積表面において窒素又は窒素と希ガスイオンと珪素とが相互に反応して膜形成に関与していると考えられる。
【0053】
その膜形成機構の一考察例を図9の模式図により説明する。ターゲット901に高周波電力の印加によりグロー放電プラズマ900が形成されると、窒素又は窒素と希ガスとは様々なイオン種、励起種、発光種が形成される。その中で化学的に極めて活性な性質を持つ活性窒素が生成される。活性窒素はきわめて反応性が強く比較的低温でも容易に窒化物を形成することが知られている。つまり、ターゲット表面に拡散した活性窒素は、珪素と反応して窒化物を形成する。窒化珪素は安定であるが、ターゲット表面に希ガスイオン又は窒素イオンがシース電界で加速されて入射すると、スパッタリングされ気相中に放出される。グロー放電プラズマ900中を拡散する珪素の窒化物は、その過程で活性窒素や他の窒素の励起種と反応し、一部は基板表面に達する。そこで珪素の窒化物は表面反応して窒化珪素が形成される。表面反応においてもプラズマ電位と接地電位との電位差により加速されて入射するイオン種の援助が作用していると考えられる。このような膜形成機構により、窒化珪素膜中に珪素のクラスターが含まれず、それが膜の緻密さを向上させていることが推測される。
【0054】
このような膜形成機構は、供給する窒素よりも希ガスの割合を高めてしまうと、希ガスイオンによるスパッタリングが支配的となり実現することはできない。理想的には、窒素ガスのみとすれば良いが、膜形成速度が著しく低下するので、窒素と希ガスとの混合比が最大で1対1となる範囲内で選択することが好ましい。
【0055】
上記窒化珪素膜及び製造装置を用いた半導体装置の態様について、以下に図面を用いて詳細に説明する。
【0056】
(実施の形態1)
本実施の形態において適用することのできる基板には、バリウムホウケイ酸ガラス、アルミノホウケイ酸ガラス、アルミノシリケートガラスなどを素材とするガラス基板が適している。代表的には、コーニング社製の1737ガラス基板(歪み点667℃)、旭硝子社製のAN100(歪み点670℃)などが適用可能であるが、勿論他の同様な基板であれば特段の限定はない。いずれにしても、本発明においては歪み点700℃以下のガラス基板が適用可能である。本実施例では、歪み点700℃以下のガラス基板上に高周波マグネトロンスパッタリング法により作製された窒化珪素膜を用いてマイクロプロセッサ(Micro Processor Unit:MPU)を形成する一形態について説明する。
【0057】
尚、本発明において、歪み点が700℃以上である基板を除外するものではない。勿論、耐熱温度が1000℃以上である合成石英基板を適用しても良い。本発明に係る窒化珪素膜は700℃以下の温度で緻密でブロキング性の高い膜が形成でき、その特徴において特段合成石英基板を選択する必要はない。
【0058】
上記基板を選択して図10(A)に示すように、ガラス基板200上に酸化珪素膜、窒化珪素膜または酸化窒化珪素膜(SiO)等の絶縁膜から成る第1無機絶縁体層201を形成する。代表的な一例は2層構造を有し、SiH、NH、及びNOを反応ガスとしてプラズマCVDにより膜形成される第1酸化窒化珪素膜202を50nm、SiH、及びNOを反応ガスとしてプラズマCVDにより膜形成される第2酸化窒化珪素膜203を100nmの厚さに積層形成する構造である。ここで、第1酸化窒化珪素膜202を高周波マグネトロンスパッタリング法で形成する窒化珪素膜と置き換えても良い。当該窒化珪素膜はNaなどガラス基板に微量に含まれるアルカリ金属元素が拡散するのを防ぐことができる。
【0059】
TFTの活性層とする結晶性半導体膜は、第1無機絶縁体層201上に形成した非晶質珪素膜204を結晶化して得る。また、非晶質珪素膜に換えて、非晶質シリコンゲルマニウム(Si1−xGe;x=0.001〜0.05)膜を適用しても良い。最初に形成する非晶質珪素膜の厚さは出来上がりの結晶性珪素膜の厚さが20nm乃至60nmとなる範囲で選択される。この膜厚さの上限はTFTのチャネル形成領域において完全空乏型として動作させるための上限値であり、この膜厚の下限値はプロセス上の制約であり、結晶性珪素膜のエッチング工程において選択加工する場合に必要な最小値として決めている。
【0060】
結晶化の工程において、その方法は特に限定はない。例えば結晶化法の一例として、ニッケルなどの半導体の結晶化に対し触媒作用のある金属元素を添加して結晶化させても良い。この場合、ニッケルを含有する層205を非晶質珪素膜204上に保持させた後、脱水素化(500℃、1時間)、続けて550℃、4時間の加熱処理により結晶化させる。
【0061】
図10(B)は結晶性珪素膜206が形成された状態を示している。この状態で50〜95%の割合で結晶化した結晶性珪素膜が得られるが、図10(C)で示すように、更に結晶性を向上させるためパルス発振のエキシマレーザー又は、YAGレーザー、YVOレーザー、YLFレーザーなど固体レーザーの第2高調波を照射するレーザーアニール処理を加える。レーザーアニール処理では当該レーザー光を光学系にて短手方向の幅400μmの線状レーザー光として、90〜98%のオーバーラップ率を持って照射する。このパルスレーザー光の照射により、図10(C)に示すように表面に最大値として、膜厚と同程度の高さの凸部が多数形成される。
【0062】
この結晶性珪素膜の上にゲート絶縁膜を形成してトップゲート型のTFTを形成すると、ゲートリーク電流が増加する。また、ゲート電極にバイアス電圧を印加するストレス試験によっても特性が劣化する。これは、凸部に電界が集中するためであると考えられる。よって、結晶性珪素膜の表面の凹凸形状の最大値を10nm以下好ましくは5nm以下にすることが望ましい。
【0063】
表面の凹凸を低減するには、オゾン水含有水溶液による酸化処理と、フッ酸含有水溶液による酸化膜除去処理を1回、好ましくは複数回繰り返すことで実現することができる。本実施の形態では、チャネル長0.35〜2.5μmのTFTを作製するために、ゲート絶縁膜の実質的な厚さを30〜80nmとするために、結晶性珪素膜の表面の平滑性に関し、凹凸形状の最大値を10nm以下好ましくは5nm以下にする(図10(D))。
【0064】
その後、得られた結晶性珪素膜をフォトマスクを用いて写真蝕刻法により所望の形状にエッチング処理し、TFTにおいてチャネル形成領域、ソース及びドレイン領域、低濃度不純物領域などを含む活性層を形成する半導体膜216〜218を形成する(図12(A))。
【0065】
図10(D)の状態で形成された結晶性珪素膜をエッチングするには、ドライエッチング法を用いてCFとOの混合ガスをエッチングガスとして用い、ゲート絶縁膜の被覆性を良くするために、半導体膜216〜218の端部に30〜60度のテーパー角が付くように加工する。その詳細は図17に示されている。下地との選択比との関係で、酸化窒化珪素膜も僅かにエッチングされるが、そのザグリの深さは半導体膜の厚さdの1/3以下となるようにする。ザグリが深い場合、ゲート絶縁膜が被覆せず、その上層に形成するゲート配線が断線する不良が発生する。また、半導体膜216〜218に対し、しきい値(Vth)を制御するためにp型を付与する不純物元素を添加してもよい。半導体に対してp型を付与する不純物元素には、ボロン(B)、アルミニウム(Al)、ガリウム(Ga)など周期律第13族元素が対象となる。
【0066】
次いで、図12(B)で示すように、半導体膜216〜218上にゲート絶縁膜を形成する酸化珪素膜219、窒化珪素膜220を高周波マグネトロンスパッタリング法で形成し、ゲート電極を形成する第1導電膜221、第2導電膜222の4層を大気に触れさせることなく減圧下にて連続的に形成する。
【0067】
図7で説明したマルチタスク型マグネトロンスパッタリング装置はこの工程に適した構成となっている。このゲート絶縁膜〜ゲート電極を形成するまでの工程は概略以下の通りである。
【0068】
まず、ロード/アンロード室111から搬送される基板は図12(A)の状態となっている。前述の表面の平滑化処理は、スピナーを備えた前処理室112で行うことが可能でありオゾン水含有水溶液による酸化処理と、フッ酸含有水溶液による酸化膜除去処理を行い、半導体膜の表面を凸部を中心にエッチングする。また、この処理により半導体膜の最表面がエッチングされて水素で終端された清浄で不活性な表面が形成されるという特徴もある。
【0069】
その後、基板は中間室108を経て真空排気された第1共通室101に搬送される。加熱処理室103には加熱手段120が備えられ、基板に吸着している水分を離脱させ清浄化させる。膜形成室104では高周波マグネトロンスパッタリング法により合成石英をターゲットとして酸化珪素膜を10〜60nmの厚さで形成する。主な膜形成条件は、スパッタガスO、スパッタリング時の圧力0.4Pa、放電電力11.0mW/cm、13.56MHz、基板加熱温度200℃とする。この条件により半導体膜と界面準位密度が低く、緻密な酸化珪素膜219を形成することができる。次いで、基板を膜形成室105に移して高周波マグネトロンスパッタリング法にて窒化珪素膜を10〜30nmの厚さで形成する。この膜形成条件は表1と同じである。酸化珪素の比誘電率3.8に対し窒化珪素の比誘電率は約7.5であるので、酸化珪素膜で形成するゲート絶縁膜に窒化珪素膜を含ませることで、実質的にはゲート絶縁膜の薄膜化を図るのと同等の効果を得ることができる。
【0070】
即ち、結晶性珪素膜の表面の平滑性に関し、凹凸形状の最大値を10nm以下好ましくは5nm以下とし、ゲート絶縁膜において酸化珪素膜と窒化珪素膜の2層構造とすることで、当該ゲート絶縁膜の全厚さを30〜80nmとしてもゲートリーク電流を低減させ、2.5〜10V、代表的には3.0〜5.5VでTFTを駆動させることができる。
【0071】
また、ゲート絶縁膜とゲート電極界面の汚染物もTFTの特性ばらつきの原因となるので、ゲート絶縁膜を形成した後、続けて膜厚10〜50nmの窒化タンタル(TaN)から成る第1導電膜221と、膜厚100〜400nmのタングステン(W)から成る第2導電膜222とを積層形成する。ゲート電極を形成するための導電性材料としてはTa、W、Ti、Mo、Al、Cuから選ばれた元素、または当該元素を主成分とする合金材料もしくは化合物材料で形成する。また、リン等の不純物元素をドーピングした多結晶珪素膜に代表される半導体膜を用いてもよい。また、第1導電膜をタンタル(Ta)膜で形成し、第2導電膜をW膜とする組み合わせ、第1導電膜を窒化タンタル(TaN)膜で形成し、第2導電膜をAl膜とする組み合わせ、第1導電膜を窒化タンタル(TaN)膜で形成し、第2導電膜をCu膜とする組み合わせとしてもよい。
【0072】
次に、図12(C)に示すように、写真蝕刻法によりゲート電極パターンが形成されるレジストマスク223を形成する。その後、ドライエッチング法により第1エッチング処理を行う。エッチングには例えばICP(Inductively Coupled Plasma:誘導結合型プラズマ)エッチング法が適用される。エッチング用ガスに限定はないが、WやTaNのエッチングにはCFとClとOとを用いると良い。第1エッチング処理では、基板側には所定のバイアス電圧を印加して、形成される第1形状のゲート電極パターン224の側面に15〜50度の傾斜角を持たせる。エッチング条件にもよるが、第1エッチング処理によりゲート絶縁膜として形成された窒化珪素膜220は、第1形状のゲート電極パターン224の下部において残存し、酸化珪素膜219が露出する。この後、第2エッチング条件に変え、エッチング用ガスにSFとClとOとを用い、基板側に印加するバイアス電圧を所定の値として、W膜の異方性エッチングを行う。こうして、ゲート電極224、225を形成する。その後、レジストマスク223は除去する。
【0073】
ゲート電極は第1導電膜221と第2導電膜222との積層構造体であり、第1導電膜が庇のように突出した構造を有している。その後、図12(A)で示すようにドーピング処理を行い、各半導体膜に不純物領域を形成する。ドーピング条件は適宜設定すれば良い。半導体膜216に形成される第1n型不純物領域227は低濃度ドレインを形成し、第2n型不純物領域228はソース又はドレイン領域を形成する。半導体膜217に形成される第1p型不純物領域230は低濃度ドレインを形成し、第2p型不純物領域231はソース又はドレイン領域を形成する。それぞれの半導体膜におけるチャネル形成領域226、229は低濃度ドレイン領域の間に位置する。半導体膜218は容量部を形成するための部材であり第2n型不純物領域と同じ濃度で不純物が添加される。
【0074】
そして、図16(A)に示すように水素を含有する酸化窒化珪素膜274をプラズマCVD法により50nmの厚さで形成し、350〜550℃の加熱処理により半導体膜の水素化を行う。この加熱処理には図20及び図21で示すRTA熱処理装置を用いる。また、水素化と共に上述の不純物領域の活性化処理を同時に行うこともできる。
【0075】
層間絶縁膜275はアクリル又はポリイミドなどを主成分とする感光性の有機樹脂材料で所定のパターンに形成する。その後、保護膜276を高周波マグネトロンスパッタリング法により窒化珪素膜で形成する。膜厚は20〜500nmとすれば、酸素や空気中の水分をはじめ各種イオン性の不純物の侵入を阻止するブロッキング作用を得ることができる。そして、ドライエッチングによりコンタクトホール277を形成する(図16(B))。
【0076】
その後、図16(C)で示すように、Al、Ti、Mo、Wなどを用いて配線278a〜278d、279を形成する。配線構造の一例は、膜厚50〜250nmのTi膜と、膜厚300〜500nmの合金膜(AlとTiとの合金膜)との積層膜を用いる。
【0077】
こうして、nチャネル型TFT303、pチャネル型TFT304、容量部305を形成することができる。各TFTにおいてはゲート絶縁膜に少なくとも1層の窒化珪素膜が含まれている。また、容量部305において、誘電体膜として少なくとも一層の窒化珪素膜(276)が含まれている。当該窒化珪素膜は、フッ化水素アンモニウム(NHHF)を7.13%とフッ化アンモニウム(NHF)を15.4%含む混合水溶液に対するエッチング速度が10nm/min以下であるなどの本発明の特徴を有している。
【0078】
(実施の形態2)
実施の形態1において、図10(B)で示す結晶性珪素膜が得られた後に、図11で示すように、連続発振型の固体レーザーとして、YAGレーザー、YVOレーザー、YLFレーザーを用いることができる。YAGレーザー、YVOレーザー、YLFレーザーの第2高調波を照射する。例えば、YVOレーザーの第2高調波(532nm)を線状に集光して、1〜100cm/secの速度で走査させ結晶性の向上を図る。この工程で連続発振レーザーを用いることで、表面が平滑な結晶性珪素膜を得ることができ、表面の凹凸形状の最大値を10nm以下好ましくは5nm以下にすることができる。
【0079】
(実施の形態3)
本実施の形態は、ゲート電極の構造が異なり、より微細化に適した半導体装置の構成について図面を参照して説明する。勿論、この半導体装置の各部位においても本発明に係る窒化珪素膜が適用される。
【0080】
図13(A)において、半導体膜216〜218は実施の形態1と同様にして形成する。ゲート絶縁膜240は、10〜80nmの厚さで高周波マグネトロンスパッタリング法で作製される窒化珪素膜が適用されるが、半導体膜との界面にはスパッタリング又は酸素プラズマ処理により形成される1〜5nmの厚さの酸化珪素膜を介在させておく。このことにより、窒化珪素と半導体膜とが直接接触して界面準位密度が増加するのを防ぎ、また、膜形成時のダメージを低減することができる。
【0081】
ゲート電極243、244及び容量電極245は、実施の形態1と同様に膜厚10〜50nmの窒化タンタル(TaN)から成る第1導電膜241と、膜厚100〜400nmのタングステン(W)から成る第2導電膜242とで形成する。
【0082】
その後、図13(B)に示すように、ドーピング処理により第1n型不純物領域246、248、第1p型不純物領域247を形成する。これらの不純物領域は、第2導電膜242をマスクとして第1導電膜241と重畳するように形成している。
【0083】
図13(C)では、ゲート電極の側壁スペーサ249〜251を酸化珪素膜で形成している。全面にプラズマCVD法で酸化珪素膜を形成し、異方性ドライエッチングでこの膜を全面にわたって均一にエッチングして側壁スペーサを形成する。ゲート電極をマスクとして第2n型不純物領域252、254、第2p型不純物領域253を形成する。
【0084】
そして、図14(A)に示すように水素を含有する酸化窒化珪素膜256をプラズマCVD法により50nmの厚さで形成する。さらに、高周波マグネトロンスパッタリング法により作製される窒化珪素膜257を形成する。その後、410℃の加熱処理により水素化を行うが、窒化珪素膜257により当該水素が気相中に放散されるのを防ぎ水素化の効果を高めることができる。また、窒化珪素膜257に置き換えて酸化窒化アルミニウム(AlNxOy:X=2.5〜47.5原子%)を適用しても良い。酸化窒化アルミニウムは窒化珪素膜と同様な効果に加え、熱伝導性が高いことから、TFTの発熱を放散させる効果が得られる。即ち、素子を微細化して集積度を向上させることによる発熱の影響を低減することができる。
【0085】
層間絶縁膜258はプラズマCVD法で作製される酸化珪素膜、常圧CVD法で作製されるリンガラス(PSG)、あるいはボロンガラス(BSG)、もしくはリンボロンガラス(PBSG)で形成可能であるが、最も好ましくはポリイミド、アクリルなど感光性有機樹脂材料により被膜の形成と同時にコンタクト部の開口を形成する。
【0086】
そして、配線259をAl、Ti、Mo、Wなどを用いて形成する。この配線259と層間絶縁膜258を被覆する形で高周波マグネトロンスパッタリング法により窒化珪素膜260を20〜100nmの厚さに形成する。これは、この上層にCu配線を形成するに際し、Cuの拡散を防ぐバリア膜とするものである。
【0087】
そして、酸化珪素膜又は有機樹脂材料を用いて0.5〜5μmの厚さで第2層間絶縁膜261を形成する。第2層間絶縁膜261に配線を形成するための開溝を形成し、その後全面にスパッタ法で窒化タンタル膜から成るバリア層262を100〜200nmの厚さで形成する。窒化タンタル膜はCuの拡散を防ぐバリア層として用いる。さらにスパッタ法でCu膜を成膜してシード層を形成し、硫酸銅を用いたメッキ法によりCu層263を1〜10μmの厚さで形成する。メッキ法以外でも、Cu層をスパッタ法で形成し、450℃の熱処理でリフローさせて平坦化を実現することもできる(図14(C))。
【0088】
Cu層263をエッチング加工してCu配線264を形成する。Cu配線は酸化しやすく熱的に不安定であるので、このCu配線264を被覆する保護膜を高周波マグネトロンスパッタリング法による窒化珪素膜265で20〜200nmの厚さで形成する。当該窒化珪素膜は緻密であり、Cuの酸化や周辺部位への拡散を防ぐことができる。また、窒化珪素膜260と窒化珪素膜265とでCu配線264を挟み込むことによりCuによりTFTが汚染されるのを防ぐことができる。さらに必要があれば第3層間絶縁膜266を形成し、図14(C)と同様な作業をすれば多層配線を形成し、マイクロプロセッサやメモリーなどの半導体装置を形成することができる。
【0089】
(実施の形態4)
本実施の形態では、ダマシンによりCu配線を形成する一形態について図15を用いて説明する。勿論、この半導体装置の各部位においても本発明に係る窒化珪素膜が適用される。
【0090】
まず、実施の形態3と同様にして図14(B)の状態を形成する。即ち、配線259の上層に窒化珪素膜260を形成する。その後、酸化シリコン膜、又は有機樹脂膜を用いて1〜5μmの厚さで第2層間絶縁膜267を形成する。第2層間絶縁膜267上に窒化珪素膜268を形成した後、配線を形成するための開溝269を形成する(図15(A))。
【0091】
さらに第3層間絶縁膜270を形成し、概略開口269の位置に合わせ、それより開口幅の広い開口272を形成する。その後全面にスパッタ法で窒化タンタル膜271を100〜200nmの厚さで形成する。窒化タンタル膜はCuの拡散を防ぐ層となる(図15(B))。
【0092】
さらにスパッタ法でCu膜を成膜し、シード層を形成した後、硫酸銅を用いたメッキ法によりCu層を1〜10μmの厚さで形成する。メッキ法以外でもCu層をスパッタ法で形成し、450℃の熱処理でリフローさせて平坦化を実現することもできる。
【0093】
次に、CMP(Chemical−Mechanical Polishing:化学的・機械的ポリッシング)法を用いてCuメッキ層の表面から研磨を始め、第3層間絶縁膜270が露出するまで研磨を行い、図15(C)に示すように表面を平坦化する。こうしてCu配線273が形成される。CMPのスラリーは砥粒と酸化剤と添加剤から成り、砥粒にはアルミナかシリカを用いる。酸化剤には硝酸鉄、過酸化水素、過ヨウ素酸カリウム等を用いる。こうしてバリア層271、Cu層273から成る配線が形成される。この上層に窒化珪素膜274を形成して保護膜としても良い。窒化珪素膜260、窒化珪素膜268、窒化珪素膜274とでCu配線263を挟み込むことによりCuによりTFTが汚染されるのを防ぐことができる。
【0094】
(実施の形態5)
本実施の形態は、ガラス基板と比較してより平坦性の高い合成石英基板を用いて、より微細化に適した半導体装置の構成について図22と図23を参照して説明する。勿論、この半導体装置の各部位においても本発明に係る窒化珪素膜が適用される。
【0095】
石英基板200上に結晶性珪素膜を形成する。結晶性珪素膜は600〜900℃の加熱処理により非晶質珪素膜を結晶化して形成されたもの、又は非晶質珪素膜にNiなど珪素の結晶化の触媒となる元素を添加して500〜700℃で結晶化させたものを適用することができる。後者の場合には、結晶性珪素膜が得られた後に、ハロゲンを含む酸化性雰囲気中で850〜1050℃、好ましくは950℃にて1〜12時間の加熱処理を行って、触媒となる元素をゲッタリングにより除去しておくと良い。
【0096】
その後、図22(A)において示すように、当該結晶性珪素膜より、島状に分割した半導体膜216〜218を形成する。さらに、図7及び図20で説明したPPTA法を用いて、半導体膜216〜218の表面に熱酸化処理により1〜5nmの酸化珪素膜280を形成する。また、酸素に、NF、HF、ClFから選ばれた一種又は複数種を0.01〜0.1%添加して酸化処理を行い、Fを酸化珪素膜中に含ませても良い。
【0097】
図22(B)で示すように、ゲート絶縁膜240は、10〜80nmの厚さで高周波マグネトロンスパッタリング法で作製される窒化珪素膜が適用されるが、半導体膜との界面には熱酸化処理により形成される1〜5nmの厚さの酸化珪素膜280を介在させておく。酸化珪素膜により、窒化珪素と半導体膜とが直接接触して界面準位密度が増加するのを防ぎ、また、膜形成時のダメージを低減することが可能であり、界面準位密度を低減することができる。
【0098】
また、同様な効果を得る手段として、酸化性の水溶液、代表的にはオゾン水で形成される酸化珪素膜(ケミカルオキサイド)を残存させておいても良い。
【0099】
ゲート電極243、244及び容量電極245は、実施の形態1と同様に膜厚10〜50nmの窒化タンタル(TaN)から成る第1導電膜241と、膜厚100〜400nmのタングステン(W)から成る第2導電膜242とで形成する。
【0100】
その後、図22(C)に示すように、ドーピング処理により第1n型不純物領域246、248、第1p型不純物領域247を形成する。これらの不純物領域は、第2導電膜242をマスクとして第1導電膜241と重畳するように形成している。
【0101】
図22(D)では、ゲート電極の側壁スペーサ249〜251を酸化珪素膜で形成している。全面にプラズマCVD法で酸化珪素膜を形成し、異方性ドライエッチングでこの膜を全面にわたって均一にエッチングして側壁スペーサを形成する。ゲート電極をマスクとして第2n型不純物領域252、254、第2p型不純物領域253を形成する。
【0102】
そして、図23(A)に示すように水素を含有する酸化窒化珪素膜256をプラズマCVD法により50nmの厚さで形成する。さらに、高周波マグネトロンスパッタリング法により作製される窒化珪素膜257を形成する。その後、410℃の加熱処理により水素化を行うが、窒化珪素膜257により当該水素が気相中に放散されるのを防ぎ水素化の効果を高めることができる。また、窒化珪素膜257に置き換えて酸化窒化アルミニウム(AlNxOy:X=2.5〜47.5原子%)を適用しても良い。酸化窒化アルミニウムは窒化珪素膜と同様な効果に加え、熱伝導性が高いことから、TFTの発熱を放散させる効果が得られる。即ち、素子を微細化して集積度を向上させることによる発熱の影響を低減することができる。
【0103】
層間絶縁膜258はプラズマCVD法で作製される酸化珪素膜、常圧CVD法で作製されるリンガラス(PSG)、あるいはボロンガラス(BSG)、もしくはリンボロンガラス(PBSG)で形成可能であるが、最も好ましくはポリイミド、アクリルなど感光性有機樹脂材料により被膜の形成と同時にコンタクト部の開口を形成する。
【0104】
そして、配線259をAl、Ti、Mo、Wなどを用いて形成する。この配線259と層間絶縁膜258を被覆する形で高周波マグネトロンスパッタリング法により窒化珪素膜260を20〜100nmの厚さに形成する。これは、この上層にCu配線を形成するに際し、Cuの拡散を防ぐバリア膜とするものである(図23(B))。
【0105】
そして、酸化珪素膜又は有機樹脂材料を用いて0.5〜5μmの厚さで第2層間絶縁膜261を形成する。第2層間絶縁膜261に配線を形成するための開溝を形成し、その後全面にスパッタ法で窒化タンタル膜から成るバリア層262を100〜200nmの厚さで形成する。窒化タンタル膜はCuの拡散を防ぐバリア層として用いる。さらにスパッタ法でCu膜を成膜してシード層を形成し、硫酸銅を用いたメッキ法によりCu層263を1〜10μmの厚さで形成する。メッキ法以外でも、Cu層をスパッタ法で形成し、450℃の熱処理でリフローさせて平坦化を実現することもできる(図23(C))。
【0106】
Cu層263をエッチング加工してCu配線264を形成する。Cu配線は酸化しやすく熱的に不安定であるので、このCu配線264を被覆する保護膜を高周波マグネトロンスパッタリング法による窒化珪素膜265で20〜200nmの厚さで形成する。当該窒化珪素膜は緻密であり、Cuの酸化や周辺部位への拡散を防ぐことができる。また、窒化珪素膜260と窒化珪素膜265とでCu配線264を挟み込むことによりCuによりTFTが汚染されるのを防ぐことができる。さらに必要があれば第3層間絶縁膜266を形成し、図23(C)と同様な作業をすれば多層配線を形成し、マイクロプロセッサやメモリーなどの半導体装置を形成することができる(図23(D))。配線層は必要に応じて多層化するることができる。
【0107】
(実施の形態6)
実施の形態5に、実施の形態4で示す配線形成工程を組み合わせて半導体装置を完成させても良い。即ち、ダマシン技術によりCu配線を形成することができる。この場合においても、本発明に係る窒化珪素膜が適用される。
【0108】
(実施の形態7)
実施の形態1〜6により作製される代表的な半導体装置としてマイクロコンピュータの一実施形態を図18と図19を用いて説明する。図18に示すように、0.3〜1.1mmの厚さのガラス又は石英などの基板上に各種の機能回路部を集積してマイクロコンピュータを実現することができる。各種の機能回路部は実施の形態1〜6により作製されるTFTや容量部を主体として形成することが可能である。
【0109】
図18で示すマイクロコンピュータ2100の要素としては、CPU2101、ROM2102、割り込みコントローラ2103、キャッシュメモリー2104、RAM2105、DMAC2106、クロック発生回路2107、シリアルインターフェース2108、電源発生回路2109、ADC/DAC2110、タイマカウンタ2111、WDT2112、I/Oポート2102などである。
【0110】
ガラス基板上に形成されたマイクロコンピュータ2100は、セラミックやFRP(繊維強化プラスチック)のベース2201にフェースダウンボンディングで固着される。マイクロコンピュータ2100のガラス基板の裏面には、熱伝導性の良い酸化窒化アルミニウム2203が被覆されて熱放散効果を高めている。さらにこれに接してアルミニウムで形成される放熱フィン2204が設けられ、マイクロコンピュータ2100の動作に伴う発熱対策としている。全体は封止樹脂2205で覆われ、外部回路との接続はピン2202により行う。
【0111】
本実施の形態ではマイクロコンピュータの形態を一例として示したが、各種機能回路の構成や組み合わせを換えれば、メディアプロセッサ、グラフィクス用LSI、暗号LSI、メモリー、グラフィクス用LSI、携帯電話用LSIなど様々な機能の半導体装置を完成させることができる。
【0112】
【発明の効果】
本発明により、歪み点700℃以下のガラス基板上であっても、水素を1×1021/cm以下の濃度で含み、酸素を5×1018〜5×1021/cmの濃度で含み、フッ化水素アンモニウム(NHHF)を7.13%とフッ化アンモニウム(NHF)を15.4%含む混合水溶液に対するエッチング速度が10nm/min以下の特性を有し、Liの如き可動イオンに対してブロッキング性の高い緻密な窒化珪素膜を得ることができる。
【0113】
この窒化珪素膜はゲート絶縁膜や、保護膜、特にCu配線のバリア膜として、半導体装置の各部位に用いることで、高性能で高信頼性を実現する半導体装置を提供することができる。
【0114】
このような水素及び酸素含有量、エッチング特性を有することにより、ゲート絶縁膜への適用においては、ゲートリーク電流が低減し、電界効果移動度、サブスレショルド係数、コンダクタンス(gm)などが良好となり、連続動作におけるトランジスタ特性の経時変化が低減し、製造歩留まりや特性ばらつきを向上させることができる。また、このような効果は、結晶性半導体膜と窒化珪素膜との間に、酸化珪素膜を介在させることによりより有効に発現させることができる。
【図面の簡単な説明】
【図1】本発明に係る窒化珪素膜を用い、MOS構造でLiの拡散がない場合のC−V特性を示すグラフ。
【図2】本発明に係る窒化珪素膜を用い、MOS構造でLiの拡散がある場合のC−V特性を示すグラフ。
【図3】本発明の窒化珪素膜に含まれるH、C、O濃度をSIMSにより測定した結果を示すグラフ。
【図4】本発明の窒化珪素膜と比較例の窒化珪素膜の透過率を示すグラフ。
【図5】本発明の窒化珪素膜と比較例の窒化珪素膜の赤外吸収スペクトルを示すグラフ。
【図6】プラズマCVD法により形成された窒化珪素膜を用い、MOS構造でLiの拡散がある場合のC−V特性を示すグラフ。
【図7】本発明に適用するマグネトロンスパッタリング装置の構成を説明する上面図。
【図8】本発明に適用するマグネトロンスパッタリング装置の膜形成室の詳細を説明する断面図。
【図9】本発明の係る高周波マグネトロンスパッタリングにおける窒化珪素膜の膜形成機構を模式的に説明する図。
【図10】本発明の半導体装置の作製工程を説明する縦断面図。
【図11】本発明の半導体装置の作製工程を説明する縦断面図。
【図12】本発明の半導体装置の作製工程を説明する縦断面図。
【図13】本発明の半導体装置の作製工程を説明する縦断面図。
【図14】本発明の半導体装置の作製工程を説明する縦断面図。
【図15】本発明の半導体装置の作製工程を説明する縦断面図。
【図16】本発明の半導体装置の作製工程を説明する縦断面図。
【図17】半導体膜のエッチング形状の詳細を説明する図。
【図18】本発明によるマイクロコンピュータの構成を説明する図。
【図19】本発明によるマイクロコンピュータのパッケージ構造を説明する図。
【図20】加熱処理室の構成を説明する図。
【図21】光源の点滅と半導体基板の温度変化および冷媒の供給方法を説明する図。
【図22】本発明の半導体装置の作製工程を説明する縦断面図。
【図23】本発明の半導体装置の作製工程を説明する縦断面図。[0001]
TECHNICAL FIELD OF THE INVENTION
The present invention relates to a semiconductor device including a silicon nitride film formed on a substrate having a strain point of 700 ° C. or lower, a semiconductor element typified by a field-effect transistor using the silicon nitride film, and a semiconductor integrated circuit.
[0002]
[Prior art]
In a display device using liquid crystal or electroluminescence (abbreviated as EL), a technique has been developed in which a driving circuit is integrally formed using a field-effect thin film transistor (abbreviated as TFT) on the same glass substrate. In order to realize a practical operating frequency, the TFT uses a polycrystalline silicon film for an active layer (semiconductor region forming a channel portion) which is a main component thereof. In addition, a concept of a system-on-panel has been proposed in which integrated circuits having various functions such as a microprocessor, an image processing processor, and a memory are realized by using TFTs to realize a further high-speed operation.
[0003]
Of course, the TFT uses not only a polycrystalline silicon film but also an insulating film in each part such as a gate insulating film and an insulating film for insulating and separating between wirings, and these form an integrated circuit integrally. . The properties required for the materials used for each part are different, and the gate insulating film is required to have few defects, low leakage current, low interface defect state density, etc. On the other hand, there is a variety of applications, such as a need for a property of preventing the entry of alkali ions and the like.
[0004]
With respect to wiring, with the progress of high integration, a technique has been developed in which copper can flow a current at a higher density than aluminum and has high resistance to electromigration as a wiring material.
[0005]
It is required that the insulating film be dense, have a low defect density without pinholes, contain no fixed charge, and have good adhesion to the base. In addition, in order to improve the performance of a transistor with miniaturization of an element, it is necessary to improve the gate driving capability by thinning the gate insulating film, and accordingly, a dense insulating film which does not increase the gate leakage current is required. ing.
[0006]
As a method for forming an insulating film, a CVD method, which is a chemical film forming method, and a sputtering method, which is a physical film forming method, are known. In the CVD method, parameters for classifying the pressure include pressure at the time of film formation, flow rate of supplied gas, energy for accelerating a chemical reaction, and the like. Thermal CVD under normal pressure or reduced pressure, plasma CVD using plasma There are laws and the like, each of which has characteristics and is used according to the purpose.
[0007]
[Problems to be solved by the invention]
When a polycrystalline silicon film is formed on an insulating substrate such as glass or quartz and an integrated circuit is realized using the film, it has been impossible to divert the manufacturing technology cultivated in large-scale integrated circuits as it is. This is not only due to the problem of the crystallinity of the polycrystalline silicon film, but also at present, the insulating film and the semiconductor element using the same by various methods cannot sufficiently exhibit the desired characteristics and reliability. Was.
[0008]
Although a dense silicon nitride film that does not pass alkali ions or the like can be formed by a low-pressure CVD method, the film formation temperature needs to be 750 ° C. or higher. Although the plasma CVD method can form a film at a low temperature, there is a problem that the film is damaged by charged particles in the plasma and defects and pinholes are easily formed. At a film formation temperature of 500 ° C. or less, hydrogen was contained in the film, which reduced the stability of the film. On the other hand, the high frequency sputtering method can use an insulator target such as silicon nitride, and can form a silicon nitride film in which hydrogen is not mixed in the film. However, it is generally known that the film has a large compressive stress, and peeling of the film is often not a problem.
[0009]
Further, in a gate insulating film of a TFT formed by depositing an insulating film, an interface state density is inevitably increased, and a favorable interface cannot be formed. Also, from the viewpoint of interface cleanliness, there is a problem that the polycrystalline silicon film formed on the insulating substrate is easily contaminated. In particular, it is difficult to clearly identify the cause and route of chemical contamination, and boron contamination, which is thought to be caused by filter materials in clean rooms, and phosphorus and organic contamination from wall materials and sealing materials, It is difficult to prevent it only by daily substrate management alone, and the difficulty increases as the size of the glass substrate increases.
[0010]
Although the Cu wiring as described above is formed in a damascene structure in which the wiring is embedded in an insulating film, there is a problem that if an appropriate barrier film is not used, the Cu wiring is easily diffused into a surrounding insulating film or a lamination interface. are doing. In order to prevent this, it is necessary to form a barrier film that does not diffuse Cu and has good adhesion to the base.
[0011]
The present invention has been made in view of the above problems, a technique for forming a dense and high-quality insulating film suitably applicable as a gate insulating film or a protective film on a glass substrate at a temperature equal to or lower than a strain point, and An object of the present invention is to apply the present invention to a semiconductor device realizing high performance and high reliability.
[0012]
[Means for Solving the Problems]
In order to solve the above problems, the present invention is to form a silicon nitride film at a substrate heating temperature of 300 ° C. or lower by using a target of silicon and a high-frequency magnetron sputtering method, using nitrogen or nitrogen and a rare gas as a sputtering gas. It is. The silicon nitride film can be used as a gate insulating film of a TFT. In addition, the present invention is to apply the silicon nitride film and an oxide film formed on the surface of the crystalline semiconductor film by a chemical treatment, a heat treatment, and light irradiation to be applied as a gate insulating film.
[0013]
In the present invention, a silicon nitride film formed by high frequency magnetron sputtering using silicon as a target satisfies at least one of the following characteristics. That is, ammonium hydrogen fluoride (NH 4 HF 2 ) With ammonium fluoride (NH 4 F) at an etching rate of 10 nm / min or less (preferably 3.5 nm / min or less) in a mixed aqueous solution (20 ° C.) containing 15.4%, and a hydrogen concentration of 1 × 10 21 / Cm 3 Below (preferably 5 × 10 20 / Cm 3 Below), oxygen concentration is 5 × 10 18 ~ 5 × 10 21 / Cm 3 (Preferably 1 × 10 19 ~ 1 × 10 21 / Cm 3 ), And preferably simultaneously satisfies a plurality of requirements. The absolute value of the internal stress is 2 × 10 10 dyn / cm 2 Below, preferably 5 × 10 9 dyn / cm 2 Hereinafter, more preferably, 5 × 10 8 dyn / cm 2 The following is assumed.
[0014]
The present invention uses 1 × 10 21 / Cm 3 Contains oxygen at the following concentration and contains 5 × 10 18 ~ 5 × 10 21 / Cm 3 Ammonium hydrogen fluoride (NH 4 HF 2 ) With ammonium fluoride (NH 4 Provided is a silicon nitride film having a characteristic that an etching rate with respect to a mixed aqueous solution containing 15.4% of F) is 10 nm / min or less. Such a silicon nitride film having a hydrogen and oxygen content and an etching characteristic is used in a semiconductor device, not only in a region where electrical insulation is required such as a gate insulating film or a dielectric protective film of a capacitor portion, but also in a gas or gas. It can be applied as a protective film for preventing diffusion of ionic impurities.
[0015]
In the semiconductor device of the present invention, 1 × 10 21 / Cm 3 Contains oxygen at the following concentration and contains 5 × 10 18 ~ 5 × 10 21 / Cm 3 Ammonium hydrogen fluoride (NH 4 HF 2 ) With ammonium fluoride (NH 4 A gate insulating film including at least one silicon nitride film having a characteristic of an etching rate of 10 nm / min or less for a mixed aqueous solution containing 15.4% of F) is formed. Further, at least one silicon nitride film is formed as a gate insulating film of a field-effect transistor having a channel length of 0.35 to 2.5 μm.
[0016]
The above-described gate insulating film or the gate insulating film of the field-effect transistor is formed over a crystalline semiconductor film in which a radius of curvature of a projection on a surface is 1 μm or less. The gate insulating film or the gate insulating film of the field-effect transistor is formed over a crystalline semiconductor film having a radius of curvature of a projection of 1 μm or less on the surface.
[0017]
The present invention provides a structure in which a silicon nitride film having the above composition and etching characteristics is formed as a gate insulating film, a dielectric film of a capacitor, a protective film of a semiconductor element, or on an interlayer insulating film made of an organic resin. Any one or a plurality of combinations are included.
[0018]
By having such hydrogen and oxygen contents and etching characteristics, in application to a gate insulating film, a gate leak current is reduced, and a field effect mobility, a subthreshold coefficient, a conductance (gm), and the like are improved. The change with time of the transistor characteristics in continuous operation is reduced, and the manufacturing yield and the variation in characteristics can be improved. Further, such an effect can be more effectively exerted by interposing a silicon oxide film between the crystalline semiconductor film and the silicon nitride film.
[0019]
According to the method for manufacturing a semiconductor device of the present invention, a crystalline semiconductor film formed on an insulating substrate is oxidized, a first step of performing an oxide film removing process is performed, and Ar and N are applied by applying high-frequency power. 2 Or N 2 Under a glow discharge only, a second step of forming a silicon nitride film by sputtering a silicon target, and a third step of forming a conductive film by applying DC power; The third step is characterized in that the step is continuously performed under an inert atmosphere or under reduced pressure without exposure to the air. N in the second stage 2 Is preferably 0.01 to 0.5.
[0020]
According to a method for manufacturing a semiconductor device of the present invention, a crystalline semiconductor film formed on an insulating substrate is subjected to an oxidizing process, a first stage of performing an oxide film removing process, 2 A second step of forming a silicon oxide film by a heat treatment in an oxidizing atmosphere under glow discharge, and applying Ar and N by applying high frequency power. 2 Or N 2 The first to fourth steps include a third step of forming a silicon nitride film by sputtering a silicon target under only glow discharge, and a fourth step of forming a conductive film by applying DC power. The fourth step is characterized in that the step is continuously performed under an inert atmosphere or under reduced pressure without exposure to the air. The oxidizing atmosphere in the second stage is O 2 And NF 3 , HF, ClF 3 It is preferable to add one or more kinds selected from 0.01 to 0.1%. N in the third stage 2 Is preferably 0.01 to 0.5.
[0021]
The above method for manufacturing a semiconductor device of the present invention can also be applied to a glass substrate having a strain point of 700 ° C. or lower.
[0022]
According to the method for manufacturing a semiconductor device of the present invention, hydrogen is added at a temperature of room temperature to 300 ° C. or lower, preferably 21 / Cm 3 Contains oxygen at the following concentration and contains 5 × 10 18 ~ 5 × 10 21 / Cm 3 Of ammonium hydrogen fluoride (NH 4 HF 2 ) With ammonium fluoride (NH 4 A silicon nitride film having an etching rate of 10 nm / min or less for a mixed aqueous solution containing 15.4% of F) can be obtained.
[0023]
In the above method for manufacturing a semiconductor device of the present invention, the power frequency applied in the high-frequency magnetron sputtering method can be 1 MHz to 120 MHz, preferably 10 MHz to 60 MHz.
[0024]
Note that the semiconductor device in the present invention indicates all devices that can function by utilizing semiconductor characteristics, and an electro-optical device, a semiconductor circuit, and an electronic device are all included in the category of the semiconductor device.
[0025]
BEST MODE FOR CARRYING OUT THE INVENTION
The present invention uses a liquid crystal or EL for a gate insulating film and a protective film of a field effect transistor, which is a main component of a semiconductor device, typically a field effect thin film transistor (hereinafter abbreviated as TFT). An oxygen concentration of 1 × 10 is applied to an interlayer insulating film and a protective film in a display device, an interlayer insulating film and a protective film in an integrated circuit formed on an insulating substrate such as glass, and a gate insulating film of a TFT included in the integrated circuit. 19 / Cm 3 Silicon nitride produced by a high-frequency magnetron sputtering method using the following single crystal or polycrystalline silicon as a target, using nitrogen or nitrogen and a rare gas as a sputtering gas, and setting a substrate heating temperature within a range of room temperature to 300 ° C. or less. Used as
[0026]
FIG. 7 is a view for explaining an embodiment of a preferred multi-task type magnetron sputtering / oxide film forming apparatus in carrying out the present invention. The configuration of the apparatus shown in FIG. 7 includes a plurality of film forming chambers capable of forming a film by sputtering via a gate valve 119 with respect to a first common chamber 101 provided with a substrate transfer means 102. In forming a silicon nitride film, a single reaction chamber is sufficient. However, in order to continuously form a plurality of films having different properties without contacting the atmosphere and without contaminating the interface, the apparatus shown in FIG. The configuration is suitable.
[0027]
A substrate on which a film such as a silicon nitride film is to be formed is loaded into the load / unload chamber 111 and transported by the transport unit 110 provided in the second common chamber 109. The pretreatment chamber 112 is provided with a spinner for rotating the substrate, and is capable of performing processes such as cleaning, oxidation, and removal of an oxide film on the surface of the substrate to be deposited by applying various chemicals from the chemical solution supply unit 118. The load / unload chamber 111, the second common chamber 109, and the pretreatment chamber 112 are filled with an inert gas by a gas supply means 130 and used at normal pressure, and the intermediate chamber 108 has a first pressure reducing interior. It is provided as a room for transferring substrates between the common room 101 and a plurality of film formation rooms connected thereto. Although not shown in detail in FIG. 7, the intermediate chamber 108 may be provided with a cassette holder or the like that temporarily holds the total number of substrates loaded in the load / unload chamber 111.
[0028]
The heat treatment chamber 103 is provided with a heating means 120, which removes and cleans various impurities including atmospheric components adsorbed on the substrate, or heats and densifies or crystallizes a film formed by sputtering. And so on.
[0029]
As a configuration of the heat treatment chamber 103, a heating unit 120 for performing instantaneous thermal annealing (RTA) may be provided. FIG. 20 is a diagram illustrating details of the heat treatment chamber 103. The heat treatment chamber 103 includes a reaction chamber 1129 formed of quartz, and a light source 1110 is provided outside the reaction chamber 1129. In the reaction chamber 1129, there is a substrate holder 1112 made of quartz, and the substrate to be processed is set on the substrate holder 1112. At this time, the substrate to be processed is placed on the pins in order to make the temperature distribution uniform. As a means for monitoring the temperature heated by the light source 1110, a temperature detection system 1128 using a thermocouple is employed here.
[0030]
The light source 1110 is turned on and off by a power supply 1111. The computer 1118 controls the operation of the power supply and the flow control unit 1115. The refrigerant introduced into the reaction chamber 1129 may be circulated and operated by the circulator 1116. It is also important to provide a purifier 1117 in the circulation path to maintain the purity of He as a refrigerant.
[0031]
In addition, a turbo molecular pump 1119 and a dry pump 1120 are provided as exhaust means to enable heat treatment under reduced pressure. In the heat treatment under reduced pressure, the semiconductor film can be heated by using a wavelength band in which lamp light is absorbed by the semiconductor film. By the heat treatment under reduced pressure, the oxidation of the surface of the semiconductor film is suppressed by reducing the oxygen concentration, and as a result, it is possible to promote crystallization and improve gettering efficiency. The target substrate is processed from a transfer chamber connected via a gate, and the target substrate is set on the substrate stage 1112 by the transfer means.
[0032]
FIG. 21 is a diagram illustrating a substrate to be processed heated by a light source and a method of controlling a flow rate of a gas flowing into a processing chamber. First, a substrate to be processed placed at room temperature is rapidly heated by a light source. During the heating period, the heating is performed to a set temperature (for example, 1100 ° C.) at a heating rate of 100 to 200 ° C./sec. For example, if heating is performed at a heating rate of 150 ° C./sec, heating can be performed to 1100 ° C. in less than 7 seconds. Thereafter, the temperature is maintained at the set temperature for a certain period of time, and the light source is turned off. The holding time is 0.5 to 5 seconds. Therefore, the continuous lighting time of the light source is 0.1 second or more, and does not exceed 20 seconds. By continuing to flow the gas into the processing atmosphere, the temperature reduction rate can be set to 50 to 150 ° C./sec. For example, when cooling at a rate of 100 ° C./sec, it is possible to cool from 1100 ° C. to 300 ° C. in 8 seconds.
[0033]
As described above, the cycle of heating by the light source and the cycle of cooling by circulation of the gas are repeatedly performed a plurality of times. This is called a PPTA (Plural Pulse Thermal Annealing) method. By shortening the actual heating time by the PPTA method and irradiating light selectively absorbed by the semiconductor film from a light source, the substrate itself is not heated so much and only the semiconductor film is selectively heated. It becomes possible. The pulse light as shown in FIG. 21 heats the semiconductor film, stops the heating before the heat propagates to the substrate side, and cools the surroundings with a coolant, so that the temperature of the substrate does not increase so much. Therefore, the deformation of the substrate, which has been a problem in the conventional RTA apparatus, can be prevented.
[0034]
The light emission time per light source is 0.1 to 60 seconds, preferably 0.1 to 20 seconds, and light from the light source is irradiated a plurality of times. Alternatively, light from a light source is irradiated in a pulse shape so that the maximum temperature of the semiconductor film is maintained for 0.5 to 5 seconds. Further, by increasing or decreasing the supply amount of the coolant in accordance with the blinking of the light source, the heat treatment effect of the semiconductor film is enhanced, and the substrate is prevented from being damaged by heat. Further, an exhaust means for reducing the pressure in the treatment chamber is provided to reduce the oxygen concentration in the heat treatment atmosphere. This can prevent the surface of the semiconductor film from being oxidized or contaminated by the heat treatment.
[0035]
In FIG. 7, targets of different materials are mounted in the film forming chambers 104 to 107, whereby a plurality of films can be continuously formed under reduced pressure. Each film forming chamber is provided with a gas supply unit 115 for supplying a sputtering gas, an exhaust unit 114, and a pressure control unit 113. Each of the film forming chambers 104 and 105 has a target made of an insulating material, and is connected to a high-frequency power supply 116 for sputtering. The frequency of the power supplied by the high-frequency power supply is 1 MHz to 120 MHz, preferably 10 MHz to 60 MHz. As for the application range of such a frequency, the sheath potential decreases as the frequency increases, and even in the sputtering method using a physical film forming mechanism, the film formation by a chemical reaction is superior and a dense film is formed. Can be expected. Further, the film formation chambers 106 and 107 have a metal target and are connected to a DC power supply 117.
[0036]
FIG. 8 is a diagram illustrating details of the film formation chamber 105 as an example. The film formation chamber 105 is a place where the silicon nitride film according to the present invention is formed. The target 120 is made of silicon, and is cooled by a cooling medium via a backing plate. The permanent magnet 124 makes a circular or linear motion in a direction parallel to the target surface so that a film having uniform thickness can be formed on the opposing substrate surface. The shutter 123 opens and closes before and after the start of film formation to prevent a film from being formed in an unstable plasma state at the beginning of discharge. The substrate holding means 122 holds the substrate up and down and fixes the substrate to the back plate 121. In the back plate 121, a sheath heater is embedded as a heating means 128, and a heated rare gas is introduced from the back side of the substrate to increase the uniformity of heat. A nitrogen gas is introduced from the gas introduction unit 115 in addition to the rare gas, and the pressure in the film formation chamber 105 is controlled by a conductance valve 126. The rectifying plate 125 is provided for the purpose of rectifying the flow of the sputtering gas in the film forming chamber 105. The target is connected to a high-frequency power supply, and sputtering is performed by applying high-frequency power.
[0037]
A high-density silicon nitride film can be formed using silicon as a target by the high-frequency magnetron sputtering with the configuration in FIG. The main film forming conditions are as follows. 2 Only or N 2 A mixed gas of Ar and Ar is used as a sputtering gas. The frequency of the applied high frequency power is typically 13.56 MHz, but a higher frequency of 27 to 120 MHz may be applied. As the frequency increases, the chemical reaction becomes more preferential in the film formation mechanism, and it is expected that the film formation is dense and less damages to the underlayer. Ar used as a sputtering gas is introduced as a gas for heating the substrate from the back side of the substrate as shown in FIG. 2 And contributes to sputtering.
[0038]
Table 1 below shows typical examples of film forming conditions. Of course, the film formation conditions shown here are merely examples, and can be set as appropriate within a range that satisfies the above main film formation conditions.
[0039]
[Table 1]
Figure 2004056099
[0040]
As a comparative example, Table 2 shows film forming conditions of a silicon nitride film formed by a conventional plasma CVD method.
[0041]
[Table 2]
Figure 2004056099
[0042]
Next, Table 3 shows results of comparison of typical characteristic values of the silicon nitride film formed under the film forming conditions of Table 1 and the silicon nitride film formed under the conditions of Table 2. In the sample, the difference between “RFSP-SiN (No. 1)” and “RFSP-SiN (No. 2)” is a difference depending on the sputtering apparatus, and does not impair the function as a silicon nitride film in the present invention. . The sign of the internal stress changes depending on the compressive stress and the tensile stress. Here, only the absolute value is handled.
[0043]
[Table 3]
Figure 2004056099
[0044]
As shown in Table 3, comparative examples of samples of “RFSP-SiN (No. 1)” and “RFSP-SiN (No. 2)” manufactured by the above-described high-frequency magnetron sputtering method manufactured by the plasma CVD method. The characteristic difference between the samples is that ammonium hydrogen fluoride (NH 4 HF 2 ) With ammonium fluoride (NH 4 The etching rate of a mixed aqueous solution containing 15.4% of F) at 20 ° C. (LAL500 SA buffered hydrofluoric acid; manufactured by Hashimoto Kasei Co., Ltd.) is very low, and the content of hydrogen is extremely low. Further, the internal stress is smaller in absolute value than that of the silicon nitride film formed by the plasma CVD method.
[0045]
The impurity concentrations of hydrogen, oxygen, and carbon in the silicon nitride film were measured by secondary ion mass spectrometry (SIMS), and the results of the depth direction analysis are shown in FIG. The sample is a silicon nitride film formed on a single crystal silicon substrate under the conditions according to Table 1, and has a hydrogen concentration of 1 × 10 21 / Cm 3 It has been found that: The presence or absence of hydrogen bonding in the silicon nitride film is also examined by Fourier transform infrared spectroscopy (FT-IR), and the result is shown in FIG. 5 in comparison with the characteristics of a silicon nitride film manufactured by a plasma CVD method. Even by FT-IR analysis, no absorption peak due to Si—H bond or N—H bond was observed.
[0046]
FIG. 4 shows the transmittance measured by a spectrophotometer. For comparison, FIG. 4 also shows the characteristics of a silicon nitride film formed by a plasma CVD method under the conditions shown in Table 2. There is no remarkable difference between the two, and it can be seen that both are films with good transparency.
[0047]
The above characteristic values show typical results, and from various experimental results, the main characteristic values of the silicon nitride film produced by the high-frequency magnetron sputtering method according to the present invention are as follows.
[0048]
As a result of various studies, the silicon nitride film according to the present invention satisfies at least one of the following characteristics. That is, ammonium hydrogen fluoride (NH 4 HF 2 ) With ammonium fluoride (NH 4 F) at an etching rate of 10 nm / min or less (preferably 3.5 nm / min or less) in a mixed aqueous solution (20 ° C.) containing 15.4%, and a hydrogen concentration of 1 × 10 21 / Cm 3 Below (preferably 5 × 10 20 / Cm 3 Below), oxygen concentration is 5 × 10 18 ~ 5 × 10 21 / Cm 3 (Preferably 1 × 10 19 ~ 1 × 10 21 / Cm 3 ), And preferably simultaneously satisfies a plurality of requirements. The absolute value of the internal stress is 2 × 10 10 dyn / cm 2 Below, preferably 5 × 10 9 dyn / cm 2 Hereinafter, more preferably, 5 × 10 8 dyn / cm 2 The following is assumed. If the internal stress is reduced, the occurrence of defect levels at the interface can be suppressed when laminated with another film, and problems such as peeling do not occur.
[0049]
Furthermore, the silicon nitride film of the present invention having the above characteristics has an extremely high blocking effect on elements of the first and second groups of the periodic table represented by Na and Li, and can suppress the diffusion of these mobile ions. . Data showing this fact are shown in FIGS. FIG. 6 is a graph showing the change in CV characteristics before and after the results of a bias-thermal stress (BT stress) test of a MOS structure using a silicon nitride film formed by a plasma CVD method as a dielectric under the conditions shown in Table 2. It is. The structure of the sample is such that a 100 nm silicon nitride film is formed on a single crystal silicon substrate (n-type, 1 to 10 Ωcm) under the conditions shown in Table 2, and Li is added to Al (0.2 to 1.5% by weight). The obtained metal was used as an electrode (diameter 1 mm). By adding Li to the Al electrode in the structure of this sample, the presence or absence of Li diffusion can be checked. The conditions for the BT stress test were such that a voltage of 1.7 MV was applied and the temperature was maintained at 150 ° C. for 1 hour. According to FIG. 6, the CV characteristics are significantly shifted by the BT stress test, and the effect of diffusion of Li from the electrode formed by adding Li to Al formed on the silicon nitride film can be remarkably confirmed.
[0050]
1 and 2 show CV characteristics before and after a BT stress test in a sample having a MOS structure using a silicon nitride film formed as a dielectric film under the conditions shown in Table 1. FIG. 1 shows a sample in which an electrode on a silicon nitride film is formed of Al—Si (Al added with silicon), and FIG. 2 shows a sample in which an electrode is formed of Al—Li. However, the sample has a 50 nm oxide film formed on the surface of a single crystal silicon substrate (p type, 1 to 10 Ωcm), which is formed for the purpose of reducing the influence of the interface state between the silicon nitride film and the silicon substrate. It was done. Therefore, it does not affect the blocking property of the silicon nitride film against Li at all.
[0051]
Comparing the characteristics of FIG. 1 and FIG. 2, there is almost no difference in the change of the CV characteristics before and after the BT stress test in both graphs, and there is no influence due to the diffusion of Li. It can be confirmed that the silicon nitride film formed under the formation conditions effectively functions as a blocking film. As described above, it can be confirmed that the silicon nitride film according to the present invention is very dense and has a high blocking effect against mobile ions such as Na and Li, even though the silicon nitride film is formed at a temperature of 300 ° C. or less. .
[0052]
The very dense silicon nitride film confirmed by the BT stress test and the like described above is different from the physical film formation mechanism by the conventional sputtering phenomenon, in that nitrogen or nitrogen and rare gas ions are formed on the target surface and the film deposition surface. And silicon react with each other to participate in film formation.
[0053]
One consideration example of the film formation mechanism will be described with reference to the schematic diagram of FIG. When glow discharge plasma 900 is formed by applying high-frequency power to target 901, various ion species, excited species, and luminescent species are formed from nitrogen or nitrogen and a rare gas. In this, active nitrogen having extremely chemically active properties is produced. It is known that active nitrogen is extremely reactive and easily forms nitride even at relatively low temperatures. That is, the active nitrogen diffused to the target surface reacts with silicon to form a nitride. Silicon nitride is stable, but when rare gas ions or nitrogen ions are accelerated and incident on the target surface by a sheath electric field, they are sputtered and released into the gas phase. The silicon nitride that diffuses in the glow discharge plasma 900 reacts with active nitrogen or other excited species of nitrogen in the process, and reaches a part of the substrate surface. Then, the surface of the silicon nitride reacts to form silicon nitride. It is considered that also in the surface reaction, the assist of the ion species that is accelerated by the potential difference between the plasma potential and the ground potential acts. By such a film formation mechanism, it is supposed that silicon clusters are not included in the silicon nitride film, and this improves the denseness of the film.
[0054]
If the ratio of the rare gas is higher than the supplied nitrogen, such a film formation mechanism cannot be realized because sputtering by rare gas ions becomes dominant. Ideally, it is sufficient to use only nitrogen gas. However, since the film formation rate is remarkably reduced, it is preferable to select the nitrogen gas within a range in which the mixing ratio of nitrogen and rare gas is 1: 1 at the maximum.
[0055]
Embodiments of a semiconductor device using the silicon nitride film and the manufacturing apparatus will be described below in detail with reference to the drawings.
[0056]
(Embodiment 1)
As a substrate that can be used in this embodiment, a glass substrate formed using barium borosilicate glass, aluminoborosilicate glass, aluminosilicate glass, or the like is suitable. Representatively, a 1737 glass substrate (strain point 667 ° C.) manufactured by Corning, AN100 (strain point 670 ° C.) manufactured by Asahi Glass Co., Ltd. can be applied. Of course, there is no particular limitation if other similar substrates are used. There is no. In any case, a glass substrate having a strain point of 700 ° C. or less is applicable in the present invention. In this embodiment, one mode in which a microprocessor (Micro Processor Unit: MPU) is formed using a silicon nitride film manufactured by a high-frequency magnetron sputtering method on a glass substrate having a strain point of 700 ° C. or lower is described.
[0057]
In the present invention, a substrate having a strain point of 700 ° C. or more is not excluded. Of course, a synthetic quartz substrate having a heat resistant temperature of 1000 ° C. or higher may be used. The silicon nitride film according to the present invention can form a dense and highly blocking film at a temperature of 700 ° C. or less, and does not require a special synthetic quartz substrate in its characteristics.
[0058]
By selecting the above substrate, as shown in FIG. 10A, a silicon oxide film, a silicon nitride film, or a silicon oxynitride film (SiO x N y The first inorganic insulator layer 201 made of an insulating film such as (1) is formed. A typical example has a two-layer structure, 4 , NH 3 , And N 2 The first silicon oxynitride film 202 formed by plasma CVD using O as a reaction gas is 4 , And N 2 This is a structure in which a second silicon oxynitride film 203 formed by plasma CVD using O as a reaction gas is stacked to a thickness of 100 nm. Here, the first silicon oxynitride film 202 may be replaced with a silicon nitride film formed by a high-frequency magnetron sputtering method. The silicon nitride film can prevent diffusion of a small amount of an alkali metal element such as Na contained in a glass substrate.
[0059]
The crystalline semiconductor film used as the active layer of the TFT is obtained by crystallizing the amorphous silicon film 204 formed on the first inorganic insulator layer 201. Further, instead of the amorphous silicon film, an amorphous silicon germanium (Si 1-x Ge x X = 0.001 to 0.05) A film may be applied. The thickness of the amorphous silicon film to be formed first is selected so that the thickness of the resulting crystalline silicon film is 20 nm to 60 nm. The upper limit of this film thickness is an upper limit for operation as a fully depleted type in the channel forming region of the TFT, and the lower limit of this film thickness is a process restriction, and is selectively processed in the etching step of the crystalline silicon film. If so, it is determined as the minimum required.
[0060]
In the crystallization step, the method is not particularly limited. For example, as an example of the crystallization method, a metal element having a catalytic action on crystallization of a semiconductor such as nickel may be added for crystallization. In this case, after the layer 205 containing nickel is held on the amorphous silicon film 204, it is crystallized by dehydrogenation (500 ° C., 1 hour) and subsequently by heat treatment at 550 ° C. for 4 hours.
[0061]
FIG. 10B shows a state where the crystalline silicon film 206 is formed. In this state, a crystalline silicon film crystallized at a rate of 50 to 95% is obtained. As shown in FIG. 10C, in order to further improve the crystallinity, a pulse oscillation excimer laser, a YAG laser, or a YVO laser is used. 4 A laser annealing process for irradiating a second harmonic of a solid laser such as a laser or a YLF laser is performed. In the laser annealing treatment, the laser light is irradiated by an optical system as a linear laser light having a width of 400 μm in the lateral direction with an overlap ratio of 90 to 98%. By the irradiation of the pulse laser beam, as shown in FIG. 10C, a large number of convex portions having the same height as the film thickness are formed on the surface as the maximum value.
[0062]
When a gate insulating film is formed on this crystalline silicon film to form a top gate type TFT, a gate leak current increases. Further, the characteristics are degraded by a stress test in which a bias voltage is applied to the gate electrode. It is considered that this is because the electric field is concentrated on the convex portion. Therefore, it is desirable that the maximum value of the irregularities on the surface of the crystalline silicon film be 10 nm or less, preferably 5 nm or less.
[0063]
Reduction of surface irregularities can be realized by repeating the oxidation treatment with an aqueous solution containing ozone water and the oxide film removal treatment with an aqueous solution containing hydrofluoric acid once, preferably a plurality of times. In this embodiment mode, in order to manufacture a TFT having a channel length of 0.35 to 2.5 μm, to set the substantial thickness of the gate insulating film to 30 to 80 nm, The maximum value of the uneven shape is set to 10 nm or less, preferably 5 nm or less (FIG. 10D).
[0064]
Thereafter, the obtained crystalline silicon film is etched into a desired shape by photolithography using a photomask to form an active layer including a channel formation region, a source and drain region, a low concentration impurity region, and the like in the TFT. Semiconductor films 216 to 218 are formed (FIG. 12A).
[0065]
In order to etch the crystalline silicon film formed in the state shown in FIG. 4 And O 2 In order to improve the coverage of the gate insulating film, the end portions of the semiconductor films 216 to 218 are processed so as to have a taper angle of 30 to 60 degrees. The details are shown in FIG. The silicon oxynitride film is also slightly etched depending on the selectivity to the base, but the counterbore depth is set to be 1/3 or less of the thickness d of the semiconductor film. When the counterbore is deep, the gate insulating film is not covered, and a failure occurs in which a gate wiring formed thereover is disconnected. Further, an impurity element imparting p-type may be added to the semiconductor films 216 to 218 in order to control a threshold value (Vth). The impurity element that imparts p-type to the semiconductor includes a Group 13 element of the periodic rule, such as boron (B), aluminum (Al), and gallium (Ga).
[0066]
Next, as shown in FIG. 12B, a silicon oxide film 219 for forming a gate insulating film and a silicon nitride film 220 are formed over the semiconductor films 216 to 218 by a high-frequency magnetron sputtering method to form a first gate electrode. The four layers of the conductive film 221 and the second conductive film 222 are formed continuously under reduced pressure without exposure to the air.
[0067]
The multitasking magnetron sputtering apparatus described in FIG. 7 has a configuration suitable for this step. The steps from formation of the gate insulating film to formation of the gate electrode are roughly as follows.
[0068]
First, the substrate transferred from the load / unload chamber 111 is in the state shown in FIG. The above-described surface smoothing treatment can be performed in the pretreatment chamber 112 provided with a spinner. The oxidation treatment with an aqueous solution containing ozone water and the oxide film removal treatment with an aqueous solution containing hydrofluoric acid are performed to remove the surface of the semiconductor film. Etching is performed mainly on the protrusions. Another characteristic is that the outermost surface of the semiconductor film is etched by this treatment, and a clean and inert surface terminated with hydrogen is formed.
[0069]
Thereafter, the substrate is transferred to the first common chamber 101 evacuated through the intermediate chamber 108. The heat treatment chamber 103 is provided with a heating means 120 for removing moisture adsorbed on the substrate and cleaning the substrate. In the film formation chamber 104, a silicon oxide film is formed with a thickness of 10 to 60 nm by using a synthetic quartz as a target by a high-frequency magnetron sputtering method. The main film formation conditions are sputtering gas O 2 , Sputtering pressure 0.4 Pa, discharge power 11.0 mW / cm 2 , 13.56 MHz, and a substrate heating temperature of 200 ° C. Under these conditions, a dense silicon oxide film 219 having a low interface state density with the semiconductor film can be formed. Next, the substrate is transferred to the film formation chamber 105, and a silicon nitride film is formed with a thickness of 10 to 30 nm by a high-frequency magnetron sputtering method. The film forming conditions are the same as in Table 1. Since the relative dielectric constant of silicon nitride is about 7.5 with respect to the relative dielectric constant of silicon oxide of 3.8, by including a silicon nitride film in a gate insulating film formed of a silicon oxide film, It is possible to obtain the same effect as reducing the thickness of the insulating film.
[0070]
That is, with respect to the smoothness of the surface of the crystalline silicon film, the maximum value of the unevenness is set to 10 nm or less, preferably 5 nm or less, and the gate insulating film has a two-layer structure of a silicon oxide film and a silicon nitride film. Even if the total thickness of the film is 30 to 80 nm, the gate leakage current can be reduced, and the TFT can be driven at 2.5 to 10 V, typically 3.0 to 5.5 V.
[0071]
In addition, since contaminants at the interface between the gate insulating film and the gate electrode also cause variations in TFT characteristics, after forming the gate insulating film, the first conductive film made of tantalum nitride (TaN) having a thickness of 10 to 50 nm is continuously formed. 221 and a second conductive film 222 made of tungsten (W) having a thickness of 100 to 400 nm are stacked. As a conductive material for forming the gate electrode, an element selected from Ta, W, Ti, Mo, Al, and Cu, or an alloy material or a compound material containing the element as a main component is used. Alternatively, a semiconductor film typified by a polycrystalline silicon film doped with an impurity element such as phosphorus may be used. The first conductive film is formed of a tantalum (Ta) film, the second conductive film is formed of a W film, the first conductive film is formed of a tantalum nitride (TaN) film, and the second conductive film is formed of an Al film. Alternatively, the first conductive film may be formed of a tantalum nitride (TaN) film, and the second conductive film may be formed of a Cu film.
[0072]
Next, as shown in FIG. 12C, a resist mask 223 on which a gate electrode pattern is formed is formed by photolithography. After that, a first etching process is performed by a dry etching method. For example, an ICP (Inductively Coupled Plasma) etching method is applied to the etching. There is no limitation on the etching gas, but CF for etching W or TaN is used. 4 And Cl 2 And O 2 It is better to use In the first etching process, a predetermined bias voltage is applied to the substrate side so that the side surface of the first shape gate electrode pattern 224 to be formed has a tilt angle of 15 to 50 degrees. Although depending on the etching conditions, the silicon nitride film 220 formed as a gate insulating film by the first etching process remains below the first shape gate electrode pattern 224, exposing the silicon oxide film 219. Thereafter, the etching conditions are changed to the second etching condition, and SF is used as the etching gas. 6 And Cl 2 And O 2 The W film is subjected to anisotropic etching with the bias voltage applied to the substrate side set to a predetermined value. Thus, gate electrodes 224 and 225 are formed. After that, the resist mask 223 is removed.
[0073]
The gate electrode is a stacked structure of the first conductive film 221 and the second conductive film 222, and has a structure in which the first conductive film protrudes like an eave. After that, as shown in FIG. 12A, a doping process is performed to form an impurity region in each semiconductor film. Doping conditions may be set as appropriate. The first n-type impurity region 227 formed in the semiconductor film 216 forms a low concentration drain, and the second n-type impurity region 228 forms a source or drain region. The first p-type impurity region 230 formed in the semiconductor film 217 forms a low concentration drain, and the second p-type impurity region 231 forms a source or drain region. The channel formation regions 226 and 229 in each semiconductor film are located between the low concentration drain regions. The semiconductor film 218 is a member for forming a capacitor, and is doped with an impurity at the same concentration as the second n-type impurity region.
[0074]
Then, as shown in FIG. 16A, a silicon oxynitride film 274 containing hydrogen is formed with a thickness of 50 nm by a plasma CVD method, and the semiconductor film is hydrogenated by heat treatment at 350 to 550 ° C. The RTA heat treatment apparatus shown in FIGS. 20 and 21 is used for this heat treatment. In addition, the above-described activation treatment of the impurity region can be performed simultaneously with the hydrogenation.
[0075]
The interlayer insulating film 275 is formed in a predetermined pattern using a photosensitive organic resin material containing acrylic or polyimide as a main component. After that, a protective film 276 is formed of a silicon nitride film by a high-frequency magnetron sputtering method. When the thickness is 20 to 500 nm, a blocking effect of preventing invasion of various ionic impurities such as oxygen and moisture in the air can be obtained. Then, a contact hole 277 is formed by dry etching (FIG. 16B).
[0076]
After that, as shown in FIG. 16C, wirings 278a to 278d and 279 are formed using Al, Ti, Mo, W, or the like. As an example of the wiring structure, a laminated film of a Ti film having a thickness of 50 to 250 nm and an alloy film (an alloy film of Al and Ti) having a thickness of 300 to 500 nm is used.
[0077]
Thus, an n-channel TFT 303, a p-channel TFT 304, and a capacitor portion 305 can be formed. In each TFT, the gate insulating film includes at least one silicon nitride film. Further, in the capacitor portion 305, at least one layer of the silicon nitride film (276) is included as a dielectric film. The silicon nitride film is formed of ammonium hydrogen fluoride (NH 4 HF 2 ) With ammonium fluoride (NH 4 The present invention is characterized in that the etching rate for a mixed aqueous solution containing 15.4% of F) is 10 nm / min or less.
[0078]
(Embodiment 2)
In Embodiment 1, after the crystalline silicon film shown in FIG. 10B is obtained, as shown in FIG. 11, a YAG laser, a YVO laser, or a YVO laser is used as a continuous oscillation type solid laser. 4 Laser and YLF laser can be used. YAG laser, YVO 4 Irradiates the laser and the second harmonic of the YLF laser. For example, YVO 4 The second harmonic (532 nm) of the laser is condensed linearly and scanned at a speed of 1 to 100 cm / sec to improve the crystallinity. By using a continuous wave laser in this step, a crystalline silicon film with a smooth surface can be obtained, and the maximum value of the unevenness on the surface can be 10 nm or less, preferably 5 nm or less.
[0079]
(Embodiment 3)
In this embodiment mode, a structure of a semiconductor device which has a different gate electrode structure and is more suitable for miniaturization will be described with reference to drawings. Of course, the silicon nitride film according to the present invention is applied to each part of the semiconductor device.
[0080]
In FIG. 13A, semiconductor films 216 to 218 are formed in a manner similar to that in Embodiment 1. As the gate insulating film 240, a silicon nitride film formed by a high-frequency magnetron sputtering method with a thickness of 10 to 80 nm is applied, and a 1 to 5 nm thickness formed by sputtering or oxygen plasma treatment at an interface with the semiconductor film. A silicon oxide film having a thickness is interposed. Thus, it is possible to prevent the interface state density from increasing due to the direct contact between the silicon nitride and the semiconductor film, and to reduce damage during film formation.
[0081]
The gate electrodes 243 and 244 and the capacitor electrode 245 are made of a first conductive film 241 made of tantalum nitride (TaN) with a thickness of 10 to 50 nm and tungsten (W) with a thickness of 100 to 400 nm as in the first embodiment. The second conductive film 242 is formed.
[0082]
After that, as shown in FIG. 13B, first n-type impurity regions 246 and 248 and a first p-type impurity region 247 are formed by doping. These impurity regions are formed so as to overlap with the first conductive film 241 using the second conductive film 242 as a mask.
[0083]
In FIG. 13C, the sidewall spacers 249 to 251 of the gate electrode are formed of a silicon oxide film. A silicon oxide film is formed on the entire surface by a plasma CVD method, and this film is uniformly etched over the entire surface by anisotropic dry etching to form a sidewall spacer. The second n-type impurity regions 252 and 254 and the second p-type impurity region 253 are formed using the gate electrode as a mask.
[0084]
Then, as shown in FIG. 14A, a silicon oxynitride film 256 containing hydrogen is formed with a thickness of 50 nm by a plasma CVD method. Further, a silicon nitride film 257 formed by a high-frequency magnetron sputtering method is formed. After that, hydrogenation is performed by heat treatment at 410 ° C .; however, the silicon nitride film 257 prevents the hydrogen from being diffused into a gaseous phase, thereby increasing the effect of hydrogenation. Further, instead of the silicon nitride film 257, aluminum oxynitride (AlNxOy: X = 2.5 to 47.5 atomic%) may be used. Aluminum oxynitride has the same effect as the silicon nitride film, and also has an effect of dissipating heat generated by the TFT because of its high thermal conductivity. That is, it is possible to reduce the influence of heat generated by miniaturizing the element and improving the degree of integration.
[0085]
The interlayer insulating film 258 can be formed of a silicon oxide film formed by a plasma CVD method, phosphorus glass (PSG) formed by a normal pressure CVD method, boron glass (BSG), or phosphorus boron glass (PBSG). The opening of the contact portion is formed at the same time as the formation of the coating with a photosensitive organic resin material such as polyimide or acrylic.
[0086]
Then, the wiring 259 is formed using Al, Ti, Mo, W, or the like. A silicon nitride film 260 having a thickness of 20 to 100 nm is formed by high frequency magnetron sputtering so as to cover the wiring 259 and the interlayer insulating film 258. This serves as a barrier film for preventing diffusion of Cu when forming a Cu wiring on this upper layer.
[0087]
Then, a second interlayer insulating film 261 is formed with a thickness of 0.5 to 5 μm using a silicon oxide film or an organic resin material. An opening for forming a wiring is formed in the second interlayer insulating film 261, and thereafter a barrier layer 262 made of a tantalum nitride film is formed with a thickness of 100 to 200 nm on the entire surface by a sputtering method. The tantalum nitride film is used as a barrier layer for preventing diffusion of Cu. Further, a seed layer is formed by forming a Cu film by a sputtering method, and a Cu layer 263 is formed to a thickness of 1 to 10 μm by a plating method using copper sulfate. In addition to the plating method, a Cu layer can be formed by a sputtering method and reflowed by a heat treatment at 450 ° C. to realize flattening (FIG. 14C).
[0088]
The Cu wiring 264 is formed by etching the Cu layer 263. Since the Cu wiring is easily oxidized and is thermally unstable, a protective film covering the Cu wiring 264 is formed of a silicon nitride film 265 by high frequency magnetron sputtering with a thickness of 20 to 200 nm. The silicon nitride film is dense and can prevent oxidation of Cu and diffusion to a peripheral portion. Further, by sandwiching the Cu wiring 264 between the silicon nitride film 260 and the silicon nitride film 265, it is possible to prevent the TFT from being contaminated by Cu. If necessary, a third interlayer insulating film 266 is formed, and by performing the same operation as in FIG. 14C, a multilayer wiring can be formed, and a semiconductor device such as a microprocessor or a memory can be formed.
[0089]
(Embodiment 4)
In this embodiment, one mode of forming a Cu wiring by damascene will be described with reference to FIGS. Of course, the silicon nitride film according to the present invention is applied to each part of the semiconductor device.
[0090]
First, the state of FIG. 14B is formed in the same manner as in the third embodiment. That is, the silicon nitride film 260 is formed over the wiring 259. After that, a second interlayer insulating film 267 is formed with a thickness of 1 to 5 μm using a silicon oxide film or an organic resin film. After a silicon nitride film 268 is formed over the second interlayer insulating film 267, an opening 269 for forming a wiring is formed (FIG. 15A).
[0091]
Further, a third interlayer insulating film 270 is formed, approximately aligned with the position of the opening 269, and an opening 272 having a larger opening width is formed. Thereafter, a tantalum nitride film 271 is formed on the entire surface by a sputtering method to a thickness of 100 to 200 nm. The tantalum nitride film serves as a layer for preventing diffusion of Cu (FIG. 15B).
[0092]
Further, after a Cu film is formed by a sputtering method and a seed layer is formed, a Cu layer is formed to a thickness of 1 to 10 μm by a plating method using copper sulfate. In addition to the plating method, a Cu layer may be formed by a sputtering method and may be reflowed by a heat treatment at 450 ° C. to realize flattening.
[0093]
Next, polishing is started from the surface of the Cu plating layer by using a CMP (Chemical-Mechanical Polishing) method, and the polishing is performed until the third interlayer insulating film 270 is exposed. The surface is flattened as shown in FIG. Thus, a Cu wiring 273 is formed. The CMP slurry is composed of abrasive grains, an oxidizing agent, and an additive, and alumina or silica is used for the abrasive grains. As the oxidizing agent, iron nitrate, hydrogen peroxide, potassium periodate or the like is used. In this way, a wiring composed of the barrier layer 271 and the Cu layer 273 is formed. A silicon nitride film 274 may be formed thereover as a protective film. By sandwiching the Cu wiring 263 between the silicon nitride film 260, the silicon nitride film 268, and the silicon nitride film 274, contamination of the TFT with Cu can be prevented.
[0094]
(Embodiment 5)
In this embodiment mode, a structure of a semiconductor device which is more suitable for miniaturization using a synthetic quartz substrate having higher flatness than a glass substrate will be described with reference to FIGS. Of course, the silicon nitride film according to the present invention is applied to each part of the semiconductor device.
[0095]
A crystalline silicon film is formed on a quartz substrate 200. The crystalline silicon film is formed by crystallizing an amorphous silicon film by a heat treatment at 600 to 900 ° C., or by adding an element such as Ni to the crystallization catalyst of silicon to the amorphous silicon film. What crystallized at -700 ° C can be applied. In the latter case, after the crystalline silicon film is obtained, a heat treatment is performed at 850 to 1050 ° C., preferably 950 ° C. for 1 to 12 hours in an oxidizing atmosphere containing halogen, and the catalyst element Is preferably removed by gettering.
[0096]
After that, as illustrated in FIG. 22A, semiconductor films 216 to 218 divided into islands are formed from the crystalline silicon film. Further, a silicon oxide film 280 of 1 to 5 nm is formed on the surfaces of the semiconductor films 216 to 218 by thermal oxidation using the PPTA method described with reference to FIGS. In addition, NF 3 , HF, ClF 3 F may be included in the silicon oxide film by performing an oxidation treatment by adding one or more kinds selected from the group consisting of 0.01 to 0.1%.
[0097]
As shown in FIG. 22B, as the gate insulating film 240, a silicon nitride film formed by a high-frequency magnetron sputtering method with a thickness of 10 to 80 nm is applied, and a thermal oxidation treatment is performed on an interface with the semiconductor film. A silicon oxide film 280 having a thickness of 1 to 5 nm formed by the above is interposed. The silicon oxide film can prevent the interface state density from increasing due to direct contact between the silicon nitride and the semiconductor film, can reduce damage during film formation, and can reduce the interface state density. be able to.
[0098]
Further, as a means for obtaining the same effect, a silicon oxide film (chemical oxide) formed with an oxidizing aqueous solution, typically, ozone water may be left.
[0099]
The gate electrodes 243 and 244 and the capacitor electrode 245 are made of a first conductive film 241 made of tantalum nitride (TaN) with a thickness of 10 to 50 nm and tungsten (W) with a thickness of 100 to 400 nm as in the first embodiment. The second conductive film 242 is formed.
[0100]
Thereafter, as shown in FIG. 22C, first n-type impurity regions 246 and 248 and a first p-type impurity region 247 are formed by doping. These impurity regions are formed so as to overlap with the first conductive film 241 using the second conductive film 242 as a mask.
[0101]
In FIG. 22D, the sidewall spacers 249 to 251 of the gate electrode are formed using a silicon oxide film. A silicon oxide film is formed on the entire surface by a plasma CVD method, and this film is uniformly etched over the entire surface by anisotropic dry etching to form a sidewall spacer. The second n-type impurity regions 252 and 254 and the second p-type impurity region 253 are formed using the gate electrode as a mask.
[0102]
Then, as shown in FIG. 23A, a silicon oxynitride film 256 containing hydrogen is formed with a thickness of 50 nm by a plasma CVD method. Further, a silicon nitride film 257 formed by a high-frequency magnetron sputtering method is formed. After that, hydrogenation is performed by heat treatment at 410 ° C .; however, the silicon nitride film 257 prevents the hydrogen from being diffused into a gaseous phase, thereby increasing the effect of hydrogenation. Further, instead of the silicon nitride film 257, aluminum oxynitride (AlNxOy: X = 2.5 to 47.5 atomic%) may be used. Aluminum oxynitride has the same effect as the silicon nitride film, and also has an effect of dissipating heat generated by the TFT because of its high thermal conductivity. That is, it is possible to reduce the influence of heat generated by miniaturizing the element and improving the degree of integration.
[0103]
The interlayer insulating film 258 can be formed of a silicon oxide film formed by a plasma CVD method, phosphorus glass (PSG) formed by a normal pressure CVD method, boron glass (BSG), or phosphorus glass (PBSG). The opening of the contact portion is formed at the same time as the formation of the coating with a photosensitive organic resin material such as polyimide or acrylic.
[0104]
Then, the wiring 259 is formed using Al, Ti, Mo, W, or the like. A silicon nitride film 260 having a thickness of 20 to 100 nm is formed by high frequency magnetron sputtering so as to cover the wiring 259 and the interlayer insulating film 258. This serves as a barrier film for preventing diffusion of Cu when forming a Cu wiring on this upper layer (FIG. 23B).
[0105]
Then, a second interlayer insulating film 261 is formed with a thickness of 0.5 to 5 μm using a silicon oxide film or an organic resin material. An opening for forming a wiring is formed in the second interlayer insulating film 261, and thereafter a barrier layer 262 made of a tantalum nitride film is formed with a thickness of 100 to 200 nm on the entire surface by a sputtering method. The tantalum nitride film is used as a barrier layer for preventing diffusion of Cu. Further, a seed layer is formed by forming a Cu film by a sputtering method, and a Cu layer 263 is formed to a thickness of 1 to 10 μm by a plating method using copper sulfate. In addition to the plating method, a Cu layer can be formed by a sputtering method and reflowed by a heat treatment at 450 ° C. to realize flattening (FIG. 23C).
[0106]
The Cu wiring 264 is formed by etching the Cu layer 263. Since the Cu wiring is easily oxidized and is thermally unstable, a protective film covering the Cu wiring 264 is formed of a silicon nitride film 265 by high frequency magnetron sputtering with a thickness of 20 to 200 nm. The silicon nitride film is dense and can prevent oxidation of Cu and diffusion to a peripheral portion. Further, by sandwiching the Cu wiring 264 between the silicon nitride film 260 and the silicon nitride film 265, it is possible to prevent the TFT from being contaminated by Cu. If necessary, a third interlayer insulating film 266 is formed, and by performing the same operation as in FIG. 23C, a multilayer wiring can be formed, and a semiconductor device such as a microprocessor or a memory can be formed (FIG. 23). (D)). The wiring layer can be multilayered if necessary.
[0107]
(Embodiment 6)
The semiconductor device may be completed by combining the wiring forming process described in Embodiment 4 with Embodiment 5. That is, Cu wiring can be formed by damascene technology. Also in this case, the silicon nitride film according to the present invention is applied.
[0108]
(Embodiment 7)
One embodiment of a microcomputer as a typical semiconductor device manufactured according to Embodiments 1 to 6 will be described with reference to FIGS. As shown in FIG. 18, a microcomputer can be realized by integrating various functional circuit portions on a substrate made of glass or quartz having a thickness of 0.3 to 1.1 mm. Various functional circuit portions can be formed mainly of the TFTs and the capacitor portions manufactured in Embodiment Modes 1 to 6.
[0109]
The components of the microcomputer 2100 shown in FIG. 18 include a CPU 2101, a ROM 2102, an interrupt controller 2103, a cache memory 2104, a RAM 2105, a DMAC 2106, a clock generation circuit 2107, a serial interface 2108, a power generation circuit 2109, an ADC / DAC 2110, a timer counter 2111, WDT 2112, I / O port 2102, and the like.
[0110]
The microcomputer 2100 formed on a glass substrate is fixed to a base 2201 made of ceramic or FRP (fiber reinforced plastic) by face-down bonding. The back surface of the glass substrate of the microcomputer 2100 is coated with aluminum oxynitride 2203 having good thermal conductivity to enhance the heat dissipation effect. Further, a heat dissipating fin 2204 made of aluminum is provided in contact with the heat dissipating fin 2204 to prevent heat generated by the operation of the microcomputer 2100. The whole is covered with a sealing resin 2205, and connection with an external circuit is made by pins 2202.
[0111]
In the present embodiment, the form of the microcomputer has been described as an example. However, if the configuration and combination of various functional circuits are changed, various types of media such as a media processor, a graphics LSI, a cryptographic LSI, a memory, a graphics LSI, a mobile phone LSI, etc. A functional semiconductor device can be completed.
[0112]
【The invention's effect】
According to the present invention, even on a glass substrate having a strain point of 700 ° C. or less, hydrogen is reduced to 1 × 10 21 / Cm 3 Contains oxygen at the following concentration and contains 5 × 10 18 ~ 5 × 10 21 / Cm 3 Ammonium hydrogen fluoride (NH 4 HF 2 ) With ammonium fluoride (NH 4 An etching rate with respect to a mixed aqueous solution containing 15.4% of F) has a characteristic of 10 nm / min or less, and a dense silicon nitride film having high blocking properties against mobile ions such as Li can be obtained.
[0113]
By using this silicon nitride film as a gate insulating film or a protective film, particularly as a barrier film for Cu wiring in each part of the semiconductor device, it is possible to provide a semiconductor device realizing high performance and high reliability.
[0114]
By having such hydrogen and oxygen contents and etching characteristics, in application to a gate insulating film, a gate leak current is reduced, and a field effect mobility, a subthreshold coefficient, a conductance (gm), and the like are improved. The change with time of the transistor characteristics in continuous operation is reduced, and the manufacturing yield and the variation in characteristics can be improved. Further, such an effect can be more effectively exerted by interposing a silicon oxide film between the crystalline semiconductor film and the silicon nitride film.
[Brief description of the drawings]
FIG. 1 is a graph showing CV characteristics when a silicon nitride film according to the present invention is used and there is no diffusion of Li in a MOS structure.
FIG. 2 is a graph showing CV characteristics when using a silicon nitride film according to the present invention and diffusion of Li occurs in a MOS structure.
FIG. 3 is a graph showing the results of measuring the concentrations of H, C, and O contained in the silicon nitride film of the present invention by SIMS.
FIG. 4 is a graph showing the transmittance of the silicon nitride film of the present invention and the silicon nitride film of the comparative example.
FIG. 5 is a graph showing infrared absorption spectra of a silicon nitride film of the present invention and a silicon nitride film of a comparative example.
FIG. 6 is a graph showing CV characteristics when a silicon nitride film formed by a plasma CVD method is used and a diffusion of Li occurs in a MOS structure.
FIG. 7 is a top view illustrating a configuration of a magnetron sputtering apparatus applied to the present invention.
FIG. 8 is a cross-sectional view illustrating details of a film forming chamber of a magnetron sputtering apparatus applied to the present invention.
FIG. 9 is a diagram schematically illustrating a film formation mechanism of a silicon nitride film in high frequency magnetron sputtering according to the present invention.
FIG. 10 is a longitudinal sectional view illustrating a manufacturing process of a semiconductor device of the present invention.
FIG. 11 is a longitudinal sectional view illustrating a manufacturing process of a semiconductor device of the present invention.
FIG. 12 is a longitudinal sectional view illustrating a manufacturing process of a semiconductor device of the present invention.
FIG. 13 is a longitudinal sectional view illustrating a manufacturing process of a semiconductor device of the present invention.
FIG. 14 is a longitudinal sectional view illustrating a manufacturing process of a semiconductor device of the present invention.
FIG. 15 is a longitudinal sectional view illustrating a manufacturing process of a semiconductor device of the present invention.
FIG. 16 is a longitudinal sectional view illustrating a manufacturing process of a semiconductor device of the present invention.
FIG. 17 illustrates details of an etching shape of a semiconductor film.
FIG. 18 illustrates a configuration of a microcomputer according to the present invention.
FIG. 19 illustrates a package structure of a microcomputer according to the present invention.
FIG. 20 illustrates a structure of a heat treatment chamber.
FIG. 21 is a diagram illustrating a blinking light source, a change in temperature of a semiconductor substrate, and a method of supplying a coolant.
FIG. 22 is a longitudinal sectional view illustrating a manufacturing process of a semiconductor device of the present invention.
FIG. 23 is a longitudinal sectional view illustrating a manufacturing process of a semiconductor device of the present invention.

Claims (19)

絶縁基板上に、水素を1×1021/cm以下の濃度で含み、フッ化水素アンモニウム(NHHF)を7.13%とフッ化アンモニウム(NHF)を15.4%含む混合水溶液に対するエッチング速度が10nm/min以下の特性を有する窒化珪素膜を、少なくとも一層含むゲート絶縁膜が形成されていることを特徴とする半導体装置。Hydrogen is contained at a concentration of 1 × 10 21 / cm 3 or less, 7.13% of ammonium hydrogen fluoride (NH 4 HF 2 ) and 15.4% of ammonium fluoride (NH 4 F) are formed on the insulating substrate. A semiconductor device comprising a gate insulating film including at least one silicon nitride film having a characteristic that an etching rate with respect to a mixed aqueous solution is 10 nm / min or less. 絶縁基板上に、水素を1×1021/cm以下の濃度で含み、フッ化水素アンモニウム(NHHF)を7.13%とフッ化アンモニウム(NHF)を15.4%含む混合水溶液に対するエッチング速度が10nm/min以下の特性を有する窒化珪素膜が、チャネル長0.35〜2.5μmの電界効果型トランジスタのゲート絶縁膜において、少なくとも一層形成されていることを特徴とする半導体装置。Hydrogen is contained at a concentration of 1 × 10 21 / cm 3 or less, 7.13% of ammonium hydrogen fluoride (NH 4 HF 2 ) and 15.4% of ammonium fluoride (NH 4 F) are formed on the insulating substrate. At least one silicon nitride film having a characteristic that an etching rate with respect to a mixed aqueous solution is 10 nm / min or less is formed in a gate insulating film of a field-effect transistor having a channel length of 0.35 to 2.5 μm. Semiconductor device. 絶縁基板上に、水素を1×1021/cm以下の濃度で含み、フッ化水素アンモニウム(NHHF)を7.13%とフッ化アンモニウム(NHF)を15.4%含む混合水溶液に対するエッチング速度が10nm/min以下の特性を有する窒化珪素膜が、チャネル長0.35〜2.5μmの電界効果型トランジスタのゲート絶縁膜において、結晶性半導体膜上に酸化珪素膜を介して形成されていることを特徴とする半導体装置。Hydrogen is contained at a concentration of 1 × 10 21 / cm 3 or less, 7.13% of ammonium hydrogen fluoride (NH 4 HF 2 ) and 15.4% of ammonium fluoride (NH 4 F) are formed on the insulating substrate. A silicon nitride film having a characteristic that an etching rate with respect to a mixed aqueous solution is 10 nm / min or less is formed on a crystalline semiconductor film via a silicon oxide film in a gate insulating film of a field effect transistor having a channel length of 0.35 to 2.5 μm. A semiconductor device characterized by being formed. 請求項1乃至3のいずれか一項において、前記窒化珪素膜は、酸素を5×1018〜5×1021/cmの濃度で含んでいることを特徴とする半導体装置。4. The semiconductor device according to claim 1, wherein the silicon nitride film contains oxygen at a concentration of 5 × 10 18 to 5 × 10 21 / cm 3. 5. 請求項2又は3において、前記ゲート絶縁膜は、表面における突起部の曲率半径が1μm以下である結晶性半導体膜上に形成されていることを特徴とする半導体装置。4. The semiconductor device according to claim 2, wherein the gate insulating film is formed on a crystalline semiconductor film in which a radius of curvature of a protrusion on a surface is 1 μm or less. 請求項1乃至5のいずれか一項において、前記絶縁基板は、歪み点700℃以下のガラス基板であることを特徴とする半導体装置。The semiconductor device according to claim 1, wherein the insulating substrate is a glass substrate having a strain point of 700 ° C. or lower. 歪み点700℃以下のガラス基板上に、水素を1×1021/cm以下の濃度で含み、フッ化水素アンモニウム(NHHF)を7.13%とフッ化アンモニウム(NHF)を15.4%含む混合水溶液に対するエッチング速度が10nm/min以下の特性を有する窒化珪素膜が、容量部の誘電体膜として形成されていることを特徴とする半導体装置。On a glass substrate having a strain point of 700 ° C. or less, hydrogen is contained at a concentration of 1 × 10 21 / cm 3 or less, ammonium hydrogen fluoride (NH 4 HF 2 ) 7.13% and ammonium fluoride (NH 4 F) A silicon nitride film having a characteristic of an etching rate of 10 nm / min or less for a mixed aqueous solution containing 15.4% of Si is formed as a dielectric film of a capacitor portion. 歪み点700℃以下のガラス基板上に、水素を1×1021/cm以下の濃度で含み、フッ化水素アンモニウム(NHHF)を7.13%とフッ化アンモニウム(NHF)を15.4%含む混合水溶液に対するエッチング速度が10nm/min以下の特性を有する窒化珪素膜が、有機樹脂で成る層間絶縁膜上に形成されていることを特徴とする半導体装置。On a glass substrate having a strain point of 700 ° C. or less, hydrogen is contained at a concentration of 1 × 10 21 / cm 3 or less, ammonium hydrogen fluoride (NH 4 HF 2 ) 7.13% and ammonium fluoride (NH 4 F) A silicon nitride film having a characteristic of an etching rate of 10 nm / min or less with respect to a mixed aqueous solution containing 15.4% of the compound is formed on an interlayer insulating film made of an organic resin. 絶縁基板上に、水素を1×1021/cm以下の濃度で含み、フッ化水素アンモニウム(NHHF)を7.13%とフッ化アンモニウム(NHF)を15.4%含む混合水溶液に対するエッチング速度が10nm/min以下の特性を有する窒化珪素膜が、半導体素子の保護膜として形成されていることを特徴とする半導体装置。Hydrogen is contained at a concentration of 1 × 10 21 / cm 3 or less, 7.13% of ammonium hydrogen fluoride (NH 4 HF 2 ) and 15.4% of ammonium fluoride (NH 4 F) are formed on the insulating substrate. A semiconductor device, wherein a silicon nitride film having a characteristic that an etching rate with respect to a mixed aqueous solution is 10 nm / min or less is formed as a protective film of a semiconductor element. 請求項9において、前記絶縁基板は、前記絶縁基板は、歪み点700℃以下のガラス基板であることを特徴とする半導体装置。The semiconductor device according to claim 9, wherein the insulating substrate is a glass substrate having a strain point of 700 ° C. or lower. 請求項7乃至9のいずれか一項において、前記窒化珪素膜は、酸素を5×1018〜5×1021/cmの濃度で含んでいることを特徴とする半導体装置。10. The semiconductor device according to claim 7, wherein the silicon nitride film contains oxygen at a concentration of 5 × 10 18 to 5 × 10 21 / cm 3 . 歪み点700℃以下のガラス基板上に形成され、水素を1×1021/cm以下の濃度で含み、フッ化水素アンモニウム(NHHF)を7.13%とフッ化アンモニウム(NHF)を15.4%含む混合水溶液に対するエッチング速度が10nm/min以下の特性を有することを特徴とする窒化珪素膜。It is formed on a glass substrate having a strain point of 700 ° C. or less, contains hydrogen at a concentration of 1 × 10 21 / cm 3 or less, contains 7.13% of ammonium hydrogen fluoride (NH 4 HF 2 ) and ammonium fluoride (NH 4). A silicon nitride film having a characteristic that an etching rate with respect to a mixed aqueous solution containing 15.4% of F) is 10 nm / min or less. 有機樹脂膜上に形成され、水素を1×1021/cm以下の濃度で含み、フッ化水素アンモニウム(NHHF)を7.13%とフッ化アンモニウム(NHF)を15.4%含む混合水溶液に対するエッチング速度が10nm/min以下の特性を有することを特徴とする窒化珪素膜。It is formed on an organic resin film, contains hydrogen at a concentration of 1 × 10 21 / cm 3 or less, contains ammonium hydrogen fluoride (NH 4 HF 2 ) 7.13%, and ammonium fluoride (NH 4 F) 15. A silicon nitride film having an etching rate of 10 nm / min or less for a mixed aqueous solution containing 4%. 請求項12又は13において、酸素を5×1018〜5×1021/cmの濃度で含んでいることを特徴とする窒化珪素膜。The silicon nitride film according to claim 12, wherein oxygen is contained at a concentration of 5 × 10 18 to 5 × 10 21 / cm 3 . 絶縁基板上に形成された結晶性半導体膜に、酸化処理と、酸化膜除去処理を行う第1段階と、高周波電力を印加してArとN若しくはNのみのグロー放電下において、珪素のターゲットをスパッタリングして窒化珪素膜を形成する第2段階と、直流電力を印加して導電性膜を形成する第3段階の各段階を含み、前記第1乃至第3段階は、大気に晒すことなく不活性雰囲気又は減圧下で連続して行うことを特徴とする半導体装置の作製方法。The crystalline semiconductor film formed on the insulating substrate is subjected to an oxidation treatment, a first step of performing an oxide film removal treatment, and application of high-frequency power under a glow discharge of only Ar and N 2 or N 2 to remove silicon. The method includes the following steps: a second step of forming a silicon nitride film by sputtering a target; and a third step of forming a conductive film by applying DC power. A method for manufacturing a semiconductor device, which is performed continuously in an inert atmosphere or under reduced pressure. 絶縁基板上に形成された結晶性半導体膜に、酸化処理と、酸化膜除去処理を行う第1段階と、酸化性雰囲気中での加熱処理により酸化珪素膜を形成する第2段階と、高周波電力を印加してArとN若しくはNのみのグロー放電下において、珪素のターゲットをスパッタリングして窒化珪素膜を形成する第3段階と、直流電力を印加して導電性膜を形成する第4段階の各段階を含み、前記第1乃至第4段階は、大気に晒すことなく不活性雰囲気又は減圧下で連続して行うことを特徴とする半導体装置の作製方法。A first stage of performing an oxidation process and an oxide film removal process on the crystalline semiconductor film formed on the insulating substrate, a second stage of forming a silicon oxide film by a heat treatment in an oxidizing atmosphere, A third step of sputtering a silicon target to form a silicon nitride film under a glow discharge of only Ar and N 2 or N 2 , and a fourth step of forming a conductive film by applying DC power. A method for manufacturing a semiconductor device, including each of the steps, wherein the first to fourth steps are continuously performed in an inert atmosphere or under reduced pressure without exposure to the air. 請求項16において、前記第2段階におけるNに対するArの割合は、0.01〜0.5であることを特徴とする半導体装置の作製方法。In claim 16, the ratio of Ar for N 2 in the second step, a method for manufacturing a semiconductor device, characterized in that 0.01 to 0.5. 請求項16において、前記第3段階におけるNに対するArの割合は、0.01〜0.5であることを特徴とする半導体装置の作製方法。In claim 16, the ratio of Ar for N 2 in the third step, the method for manufacturing a semiconductor device, characterized in that 0.01 to 0.5. 請求項16において、前記第2段階における酸化性雰囲気に、NF、HF、ClFから選ばれた一種又は複数種を0.01〜0.1%添加することを特徴とする半導体装置の作製方法。In claim 16, the production of the oxidation atmosphere in the second stage, NF 3, HF, and wherein a the addition of one or more selected from ClF 3 0.01 to 0.1% Method.
JP2003139390A 2002-05-17 2003-05-16 Method for manufacturing semiconductor device Expired - Fee Related JP4627971B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003139390A JP4627971B2 (en) 2002-05-17 2003-05-16 Method for manufacturing semiconductor device

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2002143899 2002-05-17
JP2002160848 2002-05-31
JP2003139390A JP4627971B2 (en) 2002-05-17 2003-05-16 Method for manufacturing semiconductor device

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2010046384A Division JP2010123998A (en) 2002-05-17 2010-03-03 Semiconductor device

Publications (3)

Publication Number Publication Date
JP2004056099A true JP2004056099A (en) 2004-02-19
JP2004056099A5 JP2004056099A5 (en) 2006-06-29
JP4627971B2 JP4627971B2 (en) 2011-02-09

Family

ID=31950434

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003139390A Expired - Fee Related JP4627971B2 (en) 2002-05-17 2003-05-16 Method for manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP4627971B2 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005285830A (en) * 2004-03-26 2005-10-13 Dainippon Printing Co Ltd Method for forming gate insulating film, process for fabricating thin-film transistor, and the thin-film transistor
JPWO2007052393A1 (en) * 2005-11-02 2009-04-30 シャープ株式会社 Semiconductor device and manufacturing method thereof
JP2010103303A (en) * 2008-10-23 2010-05-06 Toshiba Corp Magnetoresistive element and method of manufacturing the same
WO2011114890A1 (en) * 2010-03-16 2011-09-22 シャープ株式会社 Semiconductor apparatus and manufacturing method of same
US8598045B2 (en) 2007-02-23 2013-12-03 Fujitsu Semiconductor Limited Semiconductor device and method for manufacturing the same
JP2014082388A (en) * 2012-10-17 2014-05-08 Semiconductor Energy Lab Co Ltd Semiconductor device
WO2014192210A1 (en) * 2013-05-29 2014-12-04 パナソニック株式会社 Thin film transistor device, method for manufacturing same and display device
JP2015106572A (en) * 2013-11-28 2015-06-08 大陽日酸株式会社 Method for forming silicon nitride film, and silicon nitride film
JP2018098503A (en) * 2016-12-07 2018-06-21 ツィンファ ユニバーシティ Thin-film transistor and method for manufacturing the same

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0316129A (en) * 1989-03-22 1991-01-24 Fuji Electric Co Ltd Generation of silicon nitride film
JPH0480928A (en) * 1990-07-24 1992-03-13 Semiconductor Energy Lab Co Ltd Formation of nitride insulating film
JPH0756190A (en) * 1993-08-12 1995-03-03 Seiko Epson Corp Thin-film transistor and its production
JPH08195494A (en) * 1994-05-26 1996-07-30 Sanyo Electric Co Ltd Semiconductor device, manufacture of semiconductor device, film transistor, manufacture of film transistor, and display
JPH09232250A (en) * 1996-02-20 1997-09-05 Semiconductor Energy Lab Co Ltd Manufacture of film
JPH09312406A (en) * 1996-03-17 1997-12-02 Semiconductor Energy Lab Co Ltd Manufacture of semiconductor device
JPH11251599A (en) * 1998-03-06 1999-09-17 Toshiba Corp Fabrication of thin film semiconductor device
JP2002050634A (en) * 2000-04-28 2002-02-15 Semiconductor Energy Lab Co Ltd Method for manufacturing semiconductor device

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0316129A (en) * 1989-03-22 1991-01-24 Fuji Electric Co Ltd Generation of silicon nitride film
JPH0480928A (en) * 1990-07-24 1992-03-13 Semiconductor Energy Lab Co Ltd Formation of nitride insulating film
JPH0756190A (en) * 1993-08-12 1995-03-03 Seiko Epson Corp Thin-film transistor and its production
JPH08195494A (en) * 1994-05-26 1996-07-30 Sanyo Electric Co Ltd Semiconductor device, manufacture of semiconductor device, film transistor, manufacture of film transistor, and display
JPH09232250A (en) * 1996-02-20 1997-09-05 Semiconductor Energy Lab Co Ltd Manufacture of film
JPH09312406A (en) * 1996-03-17 1997-12-02 Semiconductor Energy Lab Co Ltd Manufacture of semiconductor device
JPH11251599A (en) * 1998-03-06 1999-09-17 Toshiba Corp Fabrication of thin film semiconductor device
JP2002050634A (en) * 2000-04-28 2002-02-15 Semiconductor Energy Lab Co Ltd Method for manufacturing semiconductor device

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005285830A (en) * 2004-03-26 2005-10-13 Dainippon Printing Co Ltd Method for forming gate insulating film, process for fabricating thin-film transistor, and the thin-film transistor
JPWO2007052393A1 (en) * 2005-11-02 2009-04-30 シャープ株式会社 Semiconductor device and manufacturing method thereof
US8598045B2 (en) 2007-02-23 2013-12-03 Fujitsu Semiconductor Limited Semiconductor device and method for manufacturing the same
JP2010103303A (en) * 2008-10-23 2010-05-06 Toshiba Corp Magnetoresistive element and method of manufacturing the same
US8728941B2 (en) 2010-03-16 2014-05-20 Sharp Kabushiki Kaisha Semiconductor apparatus and manufacturing method of same
WO2011114890A1 (en) * 2010-03-16 2011-09-22 シャープ株式会社 Semiconductor apparatus and manufacturing method of same
JP2014082388A (en) * 2012-10-17 2014-05-08 Semiconductor Energy Lab Co Ltd Semiconductor device
WO2014192210A1 (en) * 2013-05-29 2014-12-04 パナソニック株式会社 Thin film transistor device, method for manufacturing same and display device
JP6083053B2 (en) * 2013-05-29 2017-02-22 株式会社Joled THIN FILM TRANSISTOR DEVICE, ITS MANUFACTURING METHOD, AND DISPLAY DEVICE
JPWO2014192210A1 (en) * 2013-05-29 2017-02-23 株式会社Joled THIN FILM TRANSISTOR DEVICE, ITS MANUFACTURING METHOD, AND DISPLAY DEVICE
US9799772B2 (en) 2013-05-29 2017-10-24 Joled Inc. Thin film transistor device, method for manufacturing same and display device
JP2015106572A (en) * 2013-11-28 2015-06-08 大陽日酸株式会社 Method for forming silicon nitride film, and silicon nitride film
JP2018098503A (en) * 2016-12-07 2018-06-21 ツィンファ ユニバーシティ Thin-film transistor and method for manufacturing the same

Also Published As

Publication number Publication date
JP4627971B2 (en) 2011-02-09

Similar Documents

Publication Publication Date Title
JP6243489B2 (en) Semiconductor device
US20060261341A1 (en) Semiconductor device and manufacturing method of the same
TWI455245B (en) Method for manufacturing semiconductor device
KR20010052812A (en) Thin-film transistor and method of manufacture thereof
JP2002176003A (en) Method for doping semiconductor layer, method for manufacturing thin film semiconductor element and thin film semiconductor element
JP2002208592A (en) Method for formation of insulating film, semiconductor device and manufacturing apparatus
US6506675B1 (en) Copper film selective formation method
JP4627971B2 (en) Method for manufacturing semiconductor device
JP2000357798A (en) Thin-film transistor and its manufacture
US20030168004A1 (en) Manufacturing apparatus of an insulation film
JP2001085701A (en) Element having multilayer structure, its manufacturing device and its manufacturing method
JP2004327649A (en) Semiconductor device, thin film transistor, and method of manufacturing semiconductor device
JP2000022157A (en) Fabrication of thin film transistor
JP2003151974A (en) Photo-oxidation method, method of manufacturing semiconductor device using the same, photo-oxidation apparatus and apparatus for manufacturing semiconductor device
JP2004273629A (en) Method for manufacturing thin-film transistor, electrooptical apparatus, and electronic equipment

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060515

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060515

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090423

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090512

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090709

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100126

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100303

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101102

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101109

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131119

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131119

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees