JP2003526943A - Method for forming source / drain regions having deep junctions - Google Patents

Method for forming source / drain regions having deep junctions

Info

Publication number
JP2003526943A
JP2003526943A JP2001567032A JP2001567032A JP2003526943A JP 2003526943 A JP2003526943 A JP 2003526943A JP 2001567032 A JP2001567032 A JP 2001567032A JP 2001567032 A JP2001567032 A JP 2001567032A JP 2003526943 A JP2003526943 A JP 2003526943A
Authority
JP
Japan
Prior art keywords
recess
forming
doped region
ion implantation
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001567032A
Other languages
Japanese (ja)
Other versions
JP2003526943A5 (en
JP4889901B2 (en
Inventor
ウー,デイビッド・ドンガン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of JP2003526943A publication Critical patent/JP2003526943A/en
Publication of JP2003526943A5 publication Critical patent/JP2003526943A5/ja
Application granted granted Critical
Publication of JP4889901B2 publication Critical patent/JP4889901B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

(57)【要約】 この発明は、半導体素子にソース/ドレイン領域(31)を形成する方法に向けられる。一実施例では、この方法は、半導体基板(12)上にゲートスタック(17)を形成するステップと、前記基板(12)内に、前記ゲートスタック(17)に近接して凹み(24)を形成するステップと、注入プロセス(30)を行ない、ドーパント原子を凹み(24)の底面(27)内に注入するステップとを含む。この方法は、凹み(24)内にエピタキシャルシリコン(32)の層を形成するステップと、第2のイオン注入プロセス(38)を行ない、凹み(24)内の少なくともエピタキシャルシリコン(32)内にドープされた領域(33)を形成するステップと、アニールプロセスを行ない、注入されたドーパント原子を活性化するステップとをさらに含む。 (57) The present invention is directed to a method of forming source / drain regions (31) in a semiconductor device. In one embodiment, the method includes forming a gate stack (17) on a semiconductor substrate (12), and forming a recess (24) in the substrate (12) proximate to the gate stack (17). And forming an implantation process (30) and implanting dopant atoms into the bottom surface (27) of the recess (24). The method includes the steps of forming a layer of epitaxial silicon (32) in the recess (24) and a second ion implantation process (38), doping at least the epitaxial silicon (32) in the recess (24). Forming the doped region (33) and performing an annealing process to activate the implanted dopant atoms.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【技術分野】【Technical field】

この発明は、一般に、半導体処理の分野に向けられ、より特定的には、半導体
素子にソース/ドレイン領域を形成する方法に向けられる。
The present invention is generally directed to the field of semiconductor processing, and more specifically to methods of forming source / drain regions in semiconductor devices.

【0002】[0002]

【背景技術】[Background technology]

半導体産業には、マイクロプロセッサ、メモリ素子等の集積回路素子の全体性
能および動作速度を上げる傾向が常にある。ますます高速で動作するコンピュー
タおよび電子装置に対する消費者の需要が、この傾向をかき立てている。より高
速を求めるこの需要により、トランジスタ等の半導体素子のサイズは絶えず縮小
されてきた。すなわち、典型的な電界効果トランジスタ(FET)の多くの構成
要素、たとえば、チャネル長、接合深さ、ゲート誘電体厚等が縮小される。たと
えば、すべて他の条件が等しければ、トランジスタのチャネル長が小さくなるほ
どトランジスタの動作は速くなる。したがって、典型的なトランジスタの構成要
素のサイズまたはスケールを縮小して、トランジスタだけでなく、このようなト
ランジスタを組込んだ集積回路素子の素子性能および全体速度を常に上げようと
する傾向がある。
There is a constant trend in the semiconductor industry to increase the overall performance and operating speed of integrated circuit devices such as microprocessors and memory devices. Consumer demand for increasingly fast computer and electronic devices has fueled this trend. Due to this demand for higher speeds, the size of semiconductor devices such as transistors has been constantly reduced. That is, many components of a typical field effect transistor (FET), such as channel length, junction depth, gate dielectric thickness, etc., are reduced. For example, all other things being equal, the smaller the channel length of the transistor, the faster the operation of the transistor. Therefore, there is a tendency to reduce the size or scale of typical transistor components to constantly improve the device performance and overall speed of transistors as well as integrated circuit devices incorporating such transistors.

【0003】 このように、トランジスタの性能を絶えず上げようとする傾向に伴い、素子動
作のすべての局面を、素子性能を高めるために調べる必要がある。たとえば、ト
ランジスタ等の半導体素子が「オン」時または「オフ」時ごとに生じるおそれの
あるリーク電流を減じなくてはならない。これらのリーク電流を増大させる傾向
にある1つの要因は、十分な深さを備えていないソース/ドレイン接合を有する
ことにある。典型的には、コバルトシリサイド等の金属シリサイドを含むコンタ
クトがソース/ドレイン領域上に形成され、導電線のソース/ドレイン領域への
電気的接続を容易にし、すなわち、金属シリサイド領域を用いて接触抵抗を減じ
る。接合は一般に、N型ドーパント原子の濃度とP型ドーパント原子の濃度とが
ほぼ等しい時点にあると理解されるものであるが、この深さが十分でない場合、
素子が「オン」時または「オフ」時のいずれかの場合にリーク電流が生じるおそ
れがある。したがって一般的に、接合深さが浅いよりはむしろ深いソース/ドレ
イン領域を形成することが望ましい。
As described above, with the tendency to constantly improve the performance of the transistor, it is necessary to investigate all aspects of the device operation in order to improve the device performance. For example, it is necessary to reduce the leakage current that may occur each time a semiconductor element such as a transistor is “on” or “off”. One factor that tends to increase these leakage currents is having source / drain junctions that are not deep enough. Typically, contacts containing metal suicides such as cobalt suicides are formed on the source / drain regions to facilitate electrical connection of the conductive lines to the source / drain regions, ie contact resistance using the metal suicide regions. Reduce. Junctions are generally understood to be at times when the concentration of N-type dopant atoms and the concentration of P-type dopant atoms are approximately equal, but if this depth is not sufficient,
Leakage current may occur when the device is either "on" or "off". Therefore, it is generally desirable to form source / drain regions with deep junction depths rather than shallow depths.

【0004】 一般に、ソース/ドレイン領域はさまざまな技術によって形成してよい。たと
えば、ソース/ドレイン領域を、さまざまなドーパント原子が半導体基板内に注
入される、多数のイオン注入プロセスを行なうことによって形成してよい。最初
のイオン注入プロセスは、基板内に比較的浅く広い注入部分を形成するよう行な
われてよい。その後、側壁スペーサがゲートスタックに隣接して形成された後に
、従来のソース/ドレイン注入を比較的高いドーパント濃度で行なってよいが、
最初の広い注入部分より一段と深く行なう。次に、典型的に共注入プロセスと称
される別の注入プロセスを行ない、より深い接合を得てよい。しかしながら、こ
れらのより深い接合の側方への拡散により、従来のプロセスフローでは接合深さ
を約1500Åより深くすることができない。
In general, the source / drain regions may be formed by various techniques. For example, the source / drain regions may be formed by performing a number of ion implantation processes in which various dopant atoms are implanted in the semiconductor substrate. The initial ion implantation process may be performed to form a relatively shallow and wide implant in the substrate. Then, conventional sidewall source / drain implants may be performed at a relatively high dopant concentration after the sidewall spacers are formed adjacent the gate stack,
Do deeper than the first wide injection. Another implantation process, typically referred to as a co-implantation process, may then be performed to obtain a deeper bond. However, due to the lateral diffusion of these deeper junctions, the junction depth cannot be deeper than about 1500Å in conventional process flows.

【0005】 ソース/ドレイン領域に関連する別の問題は、キャパシタンスである。一般に
、ソース/ドレイン領域によって生じるキャパシタンスを減じて素子性能を高め
ることが望ましい。このキャパシタンスは、トランジスタが「オン」または「オ
フ」される動作サイクルごとに充電および放電されなくてはならない。この結果
、素子全体にわたって信号の伝搬に関するRC時間遅延を招き、加えて動作中の
素子による消費電力の増大を招く。一般に、より緩やかなドーパント濃度のプロ
ファイルを備え、ソース/ドレイン領域のキャパシタンスを減じるソース/ドレ
イン領域を有することが望ましいであろう。
Another problem associated with source / drain regions is capacitance. It is generally desirable to reduce the capacitance created by the source / drain regions to improve device performance. This capacitance must be charged and discharged every operating cycle when the transistor is "on" or "off". As a result, an RC time delay related to signal propagation is caused over the entire element, and in addition, power consumption by the element during operation is increased. In general, it would be desirable to have a source / drain region with a more gradual dopant concentration profile that reduces the capacitance of the source / drain region.

【0006】 この発明は、上述の問題の一部またはすべてを解決するか、少なくとも減じる
方法に向けられる。
The present invention is directed to a method of solving, or at least reducing, some or all of the above problems.

【0007】[0007]

【発明の開示】DISCLOSURE OF THE INVENTION

この発明は、半導体素子にソース/ドレイン領域を形成する方法に向けられる
。一実施例では、この方法は、半導体基板上にゲートスタックを形成するステッ
プと、基板内にゲートスタックに隣接して凹みを形成するステップとを含み、こ
の凹みは底面を有し、この方法はさらに、第1のイオン注入プロセスを凹みの底
面内に行なって、第1のドープされた領域を形成するステップを含む。この方法
は、前記凹み内にエピタキシャルシリコンの層を形成するステップと、第2のイ
オン注入プロセスを行ない、凹み内のエピタキシャルシリコン層の少なくとも一
部内に第2のドープされた領域を形成するステップと、第1および第2のドープ
された領域をアニールするステップとをさらに含む。
The present invention is directed to a method of forming source / drain regions in a semiconductor device. In one embodiment, the method includes forming a gate stack on a semiconductor substrate and forming an indent in the substrate adjacent the gate stack, the indent having a bottom surface, the method comprising: Further, the method includes performing a first ion implantation process in the bottom surface of the recess to form a first doped region. The method comprises the steps of forming a layer of epitaxial silicon within the recess and performing a second ion implantation process to form a second doped region within at least a portion of the epitaxial silicon layer within the recess. , And annealing the first and second doped regions.

【0008】 この発明は、添付の図面とともに以下の説明を参照することにより理解されて
よい。図面において、同じ参照番号は同じ要素を特定する。
The present invention may be understood by reference to the following description in conjunction with the accompanying drawings. In the drawings, like reference numbers identify like elements.

【0009】 この発明は、さまざまな変形および代替的形態が可能であるが、その特定の実
施例を図面で例として示し、ここに詳細を説明する。しかしながら、特定の実施
例の以下の説明は、開示された特定の形態にこの発明を限定するよう意図されず
、反対に、その意図は、前掲の請求項によって規定されるように、この発明の精
神および範囲内にあるすべての変形物、等価物、および代替物を包含すべきであ
ると理解されるべきである。
While the invention is susceptible to various modifications and alternative forms, specific embodiments thereof are shown by way of example in the drawings and will herein be described in detail. However, the following description of specific embodiments is not intended to limit the invention to the particular forms disclosed, conversely, the intent of the invention as defined by the appended claims. It should be understood that all variations, equivalents, and alternatives that fall within the spirit and scope are to be included.

【0010】[0010]

【この発明を実施するためのモード】[Modes for Carrying Out the Invention]

この発明の実施例を以下に説明する。不明瞭にならないよう、この明細書中で
は実際の実現化例の特徴すべてを説明しない。任意のそのような実際の実施例の
開発において、開発者の特定の目標、たとえば、実現化例ごとに異なるシステム
関連およびビジネス関連の制約との整合などを達成するために、実現化例に特有
の判断を多数行なわなければならないことが、当然ながら理解されるであろう。
さらに、このような開発努力は複雑で時間を消費するものであるが、この開示の
恩恵を受ける当業者にとっては慣例の作業であることが理解されるであろう。
Embodiments of the present invention will be described below. In the interest of clarity, not all features of an actual implementation are described in this specification. In the development of any such actual implementation, implementation-specific in order to achieve a developer's specific goals, such as alignment with different system-related and business-related constraints for each implementation. It will be understood, of course, that many decisions must be made.
Further, it will be appreciated that such development efforts are complex and time consuming, but routine work for one of ordinary skill in the art having the benefit of this disclosure.

【0011】 次に、この発明を、図1−図9を参照して説明する。半導体素子のさまざまな
領域および構造が極めて精密で鮮明な構成とプロファイルとを有しているよう図
面に示されているが、当業者は、実際には、これらの領域および構造が図面で示
されるほど精密ではないことを認める。さらに、図面に示されるさまざまな特徴
の相対的な大きさは、製造された素子の特徴の大きさと比較すると、拡大または
縮小されているかもしれない。しかしながら、添付の図面はこの発明の実施例を
記載し説明するよう含まれている。
Next, the present invention will be described with reference to FIGS. 1 to 9. Although various regions and structures of a semiconductor device are shown in the drawings as having extremely precise and sharp configurations and profiles, those skilled in the art will in fact see these regions and structures in the drawings. Admit it is not as precise. Moreover, the relative sizes of the various features shown in the figures may be expanded or reduced as compared to the feature sizes of the manufactured device. However, the attached drawings are included to describe and explain illustrative examples of the present invention.

【0012】 一般に、この発明は、半導体素子にソース/ドレイン領域を形成するプロセス
に向けられる。この明細書を完全に読むと、当業者にとっては容易に明らかであ
るように、この方法はさまざまな技術、たとえば、NMOS、PMOS、CMO
S等に適用することができ、論理素子、メモリ素子等を含むさまざまな素子に容
易に適用することができるが、これに限定されない。
In general, the present invention is directed to a process of forming source / drain regions in a semiconductor device. As will be readily apparent to those of ordinary skill in the art upon a thorough reading of this specification, this method may be implemented in a variety of techniques such as NMOS, PMOS, CMO.
It can be applied to S and the like, and can be easily applied to various elements including a logic element, a memory element, etc., but is not limited to this.

【0013】 部分的に形成された半導体素子10が図1に示される。最初に、たとえば、二
酸化シリコンを含む浅いトレンチ絶縁分離15が半導体基板12内に形成される
。半導体素子10は、半導体基板12の表面13上に形成されたゲート誘電体層
14と、ゲート誘電体層14上に形成されたゲート電極層16とを含む。半導体
基板12は、さまざまな材料、たとえば、エピタキシャルシリコンの層(図示せ
ず)が上に形成されたシリコンを含んでよい。
A partially formed semiconductor device 10 is shown in FIG. First, a shallow trench isolation 15 including, for example, silicon dioxide, is formed in the semiconductor substrate 12. The semiconductor device 10 includes a gate dielectric layer 14 formed on the surface 13 of the semiconductor substrate 12 and a gate electrode layer 16 formed on the gate dielectric layer 14. The semiconductor substrate 12 may include various materials, such as silicon with a layer of epitaxial silicon (not shown) formed thereon.

【0014】 ゲート誘電体層14とゲート電極層16とを構成する材料は、設計選択事項と
して多様であってよい。たとえば、ゲート誘電体層14は二酸化シリコンを含ん
でよく、ゲート誘電体層16は多結晶シリコン(ポリシリコン)を含んでよい。
さらに、これらの層は、このような層を形成するためのさまざまな公知の技術、
たとえば、熱成長、化学気相成長(「CVD」)、物理気相成長(「PVD」)
、プラズマ増速化学気相成長(「PECVD」)、スパッタリング等によって形
成されてよい。したがって、構成の特定の材料に加え、ゲート誘電体層14およ
びゲート電極層16を形成する態様は、前掲の請求項に特に記載されていない限
り、この発明を限定するものと考えられるべきではない。一実施例では、ゲート
誘電体層14は、約15−30Å(1.5−3nm)の範囲の厚さである、熱成
長させた二酸化シリコンの層を含み、ゲート電極層16は、堆積プロセスによっ
て形成される、約1000−3000Å(0.1−0.3μm)のポリシリコン
を含む。
The materials that make up the gate dielectric layer 14 and the gate electrode layer 16 may vary as a matter of design choice. For example, gate dielectric layer 14 may include silicon dioxide and gate dielectric layer 16 may include polycrystalline silicon (polysilicon).
In addition, these layers are a variety of known techniques for forming such layers,
For example, thermal growth, chemical vapor deposition (“CVD”), physical vapor deposition (“PVD”).
, Plasma enhanced chemical vapor deposition (“PECVD”), sputtering and the like. Therefore, the manner in which the gate dielectric layer 14 and the gate electrode layer 16 are formed, in addition to the particular materials of construction, should not be considered limiting of the present invention, unless specifically stated in the appended claims. . In one embodiment, gate dielectric layer 14 comprises a layer of thermally grown silicon dioxide having a thickness in the range of approximately 15-30 Å (1.5-3 nm) and gate electrode layer 16 is a deposition process. Formed of about 1000-3000 liters (0.1-0.3 μm) of polysilicon.

【0015】 次に、図2が示すように、ゲート電極層16とゲート誘電体層14とはパター
ニングされ、ゲート電極16Aとゲート誘電体14Aとを含むゲートスタック1
7を規定する。このパターニングは、1つ以上のエッチングプロセス、たとえば
、異方性反応性イオンエッチングプロセスを行なうことによって達成されてよい
。しかしながら、ゲート誘電体層14とゲート電極層16とが共に、同時にパタ
ーニングされる必要がないことに注目されたい。すなわち、ゲートスタック17
は、この明細書に説明される処理動作のすべてまたは実質的な部分に対し、パタ
ーニングされたゲート電極層16のみから成ってよい。
Next, as shown in FIG. 2, the gate electrode layer 16 and the gate dielectric layer 14 are patterned to include the gate electrode 16 A and the gate dielectric 14 A.
7. This patterning may be accomplished by performing one or more etching processes, eg, anisotropic reactive ion etching processes. However, note that both the gate dielectric layer 14 and the gate electrode layer 16 need not be patterned at the same time. That is, the gate stack 17
May consist solely of the patterned gate electrode layer 16 for all or a substantial portion of the processing operations described herein.

【0016】 その後、矢印19が示すように、イオン注入プロセスが行なわれ、例としての
NMOS素子用の基板12内に、自己整合されてドープされた領域20を形成す
る。このドープされた領域20は、約200−1000Å(0.02−0.1μ
m)の範囲の厚さであってよい。注入プロセス19によって加えられるべきドー
パント原子は、構成中の特定の素子に依存して多様であってよい。たとえば、図
2に示された例としてのNMOS素子の場合、ドーパント原子はヒ素またはリン
を含んでよい。PMOS素子については、ドーパント材料はボロン等を含んでよ
い。NMOS素子のための、一例としての注入プロセスにおいて、イオン注入プ
ロセス19は、約1−10keVの範囲のエネルギーで注入された、約0.1−
1.0×1015/cm2(個)のリンイオンを含む。
Thereafter, as indicated by arrow 19, an ion implantation process is performed to form self-aligned, doped regions 20 in the substrate 12 for the exemplary NMOS device. This doped region 20 is approximately 200-1000 Å (0.02-0.1μ
It may have a thickness in the range m). The dopant atoms to be added by the implant process 19 may vary depending on the particular device in the configuration. For example, for the example NMOS device shown in FIG. 2, the dopant atoms may include arsenic or phosphorus. For PMOS devices, the dopant material may include boron or the like. In an exemplary implant process for an NMOS device, the ion implant process 19 is implanted at an energy in the range of about 1-10 keV, about 0.1-.
It contains 1.0 × 10 15 / cm 2 (pieces) of phosphorus ions.

【0017】 次に、図3が示すように、複数の側壁スペーサ22がゲートスタック17の側
面23に隣接して形成される。側壁スペーサ22は、たとえば、二酸化シリコン
、シリコンオキシナイトライド等のスペーサ材料の適切な層を、図3で示される
素子上に形成し、その後、異方性エッチングプロセスを行なうことにより、結果
的に側壁スペーサ22を生じるよう構成されてよい。なお、図3では1つの側壁
スペーサ22が示されているが、多数の側壁スペーサがゲートスタック17の側
面23の各々に隣接して形成され得ることにも注目されたい。
Next, as shown in FIG. 3, a plurality of sidewall spacers 22 are formed adjacent to the side surfaces 23 of the gate stack 17. The sidewall spacers 22 result from the formation of a suitable layer of spacer material, such as silicon dioxide, silicon oxynitride, on the device shown in FIG. 3, followed by an anisotropic etching process. It may be configured to produce sidewall spacers 22. Note that although one sidewall spacer 22 is shown in FIG. 3, multiple sidewall spacers may be formed adjacent each side 23 of the gate stack 17.

【0018】 次に、図4が示すように、底面27を予め選択された深さ25に有する複数の
凹み24が、ゲートスタック17に隣接または近接して基板12内に形成される
。図4に示される実施例では、凹み24は側壁スペーサ22の外側に形成される
。当然ながら、多数の側壁スペーサがゲートスタック17の側面23の各々に隣
接して形成される状況では、凹み24は最も外側の側壁スペーサの外側に形成さ
れてよい。凹み24はさまざまな技術で形成されてよい。たとえば、凹み24は
、異方性反応性イオンエッチングプロセスを行なうことによって形成されてよい
。このプロセスの間、図4が示すように、ゲート電極16Aが部分的に除去され
てもよい。凹み24の深さ25は設計選択事項として多様であってよい。一実施
例では、凹み24の深さ25は約500−1500Å(0.05−0.15μm
)の範囲である。凹み24を形成するプロセスの間、側壁スペーサ22を超えて
出ている、ドープされた領域20の一部またはすべてが除去されてよいことに注
目されたい。
Next, as shown in FIG. 4, a plurality of depressions 24 having a bottom surface 27 at a preselected depth 25 are formed in the substrate 12 adjacent or proximate to the gate stack 17. In the embodiment shown in FIG. 4, the recess 24 is formed outside the sidewall spacer 22. Of course, in situations in which multiple sidewall spacers are formed adjacent each side 23 of the gate stack 17, the recess 24 may be formed outside of the outermost sidewall spacers. The recess 24 may be formed by various techniques. For example, the recess 24 may be formed by performing an anisotropic reactive ion etching process. During this process, gate electrode 16A may be partially removed, as shown in FIG. The depth 25 of the recess 24 may vary as a matter of design choice. In one embodiment, the depth 25 of the recess 24 is about 500-1500Å (0.05-0.15 μm).
) Is the range. Note that during the process of forming the recess 24, some or all of the doped region 20 that extends beyond the sidewall spacer 22 may be removed.

【0019】 その後、図5が示すように、注入プロセス30を行なって凹み24の底面27
内にドーパント原子を注入し、それにより、凹み24によって規定された領域に
ドープされた領域28を形成する。ドープされた領域28は約200−800Å
(0.02−0.08μm)の範囲の厚さであってよい。選択された特定のドー
パント原子に加え、その原子の濃度は、関連する特定の技術に依存して、設計選
択事項として多様であってよい。たとえば、例としてのNMOS素子の場合、イ
オン注入プロセス30は、約5−15keVの範囲のエネルギーレベルで約0.
2−2.0×1014/cm2(個)のリンイオンの注入を含んでよい。
Thereafter, as shown in FIG. 5, an implantation process 30 is performed to form a bottom surface 27 of the recess 24.
Dopant atoms are implanted therein, thereby forming a doped region 28 in the region defined by the recess 24. The doped region 28 is approximately 200-800Å
It may have a thickness in the range of (0.02-0.08 μm). In addition to the particular dopant atom selected, the concentration of that atom may vary as a design choice, depending on the particular technology involved. For example, for the exemplary NMOS device, the ion implantation process 30 may have an energy level of about 0.
The implantation may include 2-2.0 × 10 14 / cm 2 (pieces) of phosphorus ions.

【0020】 図6に示されるように、次に、エピタキシャルシリコン領域32が基板12内
の凹み24内およびゲート電極16A上に形成される。エピタキシャルシリコン
領域32は、エピタキシャルシリコンが、たとえば、二酸化シリコンを含む側壁
スペーサ22上に形成されないよう選択的に形成されてよい。エピタキシャル領
域32の厚さは凹み24の深さ25とほぼ対応してよい。
As shown in FIG. 6, an epitaxial silicon region 32 is then formed in the recess 24 in the substrate 12 and on the gate electrode 16 A. Epitaxial silicon region 32 may be selectively formed such that epitaxial silicon is not formed on sidewall spacers 22 that include, for example, silicon dioxide. The thickness of the epitaxial region 32 may correspond approximately to the depth 25 of the recess 24.

【0021】 次に、図7が示すように、別のイオン注入プロセス38を素子上に行ない、凹
み24内に形成されたエピタキシャルシリコン領域32の少なくとも一部にドー
プされた領域33を形成する。当然ながら、ドーパント原子はエピタキシャル領
域32のみに限定されなくてもよい。他のイオン注入プロセスのように、注入プ
ロセスのドーパント材料、濃度、およびエネルギーレベルは設計選択事項として
多様であってよい。一実施例では、イオン注入プロセス38は約1−5×1015 /cm2(個)のヒ素イオンを含み、エネルギーレベルは約10−30keVの
範囲であってよい。この結果、図7が示すように、ドープされた領域33、ドー
プされた領域28、およびドープされた領域20を含むソース/ドレイン領域3
1が生じる。個別の3つのイオン注入プロセスを用い、ドープされた3つの領域
を含むソース/ドレイン領域を形成するプロセスフローの一例をこの明細書で説
明してきたが、この発明は、イオン注入ステップが殆どないこと、および/また
はドープされた領域がさらに少ないことを伴うプロセスフローにおいて用いられ
てよいことに注目されたい。
Next, as shown in FIG. 7, another ion implantation process 38 is performed on the device to form a doped region 33 in at least a portion of the epitaxial silicon region 32 formed in the recess 24. Of course, the dopant atoms need not be limited to the epitaxial region 32 only. As with other ion implantation processes, the dopant material, concentration, and energy level of the implantation process may vary as a design choice. In one embodiment, the ion implantation process 38 may include about 1-5 × 10 15 / cm 2 arsenic ions and the energy level may be in the range of about 10-30 keV. This results in source / drain regions 3 including doped regions 33, doped regions 28, and doped regions 20, as FIG. 7 shows.
1 occurs. Although an example of a process flow for forming a source / drain region including three doped regions using three separate ion implantation processes has been described herein, the present invention has few ion implantation steps. , And / or may be used in a process flow with less doped regions.

【0022】 その後、熱処理またはアニールプロセスを行ない、ドープされたさまざまな領
域20、28および33においてドーパント原子を活性化し、上述のさまざまな
イオン注入プロセスによる、シリコン格子構造に対するあらゆる損傷を修復する
。このアニールプロセスが行なわれた後、ドープされた領域20、28、および
33内のドーパント原子は追いやられるか、動かされる。このことによって生じ
た構造は、図8にほぼ示されている。たとえば、アニールプロセスの結果、ドー
プされた領域20の残存する部分の一部は、ゲートスタック17の側面23の僅
かに下へ追いやられる。加えて、ドープされた領域33の一部は側壁スペーサ2
2の下に追いやられてよい。この熱処理は、さまざまな技術、たとえば急速熱ア
ニールプロセス等によって行なわれてよい。一実施例において、熱処理は、約9
00−1200℃の範囲の温度で約10−30秒の範囲の時間、高速熱アニール
(「RTA」)プロセスを行なうことを含む。当然ながら、上述の1回のRTA
プロセスを行なう代わりに、多数のアニールステップを製造プロセスのさまざま
な段階で行なってよい。
A heat treatment or anneal process is then performed to activate the dopant atoms in the various doped regions 20, 28 and 33 to repair any damage to the silicon lattice structure due to the various ion implantation processes described above. After this anneal process is performed, the dopant atoms in doped regions 20, 28 and 33 are driven or moved. The resulting structure is generally shown in FIG. For example, as a result of the anneal process, some of the remaining portion of doped region 20 is driven slightly below side 23 of gate stack 17. In addition, some of the doped regions 33 are
You may be driven under 2. This heat treatment may be performed by various techniques, such as a rapid thermal anneal process. In one embodiment, the heat treatment is about 9
Including a rapid thermal anneal (“RTA”) process at a temperature in the range of 00-1200 ° C. for a time in the range of about 10-30 seconds. Of course, the above-mentioned one-time RTA
Instead of performing the process, multiple annealing steps may be performed at various stages of the manufacturing process.

【0023】 次に、図8および図9が示すように、従来のサリサイド処理を行ない、図7に
示される素子上に金属シリサイド領域を形成する。より特定的には、図8が示す
ように、高融点金属の層60を素子上に形成する。高融点金属層60はさまざま
な高融点金属、たとえば、コバルト、チタン等を含んでよく、さまざまな技術、
たとえば、堆積によって形成されてよい。一実施例では、高融点金属層60は、
堆積プロセスによって形成される約100Åのコバルトを含む。その後、従来の
サリサイド処理を行ない、高融点金属層60の部分はソース/ドレイン領域31
上に形成された金属シリサイド領域61とゲート電極16A上に形成された金属
シリサイド領域62とに転化される。金属シリサイド領域61および62は、ソ
ース/ドレイン領域33とゲート電極16Aとによりよい電気的接触をもたらす
Next, as shown in FIGS. 8 and 9, a conventional salicide process is performed to form a metal silicide region on the element shown in FIG. More specifically, as shown in FIG. 8, a layer 60 of refractory metal is formed on the device. Refractory metal layer 60 may include various refractory metals such as cobalt, titanium, etc.
For example, it may be formed by deposition. In one embodiment, the refractory metal layer 60 is
It contains about 100 liters of cobalt formed by the deposition process. After that, the conventional salicide treatment is performed, and the refractory metal layer 60 is covered with the source / drain regions 31.
It is converted into a metal silicide region 61 formed above and a metal silicide region 62 formed above the gate electrode 16A. The metal silicide regions 61 and 62 provide better electrical contact with the source / drain regions 33 and the gate electrode 16A.

【0024】 上に開示された特定の実施例は例示のみであり、この教示の恩恵を受ける当事
者にとっては明らかである、異なるが等価の態様により、この発明を変更し、実
施することができる。さらに、前掲の請求項で記載される以外は、ここに示され
る構成または設計の詳細にいかなる限定も意図されない。したがって、上に開示
された特定の実施例を変形または変更することができ、すべてこのような変形は
この発明の範囲および精神の中にあると考えられることは明らかである。したが
って、ここで求められる保護は、前掲の請求項に示されるものによる。
The particular embodiments disclosed above are illustrative only, and the invention can be modified and practiced in different, but equivalent, manners that will be apparent to those of ordinary skill in the art who have the benefit of this teaching. Furthermore, no limitations are intended to the details of construction or design herein shown, other than as described in the claims below. It is therefore evident that the particular embodiments disclosed above may be altered or modified and all such variations are considered within the scope and spirit of the invention. Accordingly, the protection sought herein is as set forth in the claims below.

【図面の簡単な説明】[Brief description of drawings]

【図1】 部分的に形成された半導体素子の断面図である。FIG. 1 is a cross-sectional view of a partially formed semiconductor device.

【図2】 図1の素子にパターニング動作を行ない、半導体基板上でゲート
スタックを規定したことを示す図である。
FIG. 2 is a diagram showing a patterning operation performed on the device of FIG. 1 to define a gate stack on a semiconductor substrate.

【図3】 図2に示された素子に、ゲートスタックに隣接して側壁スペーサ
を形成したことを示す断面図である。
FIG. 3 is a cross-sectional view showing that a sidewall spacer is formed adjacent to the gate stack in the device shown in FIG.

【図4】 図3に示された素子に、基板内において側壁スペーサに隣接して
凹みを形成したことを示す断面図である。
FIG. 4 is a cross-sectional view showing that the device shown in FIG. 3 is formed with a recess adjacent to a sidewall spacer in a substrate.

【図5】 図4に示された素子にイオン注入プロセスを行ない、凹みによっ
て規定された領域にドープされた領域を形成したことを示す断面図である。
5 is a cross-sectional view showing that the device shown in FIG. 4 was subjected to an ion implantation process to form a doped region in a region defined by a depression.

【図6】 図5に示された素子上に複数のエピタキシャルシリコン領域を形
成したことを示す断面図である。
6 is a cross-sectional view showing that a plurality of epitaxial silicon regions are formed on the device shown in FIG.

【図7】 図6に示された素子上にイオン注入プロセスを行なったことを示
す断面図である。
7 is a cross-sectional view showing that an ion implantation process is performed on the device shown in FIG.

【図8】 図7に示された素子上に高融点金属の層を形成したことを示す断
面図である。
8 is a cross-sectional view showing that a layer of refractory metal is formed on the device shown in FIG.

【図9】 図8に示された素子の高融点金属層の部分が金属シリサイド領域
に転化されたことを示す断面図である。
9 is a cross-sectional view showing that a portion of the refractory metal layer of the device shown in FIG. 8 has been converted into a metal silicide region.

【手続補正書】特許協力条約第34条補正の翻訳文提出書[Procedure for Amendment] Submission for translation of Article 34 Amendment of Patent Cooperation Treaty

【提出日】平成14年6月10日(2002.6.10)[Submission date] June 10, 2002 (2002.10)

【手続補正1】[Procedure Amendment 1]

【補正対象書類名】明細書[Document name to be amended] Statement

【補正対象項目名】特許請求の範囲[Name of item to be amended] Claims

【補正方法】変更[Correction method] Change

【補正の内容】[Contents of correction]

【特許請求の範囲】[Claims]

───────────────────────────────────────────────────── フロントページの続き Fターム(参考) 5F140 AA12 AA24 BA01 BA16 BD05 BE07 BE09 BE10 BF01 BF04 BG08 BG09 BG10 BG11 BG12 BG27 BG28 BG30 BG34 BG38 BG53 BH15 BH17 BJ08 BK02 BK09 BK13 BK18 BK21 BK34 CB04 CF04 CF07 ─────────────────────────────────────────────────── ─── Continued front page    F-term (reference) 5F140 AA12 AA24 BA01 BA16 BD05                       BE07 BE09 BE10 BF01 BF04                       BG08 BG09 BG10 BG11 BG12                       BG27 BG28 BG30 BG34 BG38                       BG53 BH15 BH17 BJ08 BK02                       BK09 BK13 BK18 BK21 BK34                       CB04 CF04 CF07

Claims (17)

【特許請求の範囲】[Claims] 【請求項1】 半導体素子にソース/ドレイン領域31を形成する方法であ
って、 半導体基板12の表面上にゲートスタック17を形成するステップを含み、前
記ゲートスタック17は複数の側壁23を有し、前記方法はさらに、 前記基板12内に、前記ゲートスタック17に隣接して凹み24を形成するス
テップを含み、前記凹み24は底面27を有し、前記方法はさらに、 前記凹み24の底面27内に第1のイオン注入プロセス30を行ない、第1の
ドープされた領域28を形成するステップと、 前記凹み24内にエピタキシャルシリコン32の層を形成するステップと、 第2のイオン注入プロセス38を行ない、前記凹み24内に形成された前記エ
ピタキシャルシリコン32の少なくとも一部内に第2のドープされた領域33を
形成するステップと、 前記第1および第2のドープされた領域をアニールするステップとを含む、方
法。
1. A method of forming source / drain regions 31 in a semiconductor device comprising the step of forming a gate stack 17 on a surface of a semiconductor substrate 12, said gate stack 17 having a plurality of sidewalls 23. The method further includes forming a recess 24 in the substrate 12 adjacent the gate stack 17, the recess 24 having a bottom surface 27, and the method further comprising a bottom surface 27 of the recess 24. Performing a first ion implantation process 30 therein to form a first doped region 28; forming a layer of epitaxial silicon 32 within the recess 24; and a second ion implantation process 38. And forming a second doped region 33 in at least a portion of the epitaxial silicon 32 formed in the recess 24. Steps and, and a step of annealing said first and second doped regions, how.
【請求項2】 少なくとも1つの側壁スペーサ22を前記ゲートスタック1
7の前記側壁23に隣接して形成するステップをさらに含む、請求項1に記載の
方法。
2. At least one sidewall spacer 22 is provided on the gate stack 1.
The method of claim 1, further comprising forming adjacent to the sidewall 23 of 7.
【請求項3】 前記基板12内に前記凹み24を形成する前に、前記基板1
2内に第3のドープされた領域20を形成するステップをさらに含み、前記第3
のドープされた領域20の一部は前記凹み24の形成によって除去される、請求
項1に記載の方法。
3. The substrate 1 prior to forming the recess 24 in the substrate 12.
Further comprising forming a third doped region 20 in the second
The method of claim 1, wherein a portion of the doped region 20 of is removed by the formation of the recess 24.
【請求項4】 半導体基板12の表面上にゲートスタック17を形成するス
テップは、パターニングされたポリシリコンの層を含むゲートスタック17を半
導体基板上に形成するステップを含み、前記ゲートスタック17は複数の側壁2
3を有する、請求項1に記載の方法。
4. The step of forming a gate stack 17 on the surface of the semiconductor substrate 12 includes the step of forming a gate stack 17 including a layer of patterned polysilicon on the semiconductor substrate, the gate stack 17 comprising a plurality of gate stacks. Side wall 2
The method of claim 1, having 3.
【請求項5】 前記基板12内に前記凹み24を形成する前に、前記基板1
2内に第3のドープされた領域20を形成するステップは、前記基板12内に前
記凹み24を形成する前に、約0.1−1.0×1015/cm2(個)のイオン
の範囲のドーパント濃度および約1−10keVの範囲のエネルギーレベルで第
3のイオン注入プロセス19を行ない、前記基板12内に第3のドープされた領
域20を形成するステップを含む、請求項3に記載の方法。
5. The substrate 1 prior to forming the recess 24 in the substrate 12.
Forming a third doped region 20 in the substrate 2 before forming the depressions 24 in the substrate 12 may include about 0.1-1.0 × 10 15 / cm 2 ions. A third ion implantation process 19 is performed at a dopant concentration in the range of 1 to 10 keV and an energy level in the range of about 1-10 keV to form a third doped region 20 in the substrate 12. The method described.
【請求項6】 前記基板12内に、前記ゲートスタック17に隣接する、底
面27を有する凹み24を形成するステップは、前記基板12内において、前記
ゲートスタック17に隣接する、底面27を有する凹み24をエッチングするス
テップを含む、請求項1に記載の方法。
6. The step of forming a recess 24 in the substrate 12 adjacent the gate stack 17 and having a bottom surface 27 comprises the step of forming a recess in the substrate 12 adjacent the gate stack 17 and having a bottom surface 27. The method of claim 1 including the step of etching 24.
【請求項7】 前記凹み24の底面27内に第1のイオン注入プロセス30
を行ない、第1のドープされた領域28を形成するステップは、約0.2−2.
0×1014/cm2(個)のイオンの範囲のドーパント濃度および約5−15k
eVの範囲のエネルギーレベルで前記凹み24の底面27内に第1のイオン注入
プロセスを行ない、第1のドープされた領域28を形成するステップを含む、請
求項1に記載の方法。
7. A first ion implantation process 30 within the bottom surface 27 of the recess 24.
And forming the first doped region 28 includes about 0.2-2.
Dopant concentration in the range of 0 × 10 14 / cm 2 ions and about 5-15 k
The method of claim 1 including the step of performing a first ion implantation process in the bottom surface 27 of the recess 24 to form a first doped region 28 at an energy level in the range of eV.
【請求項8】 前記凹み24内にエピタキシャルシリコン32の層を形成す
るステップは、前記凹み24内にエピタキシャルシリコン32の層を堆積するス
テップを含む、請求項1に記載の方法。
8. The method of claim 1, wherein the step of forming a layer of epitaxial silicon 32 in the recess 24 comprises depositing a layer of epitaxial silicon 32 in the recess 24.
【請求項9】 第2のイオン注入プロセス38を行ない、前記凹み24内に
形成された前記エピタキシャルシリコン32の少なくとも一部内に第2のドープ
された領域33を形成するステップは、約1−5×1015/cm2(個)のイオ
ンの範囲のドーパント濃度および約10−30keVの範囲のエネルギーレベル
で第2のイオン注入プロセス38を行ない、前記凹み24内に形成された前記エ
ピタキシャルシリコン32の少なくとも一部内に第2のドープされた領域33を
形成するステップを含む、請求項1に記載の方法。
9. The step of performing a second ion implantation process 38 to form a second doped region 33 in at least a portion of the epitaxial silicon 32 formed in the recess 24 is about 1-5. A second ion implantation process 38 was performed with a dopant concentration in the range of 10 15 ions / cm 2 (ions) and an energy level in the range of about 10-30 keV to remove the epitaxial silicon 32 formed in the recess 24. The method of claim 1, comprising forming a second doped region 33 within at least a portion.
【請求項10】 前記第1および第2のドープされた領域28,33をアニ
ールするステップは、1つの急速熱アニールプロセスを行なうステップを含む、
請求項1に記載の方法。
10. Annealing the first and second doped regions 28, 33 includes performing one rapid thermal anneal process.
The method of claim 1.
【請求項11】 前記第1および第2のドープされた領域28,33をアニ
ールするステップは、複数の急速熱アニールプロセスを行なうステップを含む、
請求項1に記載の方法。
11. The step of annealing the first and second doped regions 28, 33 comprises performing a plurality of rapid thermal anneal processes.
The method of claim 1.
【請求項12】 前記第1および第2のドープされた領域28,33をアニ
ールするステップは、約900−1200℃の範囲の温度で約10−30秒の範
囲の時間、1つの急速熱アニールプロセスを行なうステップを含む、請求項1に
記載の方法。
12. The step of annealing the first and second doped regions 28, 33 comprises one rapid thermal anneal at a temperature in the range of about 900-1200 ° C. for a time in the range of about 10-30 seconds. The method of claim 1 including the step of performing a process.
【請求項13】 半導体基板12上にゲートスタック17を形成するステッ
プを含み、前記ゲートスタック17は複数の側壁23を有し、さらに、 第1のイオン注入プロセス19を行ない、前記基板12内に第1のドープされ
た領域20を形成するステップと、 前記ゲートスタック17の前記側壁23に隣接して少なくとも1つの側壁スペ
ーサ22を形成するステップと、 前記基板12内に、前記ゲートスタック17に隣接して凹み24を形成するス
テップとを含み、前記凹み24は底面27を有し、さらに、 前記凹み24の底面27内に第2のイオン注入プロセス30を行ない、第2の
ドープされた領域28を形成するステップと、 少なくとも前記凹み24内にエピタキシャルシリコン32の層を形成するステ
ップと、 第3のイオン注入プロセス38を行ない、前記凹み24内に形成された前記エ
ピタキシャルシリコン38の少なくとも一部内に第3のドープされた領域33を
形成するステップと、 前記第1、第2、および第3のドープされた領域をアニールするステップとを
含む、方法。
13. A step of forming a gate stack 17 on a semiconductor substrate 12, said gate stack 17 having a plurality of sidewalls 23, and further performing a first ion implantation process 19 within said substrate 12. Forming a first doped region 20; forming at least one sidewall spacer 22 adjacent to the sidewall 23 of the gate stack 17; adjoining the gate stack 17 in the substrate 12. Forming a recess 24, the recess 24 having a bottom surface 27, and further performing a second ion implantation process 30 in the bottom surface 27 of the recess 24 to provide a second doped region 28. Forming a layer of epitaxial silicon 32 in at least the recess 24, and a third ion implantation Performing a process 38 to form a third doped region 33 in at least a portion of the epitaxial silicon 38 formed in the recess 24; and the first, second, and third doped regions 33. Annealing the region.
【請求項14】 第1のイオン注入プロセス19を行ない、前記基板12内
に第1のドープされた領域20を形成するステップは、約0.1−1.0×10 15 /cm2(個)のイオンの範囲のドーパント濃度および約1−10keVの範
囲のエネルギーレベルで第1のイオン注入プロセス19を行ない、前記基板12
内に第1のドープされた領域20を形成するステップを含む、請求項13に記載
の方法。
14. In the substrate 12, a first ion implantation process 19 is performed.
Forming the first doped region 20 at about 0.1-1.0 x 10 15 / Cm2Dopant concentration in the range of (number of) ions and a range of about 1-10 keV.
Performing a first ion implantation process 19 at an energy level of
14. The method of claim 13 including forming a first doped region 20 therein.
the method of.
【請求項15】 前記凹み24の底面27内に第2のイオン注入プロセス3
0を行ない、第2のドープされた領域28を形成するステップは、約0.2−2
.0×1014/cm2(個)のイオンの範囲のドーパント濃度および約5−15
keVの範囲のエネルギーレベルで前記凹み24の底面27内に第2のイオン注
入プロセス30を行ない、第2のドープされた領域28を形成するステップを含
む、請求項13に記載の方法。
15. A second ion implantation process 3 in the bottom surface 27 of the recess 24.
0 to form the second doped region 28 is about 0.2-2.
. Dopant concentration in the range of 0 × 10 14 / cm 2 ions and about 5-15
14. The method of claim 13 including the step of performing a second ion implantation process 30 in the bottom surface 27 of the recess 24 to form a second doped region 28 at an energy level in the keV range.
【請求項16】 第3のイオン注入プロセス38を行ない、前記凹み24内
に形成された前記エピタキシャルシリコン32の少なくとも一部内に第3のドー
プされた領域33を形成するステップは、約1−5×1015/cm2(個)のイ
オンの範囲のドーパント濃度および約10−30keVの範囲のエネルギーレベ
ルで第3のイオン注入プロセス38を行ない、前記凹み24内に形成された前記
エピタキシャルシリコン32の少なくとも一部内に第3のドープされた領域33
を形成するステップを含む、請求項14に記載の方法。
16. The step of performing a third ion implantation process 38 to form a third doped region 33 in at least a portion of the epitaxial silicon 32 formed in the recess 24 is about 1-5. A third ion implantation process 38 is performed with a dopant concentration in the range of 10 15 ions / cm 2 (ions) and an energy level in the range of about 10-30 keV to remove the epitaxial silicon 32 formed in the recess 24. Third doped region 33 at least in part
15. The method of claim 14 including the step of forming.
【請求項17】 前記第1、第2、および第3のドープされた領域をアニー
ルするステップは、約900−1200℃の範囲の温度で約10−30秒の範囲
の時間、1つの急速熱アニールプロセスを行なうステップを含む、請求項14に
記載の方法。
17. The step of annealing the first, second, and third doped regions comprises a rapid thermal process at a temperature in the range of about 900-1200 ° C. for a time in the range of about 10-30 seconds. 15. The method of claim 14 including the step of performing an annealing process.
JP2001567032A 2000-03-13 2001-01-16 Method for forming source / drain regions having deep junctions Expired - Lifetime JP4889901B2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/523,632 2000-03-13
US09/523,632 US6368926B1 (en) 2000-03-13 2000-03-13 Method of forming a semiconductor device with source/drain regions having a deep vertical junction
PCT/US2001/001450 WO2001069668A1 (en) 2000-03-13 2001-01-16 Method of manufacturing source/drain regions having a deep junction

Publications (3)

Publication Number Publication Date
JP2003526943A true JP2003526943A (en) 2003-09-09
JP2003526943A5 JP2003526943A5 (en) 2008-02-28
JP4889901B2 JP4889901B2 (en) 2012-03-07

Family

ID=24085775

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001567032A Expired - Lifetime JP4889901B2 (en) 2000-03-13 2001-01-16 Method for forming source / drain regions having deep junctions

Country Status (5)

Country Link
US (1) US6368926B1 (en)
EP (1) EP1264337B1 (en)
JP (1) JP4889901B2 (en)
KR (1) KR100687824B1 (en)
WO (1) WO2001069668A1 (en)

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6812103B2 (en) * 2002-06-20 2004-11-02 Micron Technology, Inc. Methods of fabricating a dielectric plug in MOSFETS to suppress short-channel effects
EP1540720A4 (en) * 2002-06-26 2007-09-26 Semequip Inc A semiconductor device and method of fabricating a semiconductor device
US7232729B1 (en) * 2003-05-06 2007-06-19 Spansion Llc Method for manufacturing a double bitline implant
KR100487564B1 (en) 2003-07-07 2005-05-03 삼성전자주식회사 Semiconductor device having elevated source/drain regions and method of fabricating the same
US20060030093A1 (en) * 2004-08-06 2006-02-09 Da Zhang Strained semiconductor devices and method for forming at least a portion thereof
US7026232B1 (en) * 2004-12-23 2006-04-11 Texas Instruments Incorporated Systems and methods for low leakage strained-channel transistor
US7335959B2 (en) * 2005-01-06 2008-02-26 Intel Corporation Device with stepped source/drain region profile
US7282415B2 (en) 2005-03-29 2007-10-16 Freescale Semiconductor, Inc. Method for making a semiconductor device with strain enhancement
KR100647457B1 (en) * 2005-12-09 2006-11-23 한국전자통신연구원 A semiconductor device and a method for manufacturing the same
KR100806139B1 (en) * 2005-12-28 2008-02-22 주식회사 하이닉스반도체 Method for manufacturing semiconductor device using plasma doping
US7342266B2 (en) * 2006-01-09 2008-03-11 International Business Machines Corporation Field effect transistors with dielectric source drain halo regions and reduced miller capacitance
WO2007115585A1 (en) 2006-04-11 2007-10-18 Freescale Semiconductor, Inc. Method of forming a semiconductor device and semiconductor device
DE102006019937B4 (en) * 2006-04-28 2010-11-25 Advanced Micro Devices, Inc., Sunnyvale Method for producing an SOI transistor with embedded deformation layer and a reduced effect of the potential-free body
US7569896B2 (en) * 2006-05-22 2009-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with stressed channels
US7541239B2 (en) * 2006-06-30 2009-06-02 Intel Corporation Selective spacer formation on transistors of different classes on the same device
US7364957B2 (en) * 2006-07-20 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for semiconductor device with improved source/drain junctions
US8043919B2 (en) * 2007-11-12 2011-10-25 United Microelectronics Corp. Method of fabricating semiconductor device
US7989297B2 (en) * 2009-11-09 2011-08-02 International Business Machines Corporation Asymmetric epitaxy and application thereof
US8466502B2 (en) 2011-03-24 2013-06-18 United Microelectronics Corp. Metal-gate CMOS device
US8445363B2 (en) 2011-04-21 2013-05-21 United Microelectronics Corp. Method of fabricating an epitaxial layer
US8324059B2 (en) 2011-04-25 2012-12-04 United Microelectronics Corp. Method of fabricating a semiconductor structure
US8426284B2 (en) 2011-05-11 2013-04-23 United Microelectronics Corp. Manufacturing method for semiconductor structure
US8481391B2 (en) 2011-05-18 2013-07-09 United Microelectronics Corp. Process for manufacturing stress-providing structure and semiconductor device with such stress-providing structure
US8431460B2 (en) 2011-05-27 2013-04-30 United Microelectronics Corp. Method for fabricating semiconductor device
US8716750B2 (en) 2011-07-25 2014-05-06 United Microelectronics Corp. Semiconductor device having epitaxial structures
US8575043B2 (en) 2011-07-26 2013-11-05 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8647941B2 (en) 2011-08-17 2014-02-11 United Microelectronics Corp. Method of forming semiconductor device
US8674433B2 (en) 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
US8476169B2 (en) 2011-10-17 2013-07-02 United Microelectronics Corp. Method of making strained silicon channel semiconductor structure
US8691659B2 (en) 2011-10-26 2014-04-08 United Microelectronics Corp. Method for forming void-free dielectric layer
US8754448B2 (en) 2011-11-01 2014-06-17 United Microelectronics Corp. Semiconductor device having epitaxial layer
US8647953B2 (en) 2011-11-17 2014-02-11 United Microelectronics Corp. Method for fabricating first and second epitaxial cap layers
US8709930B2 (en) 2011-11-25 2014-04-29 United Microelectronics Corp. Semiconductor process
US9136348B2 (en) 2012-03-12 2015-09-15 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US9202914B2 (en) 2012-03-14 2015-12-01 United Microelectronics Corporation Semiconductor device and method for fabricating the same
US8664069B2 (en) 2012-04-05 2014-03-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8866230B2 (en) 2012-04-26 2014-10-21 United Microelectronics Corp. Semiconductor devices
US8835243B2 (en) 2012-05-04 2014-09-16 United Microelectronics Corp. Semiconductor process
US8951876B2 (en) 2012-06-20 2015-02-10 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8796695B2 (en) 2012-06-22 2014-08-05 United Microelectronics Corp. Multi-gate field-effect transistor and process thereof
US8710632B2 (en) 2012-09-07 2014-04-29 United Microelectronics Corp. Compound semiconductor epitaxial structure and method for fabricating the same
US9117925B2 (en) 2013-01-31 2015-08-25 United Microelectronics Corp. Epitaxial process
US8753902B1 (en) 2013-03-13 2014-06-17 United Microelectronics Corp. Method of controlling etching process for forming epitaxial structure
US9034705B2 (en) 2013-03-26 2015-05-19 United Microelectronics Corp. Method of forming semiconductor device
US9064893B2 (en) 2013-05-13 2015-06-23 United Microelectronics Corp. Gradient dopant of strained substrate manufacturing method of semiconductor device
US9076652B2 (en) 2013-05-27 2015-07-07 United Microelectronics Corp. Semiconductor process for modifying shape of recess
US8853060B1 (en) 2013-05-27 2014-10-07 United Microelectronics Corp. Epitaxial process
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
US8895396B1 (en) 2013-07-11 2014-11-25 United Microelectronics Corp. Epitaxial Process of forming stress inducing epitaxial layers in source and drain regions of PMOS and NMOS structures
US8981487B2 (en) 2013-07-31 2015-03-17 United Microelectronics Corp. Fin-shaped field-effect transistor (FinFET)
US10546943B2 (en) * 2018-04-24 2020-01-28 Globalfoundries Inc. Methods, apparatus, and system for reducing leakage current in semiconductor devices
CN110634743B (en) * 2018-06-25 2023-06-09 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
KR102534288B1 (en) * 2021-04-14 2023-05-17 연세대학교 산학협력단 Semiconductor device using selective doping and method of fabricating the same

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0344077A (en) * 1989-07-11 1991-02-25 Seiko Instr Inc Manufacture of semiconductor device
JPH03156976A (en) * 1989-11-15 1991-07-04 Nec Corp Semiconductor and manufacture thereof
JPH08340108A (en) * 1995-06-13 1996-12-24 Sanyo Electric Co Ltd Mos field effect transistor and manufacture thereof
JPH11135786A (en) * 1997-10-31 1999-05-21 Sanyo Electric Co Ltd Manufacture of semiconductor device
US5908313A (en) * 1996-12-31 1999-06-01 Intel Corporation Method of forming a transistor
JPH11238883A (en) * 1998-02-04 1999-08-31 Lg Semicon Co Ltd Semiconductor element and manufacture thereof
JP2001274394A (en) * 2000-02-29 2001-10-05 Internatl Business Mach Corp <Ibm> Device with vertically isolated source/drain and manufacturing method thereof

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2929291B2 (en) 1986-12-04 1999-08-03 セイコーインスツルメンツ株式会社 Method of manufacturing insulated gate field effect transistor
US6159815A (en) 1996-09-27 2000-12-12 Siemens Aktiengesellschaft Method of producing a MOS transistor
US6303448B1 (en) * 1998-11-05 2001-10-16 Taiwan Semiconductor Manufacturing Company Method for fabricating raised source/drain structures
US6162691A (en) * 1999-03-29 2000-12-19 Taiwan Semiconductor Manufacturing Company Method for forming a MOSFET with raised source and drain, saliciding, and removing upper portion of gate spacers if bridging occurs

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0344077A (en) * 1989-07-11 1991-02-25 Seiko Instr Inc Manufacture of semiconductor device
JPH03156976A (en) * 1989-11-15 1991-07-04 Nec Corp Semiconductor and manufacture thereof
JPH08340108A (en) * 1995-06-13 1996-12-24 Sanyo Electric Co Ltd Mos field effect transistor and manufacture thereof
US5908313A (en) * 1996-12-31 1999-06-01 Intel Corporation Method of forming a transistor
JPH11135786A (en) * 1997-10-31 1999-05-21 Sanyo Electric Co Ltd Manufacture of semiconductor device
JPH11238883A (en) * 1998-02-04 1999-08-31 Lg Semicon Co Ltd Semiconductor element and manufacture thereof
JP2001274394A (en) * 2000-02-29 2001-10-05 Internatl Business Mach Corp <Ibm> Device with vertically isolated source/drain and manufacturing method thereof

Also Published As

Publication number Publication date
JP4889901B2 (en) 2012-03-07
US6368926B1 (en) 2002-04-09
KR20020081441A (en) 2002-10-26
WO2001069668A1 (en) 2001-09-20
EP1264337B1 (en) 2012-07-25
KR100687824B1 (en) 2007-02-28
EP1264337A1 (en) 2002-12-11

Similar Documents

Publication Publication Date Title
JP4889901B2 (en) Method for forming source / drain regions having deep junctions
US10043902B2 (en) Semiconductor devices with shaped portions of elevated source/drain regions
US6184097B1 (en) Process for forming ultra-shallow source/drain extensions
US7358167B2 (en) Implantation process in semiconductor fabrication
US20070184600A1 (en) Stressed-channel CMOS transistors
US20070275532A1 (en) Optimized deep source/drain junctions with thin poly gate in a field effect transistor
JP2008053740A (en) Novel transistor having extremely thin end, and manufacturing method thereof
US6696729B2 (en) Semiconductor device having diffusion regions with different junction depths
US6218250B1 (en) Method and apparatus for minimizing parasitic resistance of semiconductor devices
JP2011023700A (en) Field effect transistor and method of manufacturing the same
US7189644B2 (en) CMOS device integration for low external resistance
US6274446B1 (en) Method for fabricating abrupt source/drain extensions with controllable gate electrode overlap
US6767835B1 (en) Method of making a shaped gate electrode structure, and device comprising same
US20020137268A1 (en) Method of forming silicide contacts and device incorporation same
JPH0645343A (en) Semiconductor device provided with borosilicate glass spacer and its manufacture
US20060014351A1 (en) Low leakage MOS transistor
US6294433B1 (en) Gate re-masking for deeper source/drain co-implantation processes
US6406964B1 (en) Method of controlling junction recesses in a semiconductor device
US20040209454A1 (en) Method of fabricating local interconnection using selective epitaxial growth
CN104078427B (en) SRAM (Static Random Access Memory) and preparation method thereof
US7649226B2 (en) Source and drain structures and manufacturing methods
KR100620235B1 (en) Method for manufacturing Ti-silicide
US20040238858A1 (en) Semiconductor device and a method of manufacturing the same
JP2005039184A (en) Method for manufacturing semiconductor device
JP2003031683A (en) Semiconductor device and its manufacturing method

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080109

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080109

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110804

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110809

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111108

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111206

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111214

R150 Certificate of patent or registration of utility model

Ref document number: 4889901

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141222

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term