KR100620235B1 - Method for manufacturing Ti-silicide - Google Patents

Method for manufacturing Ti-silicide Download PDF

Info

Publication number
KR100620235B1
KR100620235B1 KR1020040115501A KR20040115501A KR100620235B1 KR 100620235 B1 KR100620235 B1 KR 100620235B1 KR 1020040115501 A KR1020040115501 A KR 1020040115501A KR 20040115501 A KR20040115501 A KR 20040115501A KR 100620235 B1 KR100620235 B1 KR 100620235B1
Authority
KR
South Korea
Prior art keywords
silicide
layer
amorphous silicon
annealing
forming
Prior art date
Application number
KR1020040115501A
Other languages
Korean (ko)
Other versions
KR20060076883A (en
Inventor
강성원
Original Assignee
동부일렉트로닉스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동부일렉트로닉스 주식회사 filed Critical 동부일렉트로닉스 주식회사
Priority to KR1020040115501A priority Critical patent/KR100620235B1/en
Publication of KR20060076883A publication Critical patent/KR20060076883A/en
Application granted granted Critical
Publication of KR100620235B1 publication Critical patent/KR100620235B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes

Abstract

본 발명은 타이타늄 실리사이드 제조 방법에 관한 것으로, 보다 자세하게는 반도체 기판 상에 게이트 전극과 스페이서를 형성하는 단계, 상기 구조 상에 Ti을 스퍼터링 공정을 실시하고, Ti 층 형성 후 Mo 이온 주입하고, 어닐링하는 단계, 상기 Ti 층 상에 비결정질 실리콘 층을 증착 하는 단계, 상기 비결정질 실리콘층 상에 TEOS 막을 증착하고, 화학기계적연마 공정을 실시하는 단계, BOE를 이용한 습식 식각을 이용하여 에치 백을 실시하는 단계, 게이트 앞쪽의 비결정질 실리콘층을 제거하는 단계, 실리콘 서브 영역의 비결정질 실리콘 층을 제외한 비결정질층을 습식 식각하는 단계, 잔여 TEOS 막을 제거 하고, 1차 어닐링으로 Ti 실리사이드를 형성하는 단계로 이루어짐에 기술적 특징이 있다.The present invention relates to a method for manufacturing titanium silicide, and more particularly, forming a gate electrode and a spacer on a semiconductor substrate, performing a sputtering process of Ti on the structure, implanting Mo ions after forming a Ti layer, and annealing. Depositing an amorphous silicon layer on the Ti layer, depositing a TEOS film on the amorphous silicon layer, performing a chemical mechanical polishing process, performing an etch back using wet etching using BOE, The technical features include removing the amorphous silicon layer in front of the gate, wet etching the amorphous layer except the amorphous silicon layer in the silicon subregion, removing the remaining TEOS film, and forming Ti silicide by primary annealing. have.

따라서, 본 발명의 타이타늄 실리사이드 제조 방법은 Ti 실시사이드의 C54상으로 쉽게 상전환 시킬 수 있는 Mo 이온 주입과 저온에서 1차 어닐링만을 실시하여 저항이 낮은 Ti 실리사이드를 형성하여 C54상을 쉽게 형성할 수 있고, Ti 실리사이드층과 소스/드레인 접합간 간격이 큼으로써 접합 누수가 작다. 또한 낮은 온도의 어닐링을 통한 열 손실(thermal budget)을 감소하여 공정 마진이 증가하고, 효과적인 C54상 형성으로 인하여 선폭 감소에 따른 저항증가를 완화하는 효과가 있다.Therefore, the titanium silicide manufacturing method of the present invention can easily form a C54 phase by forming Ti silicide having a low resistance by only performing primary annealing at low temperature and Mo ion implantation which can be easily phase-shifted to the C54 phase of the Ti embodiment. And the junction leakage is small due to the large gap between the Ti silicide layer and the source / drain junction. In addition, process margins are increased by reducing the thermal budget through low temperature annealing, and the increase in resistance due to the reduction of the line width is reduced due to the effective C54 phase formation.

실리사이드, PALSilicide, PAL

Description

타이타늄 실리사이드 제조 방법{Method for manufacturing Ti-silicide} Titanium silicide manufacturing method {Method for manufacturing Ti-silicide}             

도 1a 내지 1d는 종래 기술에 의한 타이타늄 실리사이드 제조 방법을 나타낸 단면도.1A to 1D are cross-sectional views showing a method for preparing titanium silicide according to the prior art.

도 2a 내지 도 2e는 본 발명에 의한 타이타늄 실리사이드 제조 방법을 나타낸 단면도.Figure 2a to 2e is a cross-sectional view showing a method for producing titanium silicide according to the present invention.

본 발명은 타이타늄 실리사이드 제조 방법에 관한 것으로, 보다 자세하게는 Ti 실시사이드의 C54상으로 쉽게 상전환 시킬 수 있는 Mo 이온 주입과 저온에서 1차 어닐링만을 실시하여 저항이 낮은 Ti 실리사이드를 형성하여, C54상을 쉽게 형성할 수 있고, Ti 실리사이드층과 소스/드레인 접합간 간격이 큼으로써 접합 누설이 작다. 또한 낮은 온도의 어닐링을 통한 열 손실(thermal budget)을 감소하여 공정 마진이 증가에 관한 것이다.The present invention relates to a method for preparing titanium silicide, and more particularly, to form Ti silicide having low resistance by performing Mo ion implantation, which can be easily phase-converted to the C54 phase of the Ti embodiment, and primary annealing at a low temperature, thereby forming a C54 phase. Can be easily formed, and the junction leakage is small due to the large gap between the Ti silicide layer and the source / drain junction. It also relates to increased process margins by reducing the thermal budget through low temperature annealing.

반도체장치가 고집적화, 고성능화 및 저전압화됨에 따라, 미세패턴 형성을 통한 트랜지스터 및 셀 게이트 길이의 감소와 소자 특성을 향상시키기 위해 저저항게이트 물질이 요구되고 있으며, 저전압화에 따른 트랜지스터 및 셀의 채널 전류를 증가시키기 위해 게이트산화막의 두께가 점차 감소되고 있다. As semiconductor devices become more integrated, higher in performance, and lower in voltage, low resistance gate materials are required to reduce transistor and cell gate lengths and improve device characteristics through the formation of fine patterns, and channel currents of transistors and cells due to lower voltage are increased. In order to increase the thickness of the gate oxide film is gradually reduced.

또한, 트랜지스터의 게이트길이의 감소로 인한 쇼트-채널 효과(short channel effect)의 방지 및 펀치스루우(punchthrough)에 대한 마진 확보를 위해, 소오스/드레인 영역의 접합깊이(junction depth)를 얕게 형성하여야 하며, 동시에 소오스/드레인 영역의 기생저항, 예컨대 면저항 및 접촉저항을 감소시켜야 한다.In addition, the junction depth of the source / drain regions should be shallow in order to prevent short channel effects due to the reduction of the gate length of the transistor and to secure a margin for punchthrough. At the same time, the parasitic resistance of the source / drain regions, such as sheet resistance and contact resistance, must be reduced.

이에 따라, 게이트전극 및 소오스/드레인 영역의 표면에 실리사이드(silicide)층을 형성함으로써, 게이트전극의 비저항 및 소오스/드레인 영역의 면저항과 접촉저항을 감소시키는 실리사이드 공정에 대한 연구가 진행되고 있다. 살리사이드 공정이란, 게이트 및 소오스/드레인 영역에만 선택적으로 타이타늄(Ti)-실리사이드(TiSix) 등의 실리사이드 물질을 형성하는 방법이다.Accordingly, studies have been made on silicide processes for reducing the resistivity of the gate electrode and the sheet resistance and contact resistance of the source / drain regions by forming a silicide layer on the surfaces of the gate electrode and the source / drain regions. The salicide process is a method of selectively forming a silicide material such as titanium (Ti) -silicide (TiSix) only in the gate and source / drain regions.

도 1a 내지 1d는 종래 기술에 의한 타이타늄 실리사이드 제조 방법을 나타낸 단면도이다.1A to 1D are cross-sectional views showing a method for preparing titanium silicide according to the prior art.

먼저, 도 1a에 도시된 바와 같이 반도체 기판(11)에 공지된 STI(Shallow Trench Isolation) 기술로 소자간 분리를 한 후, 그 위에 게이트용 도전물질, 예컨대 다결정실리콘을 증착하고 이를 사진식각 공정으로 패터닝하여 게이트전극(103)을 형성한다. First, as shown in FIG. 1A, the semiconductor substrate 11 is separated from each other by a well-known shallow trench isolation (STI) technique. Then, a conductive material for a gate, such as polycrystalline silicon, is deposited thereon, and the photolithography process is performed. The gate electrode 103 is formed by patterning.

다음, 상기 게이트전극(103) 상에, N-채널 모스 트랜지스터의 경우 P형 불순물, 예컨대 보론 이온을 저농도로 주입함으로써 상기 게이트전극(103)에 자기정합 되는 저농도의 소오스/드레인 영역(도시되지 않음)을 형성한다.Next, a low concentration source / drain region (not shown) self-aligned to the gate electrode 103 by implanting P-type impurities such as boron ions at a low concentration in the case of an N-channel MOS transistor on the gate electrode 103. ).

다음, 상기 전면에 절연물질로, 예컨대 실리콘 질화물을 증착한 후 이를 이방성 식각함으로써 상기 게이트전극(103)의 측벽에 스페이서(102)를 형성한다. 상기 공정 후 이온을 고농도로 주입함으로써 상기 스페이서(102)에 자기정합되는 고농도의 소오스/드레인 영역(도시되지 않음)을 형성한다. 상기한 공정의 결과로, LDD(Lightly Doped Drain) 구조의 소오스/드레인이 형성된다. Next, a spacer 102 is formed on the sidewall of the gate electrode 103 by depositing silicon nitride with an insulating material on the front surface and then anisotropically etching the silicon nitride. By implanting ions at a high concentration after the process, a high concentration source / drain region (not shown) is self-aligned to the spacer 102. As a result of the above process, a source / drain of a lightly doped drain (LDD) structure is formed.

다음, 타이타늄을 사용하여 실리사이드를 형성시키기 위해서는 타이타늄 증착전 반도체 기판(101) 전면에 비화물 이온을 주입하는 비정질 이온주입 전처리(Pre Amorphization Implant:PAI) 공정을 한다. Next, in order to form silicide using titanium, an amorphous ion implantation pretreatment (PAI) process is performed to inject arsenide ions onto the entire surface of the semiconductor substrate 101 before titanium deposition.

비정질 이온주입 전처리 공정은 드레인/소스 영역과 게이트의 표면을 비정질화(Amorphizing) 시켜 드레인/소스 영역과 게이트에 그레인 바운더리 인터섹션(Grain Boundary Intersection) 지역을 증가시켜 실리사이드 형성이 용이하도록 하기 위함이다.The amorphous ion implantation pretreatment process is used to amorphize the surface of the drain / source region and the gate to increase grain boundary intersection regions in the drain / source region and the gate to facilitate silicide formation.

다음, 도 1b에 도시된 바와 같이 게이트 전극(103)과 소오스/드레인 영역에 자성을 가지는 전이 금속막을 플라즈마를 이용한 PVD(Plasma Vapor Deposition) 또는 CVD(Chemical vapor deposition)에 의해 형성된다. 상기 전이 금속막은 Ti을 사용한다, 그러나 Co, Ni 그리고 그와 유사한 것으로 이루어진 그룹 중 선택 가능하다.Next, as shown in FIG. 1B, a transition metal film having magnetic properties in the gate electrode 103 and the source / drain regions is formed by plasma vapor deposition (PVD) or chemical vapor deposition (CVD) using plasma. The transition metal film uses Ti, but may be selected from the group consisting of Co, Ni and the like.

다음, Ti 실리사이드막(104)이 상기 폴리실리콘 게이트(103)와 상기 소스/드레인 영역과 상기 전이 금속막의 반응에 의해서 상기 폴리실리콘 게이트(103) 및 상기 소스/드레인 영역 모두의 상부에 형성될 수 있도록 열처리가 수행된다.Next, a Ti silicide layer 104 may be formed on both the polysilicon gate 103 and the source / drain region by reaction of the polysilicon gate 103, the source / drain region, and the transition metal film. Heat treatment is performed.

이 실시예에 있어서, 상기 열처리는 두 가지의 연속적인 단계들 즉, 저온 RAT(rapid thermal annealing) 및 고온 열처리에 의해서 수행된다. 상기 실리사이드층(104)을 형성한 후, 상기 전이 금속막의 미반응 부분들은 선택적으로 제거된다.In this embodiment, the heat treatment is performed by two successive steps, low temperature rapid thermal annealing (RAT) and high temperature thermal treatment. After forming the silicide layer 104, the unreacted portions of the transition metal film are selectively removed.

다음, 도 1c에 도시된 바와 같이 암모니아, 질소, 아르곤 중 어느 하나를 이용한 가스 분위기에서 2차 열처리 공정을 실시하여 상기 소스/드레인 영역이 형성된 반도체 기판(101) 및 게이트 전극(103)의 실리콘과 상기 Ti막의 Ti을 반응시키어 TiSi2 실리사이드막(104)을 형성한다.Next, as shown in FIG. 1C, a second heat treatment process is performed in a gas atmosphere using one of ammonia, nitrogen, and argon, and the silicon of the semiconductor substrate 101 and the gate electrode 103 on which the source / drain regions are formed. Ti in the Ti film is reacted to form a TiSi 2 silicide film 104.

상기 Ti 실리사이드 형성은 2단계에 걸쳐 어닐링을 실시한다. 즉 1차는 저온에서 어닐링을 720℃에서 실시하고 반응하지 않은 Ti을 습식 세정(wet cleaning)으로 제거한다(1차 어닐링에 의해 형성되는 화학구조 TiSi2 (C49상), 2차는 다소 고온인 825℃으로 어닐링하여 저항이 낮은 C54상의 TiSi2이 형성된다. The Ti silicide formation is annealed in two steps. That is primary is to remove the Ti is not conducted, and the reaction of the annealing at a low temperature at 720 ℃ a wet cleaning (wet cleaning) (the chemical structure of TiSi 2 (C49 formed by primary annealing), 2 car rather high temperature of 825 ℃ Annealing with TiO 2 forms a C54 phase with low resistance.

격자구조가 C54상의 TiSi2 로 보다 잘 형성시키기 위해 Ti 스퍼터링(sputtering)전에 PAI 공정을 실시하여 실리콘 기판을 무결정형층(amorphous layer)을 형성시킨다. In order to better form the lattice structure with TiSi 2 on C54, a silicon substrate is formed into an amorphous layer by performing a PAI process before Ti sputtering.

그러나, PAI 를 하여도 저항이 낮은 C54으로 완전히 상변화가 발생되지 않으며, 보다 높은 온도로 어닐링하면 Ti 실리사이드의 응집(agglomeration) 문제로 저항이 급격히 증가하는 문제를 안고 있다. However, even with PAI, phase change does not occur completely with low C54 resistance, and when annealing at a higher temperature, the resistance rapidly increases due to agglomeration of Ti silicide.

또한, 실리사이드의 어닐링을 2단계에 걸쳐 이루어지므로 비용이 증가되고, 반도체 소자의 급격한 디자인 룰(degine rule)에 의한 선폭 감소에 따라 실리사이드의 저항이 증가된다. 상기 2단계의 어닐링 중 2번째 단계의 온도가 높아 열 균형(thermal budget)으로 인해 이전 공정의 접합 깊이(juction depth) 증가 등의 공정 문제가 발생한다.In addition, since the annealing of the silicide is performed in two stages, the cost is increased, and the resistance of the silicide is increased as the line width is reduced by the sudden design rule of the semiconductor device. The high temperature of the second step during the second step of annealing causes a process problem such as an increase in the junction depth of the previous process due to the thermal budget.

따라서, 본 발명은 상기와 같은 종래 기술의 제반 단점과 문제점을 해결하기 위한 것으로, Ti 실리사이드의 C54상으로 쉽게 상전환 시킬 수 있는 Mo 이온 주입과 저온에서 1차 어닐링만을 실시하여 저항이 낮은 Ti 실리사이드를 형성하여, C54상을 쉽게 형성할 수 있고, Ti 실리사이드층과 소스/드레인 접합간 간격이 큼으로써 접합 누설이 작다. 또한 낮은 온도의 어닐링을 통한 열 손실을 감소하여 공정 마진이 증가를 제공함에 본 발명의 목적이 있다.Accordingly, the present invention is to solve the above-mentioned disadvantages and problems of the prior art, the Ti silicide is low resistance by performing only the first annealing at low temperature and Mo ion implantation that can be easily phase-shifted to the C54 phase of Ti silicide. The C54 phase can be easily formed, and the junction leakage is small due to the large gap between the Ti silicide layer and the source / drain junction. It is also an object of the present invention to reduce heat loss through annealing at lower temperatures to provide an increase in process margins.

layer

본 발명의 상기 목적은 반도체 기판 상에 게이트 전극과 스페이서를 형성하는 단계, 상기 구조 상에 Ti층을 스퍼터링 공정을 실시하고, Ti 층 형성 후 Mo 이온 주입하고, 어닐링하는 단계, 상기 Ti 층 상에 비결정질 실리콘 층을 증착 하는 단계, 상기 비결정질 실리콘층 상에 TEOS 막을 증착하고, 화학기계적연마 공정을 실시하는 단계, BOE를 이용한 습식 식각을 이용하여 에치 백을 실시하는 단계, 게 이트 앞쪽의 비결정질 실리콘층을 제거하는 단계, 실리콘 서브 영역의 비결정질 실리콘 층을 제외한 비결정질층을 습식 식각하는 단계, 잔여 TEOS 막을 제거 하고, 1차 어닐링으로 Ti 실리사이드를 형성하는 단계로 이루어진 타이타늄 실리사이드 제조 방법에 의해 달성된다.The above object of the present invention is to form a gate electrode and a spacer on a semiconductor substrate, to perform a sputtering process of the Ti layer on the structure, and after the Ti layer formation Mo ion implantation, annealing, on the Ti layer Depositing an amorphous silicon layer, depositing a TEOS film on the amorphous silicon layer, performing a chemical mechanical polishing process, performing an etch back using wet etching using BOE, an amorphous silicon layer in front of the gate It is achieved by a method of manufacturing a titanium silicide comprising the steps of: removing the wet, wet etching the amorphous layer except the amorphous silicon layer of the silicon sub-region, removing the remaining TEOS film, and forming Ti silicide by primary annealing.

본 발명의 상기 목적과 기술적 구성 및 그에 따른 작용효과에 관한 자세한 사항은 본 발명의 바람직한 실시예를 도시하고 있는 도면을 참조한 이하 상세한 설명에 의해 보다 명확하게 이해될 것이다.Details of the above object and technical configuration of the present invention and the effects thereof according to the present invention will be more clearly understood by the following detailed description with reference to the drawings showing preferred embodiments of the present invention.

트랜지스터의 성능은 트랜지스터의 속도, 구동전류(Drive Current) 및 누설전류(Leakage Current)와 밀접한 관계가 있으며, 트랜지스터의 성능을 좋게하기 위해서 트랜지스터의 속도 및 구동전류는 커야하고, 누설전류는 작아야 한다.The performance of the transistor is closely related to the speed, drive current, and leakage current of the transistor. In order to improve the performance of the transistor, the speed and driving current of the transistor must be large and the leakage current must be small.

트랜지스터의 속도와 구동전류를 증가시키고, 누설전류를 작게 하기 위해서는 트랜지스터의 소스 및 드레인의 저항, 트랜지스터의 게이트의 저항 및 콘택 저항들의 저항값을 작게 만들어야 한다.In order to increase the speed and driving current of the transistor and to reduce the leakage current, the resistance of the source and drain of the transistor, the resistance of the gate of the transistor, and the contact resistors must be made small.

트랜지스터의 소스 및 드레인의 저항, 트랜지스터의 게이트의 저항 및 콘택저항들의 저항값을 작게 만들기 위해 드레인/소스의 계면 및 게이트의 계면을 실리사이드화하는 실리사이드 공정을 사용한다.A silicide process is used to silicide the interface of the drain / source and the interface of the gate to reduce the resistance of the source and drain of the transistor, the resistance of the gate of the transistor, and the resistance of the contact resistors.

도 2a 내지 도 2e는 본 발명에 의한 실리사이드 제조 방법을 나타낸 단면도이다.2A to 2E are cross-sectional views showing a silicide manufacturing method according to the present invention.

먼저, 도 2a에 도시된 바와 같이 한다. 반도체 기판(201) 상에 게이트 전극(203)과 스페이서(202)가 형성된 게이트 전극(203) 구조 상에 Ti을 스퍼터링 공정 을 실시한다. Ti 층(204) 형성 후 Mo 이온 주입 공정을 실시한다. 상기 이온 주입된 Mo 활성화 시키기 위한 어닐링 공정은 600℃ 내지 650℃에서 30초 내지 40초 동안 진행한다.First, as shown in Figure 2a. Ti is sputtered on the structure of the gate electrode 203 in which the gate electrode 203 and the spacer 202 are formed on the semiconductor substrate 201. After the Ti layer 204 is formed, a Mo ion implantation process is performed. The annealing process for activating the ion implanted Mo is performed for 30 seconds to 40 seconds at 600 ℃ to 650 ℃.

다음, 도 2b에 도시된 바와 같이 상기 Ti 층(204) 상에 비결정질 실리콘 층(205)을 증착한다. 상기 비결정질 실리콘 층은 실리콘 서브로의 Ti 실리사이드 두께를 감소시키고 위쪽으로 실리사이드 두께를 증가시켜 콘택 저항을 낮추고 소스/드레인 접합 누수(junction leakage)를 줄여준다.Next, an amorphous silicon layer 205 is deposited on the Ti layer 204 as shown in FIG. 2B. The amorphous silicon layer reduces the Ti silicide thickness to the silicon sub and increases the silicide thickness upwards to lower contact resistance and reduce source / drain junction leakage.

다음, 도 2c에 도시된 바와 같이 상기 비결정질 실리콘층(205) 상에 TEOS(Tetra Ethyl Ortho Silicate)막(206)을 증착한다. 이후, 화학기계적연마(CMP) 공정을 실시하여 평탄화 공정을 실시한다.Next, as shown in FIG. 2C, a TEOS (Tetra Ethyl Ortho Silicate) film 206 is deposited on the amorphous silicon layer 205. Thereafter, a chemical mechanical polishing (CMP) process is performed to perform a planarization process.

다음, 도 2d에 도시된 바와 같이 BOE(Buffered Oxide Etchant)를 이용한 습식 식각을 이용하여 에치 백(etch back)을 실시하고, 게이트 앞쪽의 비결정질 실리콘층(205)을 제거한다. Next, as shown in FIG. 2D, etching back is performed using wet etching using a buffered oxide etchant (BOE), and the amorphous silicon layer 205 in front of the gate is removed.

다음, 도 2e에 도시된 바와 같이 실리콘 서브 영역의 비결정질 실리콘 층을 제외한 비결정질층을 습식 식각으로 제거한다. 이후, 잔여 TEOS 막을 제거 하고 1차 어닐링으로 Ti 실리사이드(204)를 형성한다. Next, as shown in FIG. 2E, the amorphous layer except for the amorphous silicon layer of the silicon subregion is removed by wet etching. Thereafter, the remaining TEOS film is removed and Ti silicide 204 is formed by primary annealing.

상술한 본 발명 실시예는 Ti 실시사이드의 C54상으로 쉽게 상전환 시킬 수 있는 Mo 이온 주입과 저온에서 1차 어닐링만을 실시하여 저항이 낮은 Ti 실리사이드를 형성하여 C54상을 쉽게 형성할 수 있고, Ti 실리사이드층과 소스/드레인 접합간 간격이 큼으로써 접합 누수가 작다. 또한 낮은 온도의 어닐링을 통한 열 손실을 감소하여 공정 마진이 증가하고, 효과적인 C54상 형성으로 인하여 선폭 감소에 따른 저항증가를 완하할 수 있다.The embodiment of the present invention described above can easily form a C54 phase by forming Ti silicide with low resistance by only performing primary annealing at low temperature and Mo ion implantation which can be easily phase-shifted to the C54 phase of the Ti implementation side, The junction leakage is small due to the large gap between the silicide layer and the source / drain junction. In addition, process margins can be increased by reducing heat loss through low temperature annealing, and the increase in resistance due to line width reduction can be alleviated due to effective C54 phase formation.

본 발명은 이상에서 살펴본 바와 같이 바람직한 실시예를 들어 도시하고 설명하였으나, 상기한 실시예에 한정되지 아니하며 본 발명의 정신을 벗어나지 않는 범위 내에서 당해 발명이 속하는 기술분야에서 통상의 지식을 가진 자에 의해 다양한 변경과 수정이 가능할 것이다.Although the present invention has been shown and described with reference to the preferred embodiments as described above, it is not limited to the above embodiments and those skilled in the art without departing from the spirit of the present invention. Various changes and modifications will be possible.

따라서, 본 발명의 타이타늄 실리사이드 제조 방법은 Ti 실시사이드의 C54상을 쉽게 상전환 시킬 수 있는 Mo 이온 주입과 저온에서 1차 어닐링만을 실시하여 저항이 낮은 Ti 실리사이드를 형성하여 C54상을 쉽게 형성할 수 있고, Ti 실리사이드층과 소스/드레인 접합간 간격이 큼으로써 접합 누수가 작다. 또한 낮은 온도의 어닐링을 통한 열 손실(thermal budget)을 감소하여 공정 마진이 증가하고, 효과적인 C54상 형성으로 인하여 선폭 감소에 따른 저항증가를 완화하는 효과가 있다.Therefore, the method for preparing titanium silicide of the present invention can easily form a C54 phase by forming Ti silicide having low resistance by only performing primary annealing at low temperature and Mo ion implantation which can easily phase-change the C54 phase of the Ti implementation side. And the junction leakage is small due to the large gap between the Ti silicide layer and the source / drain junction. In addition, process margins are increased by reducing the thermal budget through low temperature annealing, and the increase in resistance due to the reduction of the line width is reduced due to the effective C54 phase formation.

Claims (3)

타이타늄 실리사이드 제조 방법에 있어서,In the method for producing titanium silicide, (가) 반도체 기판 상에 게이트 전극과 스페이서를 형성하는 단계;(A) forming a gate electrode and a spacer on the semiconductor substrate; (나) 상기 구조 상에 Ti을 스퍼터링 공정을 실시하고, Ti층 형성 후 Mo 이온 주입하고, 상기 Mo 활성화 시키기 위한 어닐링 공정을 수행하는 단계;(B) performing a sputtering process of Ti on the structure, implanting Mo ions after forming the Ti layer, and performing an annealing process for activating the Mo; (다) 상기 Ti 층 상에 비결정질 실리콘 층을 증착 하는 단계;(C) depositing an amorphous silicon layer on the Ti layer; (라) 상기 비결정질 실리콘층 상에 TEOS 막을 증착하고, 화학기계적연마 공정을 실시하는 단계;(D) depositing a TEOS film on the amorphous silicon layer and performing a chemical mechanical polishing process; (마) BOE를 이용한 습식 식각을 이용하여 에치 백을 실시하는 단계;(E) performing etch back using wet etching using BOE; (바) 게이트 앞쪽의 비결정질 실리콘층을 제거하는 단계;(F) removing the amorphous silicon layer in front of the gate; (사) 실리콘 서브 영역의 비결정질 실리콘 층을 제외한 비결정질층을 습식 식각하는 단계; 및(G) wet etching the amorphous layer except for the amorphous silicon layer of the silicon subregion; And (아) 잔여 TEOS 막을 제거 하고, 어닐링으로 Ti 실리사이드를 형성하는 단계(H) removing the remaining TEOS film and forming Ti silicide by annealing 를 포함하는 것을 특징으로 하는 타이타늄 실리사이드 제조 방법.Titanium silicide manufacturing method comprising a. 제 1항에 있어서,The method of claim 1, 상기 (나) 단계의 Mo 활성화 시키기 위한 어닐링 공정은 600℃ 내지 650℃의 온도, 30초 내지 40초 시간임을 특징으로 하는 타이타늄 실리사이드 제조 방법.The annealing process for activating Mo in the (b) step is a temperature of 600 ℃ to 650 ℃, 30 seconds to 40 seconds time, characterized in that the titanium silicide manufacturing method. 제 1항에 있어서,The method of claim 1, 상기 Ti 실시사이드는 C54상 실리사이드인 것을 특징으로 하는 타이타늄 실리사이드 제조 방법.The Ti embodiment is a titanium silicide manufacturing method, characterized in that the C54 phase silicide.
KR1020040115501A 2004-12-29 2004-12-29 Method for manufacturing Ti-silicide KR100620235B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020040115501A KR100620235B1 (en) 2004-12-29 2004-12-29 Method for manufacturing Ti-silicide

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040115501A KR100620235B1 (en) 2004-12-29 2004-12-29 Method for manufacturing Ti-silicide

Publications (2)

Publication Number Publication Date
KR20060076883A KR20060076883A (en) 2006-07-05
KR100620235B1 true KR100620235B1 (en) 2006-09-08

Family

ID=37169028

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040115501A KR100620235B1 (en) 2004-12-29 2004-12-29 Method for manufacturing Ti-silicide

Country Status (1)

Country Link
KR (1) KR100620235B1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112687530A (en) * 2020-12-25 2021-04-20 上海华力集成电路制造有限公司 Method for improving agglomeration of replacement metal gate silicon and wafer transmission device

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10209078A (en) 1997-01-24 1998-08-07 Nec Corp Manufacturing method for semiconductor device
KR20000066155A (en) * 1999-04-13 2000-11-15 황인길 Shallow junction &silicide forming method of semiconductor devices

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10209078A (en) 1997-01-24 1998-08-07 Nec Corp Manufacturing method for semiconductor device
KR20000066155A (en) * 1999-04-13 2000-11-15 황인길 Shallow junction &silicide forming method of semiconductor devices

Also Published As

Publication number Publication date
KR20060076883A (en) 2006-07-05

Similar Documents

Publication Publication Date Title
JP3734559B2 (en) Manufacturing method of semiconductor device
JP4889901B2 (en) Method for forming source / drain regions having deep junctions
US6806534B2 (en) Damascene method for improved MOS transistor
US7517795B2 (en) Stabilization of Ni monosilicide thin films in CMOS devices using implantation of ions before silicidation
US7544575B2 (en) Dual metal silicide scheme using a dual spacer process
US7545006B2 (en) CMOS devices with graded silicide regions
KR100196598B1 (en) Manufacturing method of semiconductor device
US6372589B1 (en) Method of forming ultra-shallow source/drain extension by impurity diffusion from doped dielectric spacer
JP2008022027A (en) Method for forming self-aligned silicide in semiconductor device
US20020086505A1 (en) Transistor structure having silicide soure/drain extensions
US6380057B1 (en) Enhancement of nickel silicide formation by use of nickel pre-amorphizing implant
US7009258B2 (en) Method of building a CMOS structure on thin SOI with source/drain electrodes formed by in situ doped selective amorphous silicon
EP1759420B1 (en) Semiconductor on insulator semiconductor device and method of manufacture
US7109116B1 (en) Method for reducing dendrite formation in nickel silicon salicide processes
JP2006511083A (en) Manufacturing method of semiconductor device and semiconductor device obtained by such method
US6294415B1 (en) Method of fabricating a MOS transistor
JP2930042B2 (en) Method for manufacturing semiconductor device
KR100620235B1 (en) Method for manufacturing Ti-silicide
US7211489B1 (en) Localized halo implant region formed using tilt pre-amorphization implant and laser thermal anneal
KR100486649B1 (en) Method for forming salicide of a semiconductor device
US7960280B2 (en) Process method to fully salicide (FUSI) both N-poly and P-poly on a CMOS flow
US7399702B2 (en) Methods of forming silicide
KR100334866B1 (en) Transistor Formation Method of Semiconductor Device
KR20010066622A (en) Method for forming salicide by cobalt sputtering
JP4602138B2 (en) Manufacturing method of semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110719

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee