JP2003178944A - Developing method and developing apparatus - Google Patents

Developing method and developing apparatus

Info

Publication number
JP2003178944A
JP2003178944A JP2001375624A JP2001375624A JP2003178944A JP 2003178944 A JP2003178944 A JP 2003178944A JP 2001375624 A JP2001375624 A JP 2001375624A JP 2001375624 A JP2001375624 A JP 2001375624A JP 2003178944 A JP2003178944 A JP 2003178944A
Authority
JP
Japan
Prior art keywords
substrate
wafer
liquid
organic solvent
development processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001375624A
Other languages
Japanese (ja)
Inventor
Toru Aoyama
亨 青山
Hiroyuki Iwaki
浩之 岩城
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2001375624A priority Critical patent/JP2003178944A/en
Publication of JP2003178944A publication Critical patent/JP2003178944A/en
Pending legal-status Critical Current

Links

Landscapes

  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a developing method and a developing apparatus by which pattern failure can be prevented during drying for removing a rinsing liquid on a wafer W. <P>SOLUTION: After a rinsing liquid 42 is supplied onto a wafer W with a developed resist pattern 29 to rinse a developer thereon, an organic solvent 43 whose specific gravity is larger than that of the rinsing liquid is supplied while the wafer W is kept still. Thus, the organic solvent 43 is located under the rinsing liquid 42 between the patterns 29, so that the rinsing liquid 42 easily gets out between the patterns 29, resulting on preventing pattern failure. Furthermore, since the organic solvent 43 is supplied while the wafer W is kept still, the rinsing liquid 42 can be surely substituted for the organic solvent 43 without producing a centrifugal force in the rinsing liquid 42. <P>COPYRIGHT: (C)2003,JPO

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は、半導体デバイスの
製造において、レジストが塗布された基板に対し現像処
理を行う現像処理方法及び現像処理装置に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a development processing method and a development processing apparatus for performing development processing on a substrate coated with a resist in the manufacture of semiconductor devices.

【0002】[0002]

【従来の技術】半導体デバイス製造のフォトリソグラフ
ィー工程では、半導体ウェハ(以下、「ウェハ」とい
う。)の表面にフォトレジストを塗布し、レジスト上に
マスクパターンを露光し、これを現像してウェハ表面に
レジストパターンを形成している。
2. Description of the Related Art In a photolithography process for manufacturing a semiconductor device, a photoresist is applied to the surface of a semiconductor wafer (hereinafter referred to as "wafer"), a mask pattern is exposed on the resist, and this is developed to develop the wafer surface. A resist pattern is formed on.

【0003】このようなフォトリソグラフィー工程にお
いて、現像処理は、例えばパドル式やディップ式等の方
法により行っている。例えば、パドル式はウェハに現像
液を供給し、一方、ディップ式は現像液中にウェハを浸
漬させて現像処理を進行させ、その後はそれぞれ、純水
等を用いた洗浄液としてのリンス液をウェハ上に供給し
て現像液を洗い流している。そして最後に、ウェハから
リンス液を除去するために、エアブローやウェハの回転
等を行うことにより乾燥処理を行っている。
In such a photolithography process, the developing process is performed by, for example, a paddle type or dip type method. For example, in the paddle type, the developing solution is supplied to the wafer, while in the dip type, the wafer is immersed in the developing solution to proceed with the development process, and thereafter, a rinse solution as a cleaning solution using pure water or the like is applied to the wafer. The developer is supplied to the top to wash away the developer. Finally, in order to remove the rinse liquid from the wafer, a drying process is performed by performing air blow, rotation of the wafer, or the like.

【0004】[0004]

【発明が解決しようとする課題】ところで、近年におけ
る半導体デバイスの微細化はより一層進行しており、微
細かつ高アスペクト比のレジストパターンが出現してい
る。このようなレジストパターンの微細及び高アスペク
ト比のため、例えば、上記乾燥処理においてリンス液が
各パターン間から抜け出る際に、当該リンス液の表面張
力によりパターン間に引力が生じることによる、いわゆ
る「パターン倒れ」の問題が発生している。
By the way, miniaturization of semiconductor devices has been further advanced in recent years, and fine resist patterns having a high aspect ratio have appeared. Due to the fineness and high aspect ratio of such a resist pattern, for example, when the rinse liquid comes out between the patterns in the above-mentioned drying treatment, an attractive force is generated between the patterns due to the surface tension of the rinse liquid, so-called “pattern”. The problem of "falling down" has occurred.

【0005】以上のような事情に鑑み、本発明の目的
は、基板上のリンス液を除去する乾燥処理において、パ
ターン倒れを防止できる現像処理方法及び現像処理装置
を提供することにある。
In view of the above circumstances, an object of the present invention is to provide a development processing method and a development processing apparatus capable of preventing pattern collapse in the drying processing for removing the rinse liquid on the substrate.

【0006】本発明の別の目的は、パターン倒れを防止
でき、かつ、高スループット化を実現できる現像処理方
法及び現像処理装置を提供することにある。
Another object of the present invention is to provide a development processing method and a development processing apparatus capable of preventing pattern collapse and achieving high throughput.

【0007】[0007]

【課題を解決するための手段】上記目的を達成するた
め、本発明に係る現像処理方法は、(a)レジストパタ
ーンが現像された基板上に、リンス液を供給する工程
と、(b)基板を停止させた状態で、該基板上に前記リ
ンス液より比重が大きい処理液を供給する工程とを具備
する。
In order to achieve the above object, a development processing method according to the present invention comprises (a) a step of supplying a rinsing liquid onto a substrate on which a resist pattern has been developed, and (b) a substrate. And a treatment liquid having a larger specific gravity than the rinse liquid is supplied onto the substrate.

【0008】本発明のこのような構成によれば、現像工
程によりレジストパターンが現像された基板上にリンス
液を供給して現像液を洗い流した後、基板を停止させた
状態でリンス液より比重が大きい処理液を供給すること
により、パターン間において当該処理液がリンス液より
下方部に位置され、リンス液がパターン間から抜け出易
くなり、パターン倒れを防止することができる。しかも
基板を停止させた状態で処理液の供給を行っているの
で、リンス液と処理液とが置換される際に、リンス液に
遠心力を生じさせず、確実に置換することができる。前
記処理液としては、ハイドロフルオロエーテル(HF
E)であることが好ましい。
According to this structure of the present invention, the rinse liquid is supplied onto the substrate on which the resist pattern has been developed in the developing process to wash away the developer, and then the specific gravity is higher than that of the rinse liquid while the substrate is stopped. By supplying the treatment liquid having a large amount, the treatment liquid is located below the rinse liquid between the patterns, the rinse liquid easily escapes from between the patterns, and the pattern collapse can be prevented. Moreover, since the processing liquid is supplied in the state where the substrate is stopped, when the rinse liquid and the processing liquid are replaced, centrifugal force is not generated in the rinse liquid, and the replacement can be reliably performed. As the treatment liquid, hydrofluoroether (HF
E) is preferred.

【0009】本発明の一の形態によれば、(c)前記工
程(b)の後、基板を所定の回転数で回転させる工程を
更に具備する。先ず、上記のように基板を停止させた状
態で処理液を供給し、基板中心付近におけるリンス液を
処理液に置換した後に、基板を所定の回転数で回転させ
ることにより、パターン倒れを発生させることなく処理
液を基板上で伸展させることができる。ここで、工程
(c)における基板の回転数は、300rpm〜100
0rpmであることが好ましい。基板の回転が300r
pmより遅い場合には、処理液が基板上のリンス液に対
して均一に混ざらず、処理液が粒状になってリンス液中
に散在してしまい、このまま基板回転による振り切り乾
燥を行うとパターン倒れが生じてしまうからである。一
方、基板の回転が1000rpmより速い場合には、処
理液が基板上を均一に伸展するが、このように処理液が
伸展する前に、基板上からリンス液が流れ出し、パター
ン倒れを引き起こす可能性が高いからである。
According to one aspect of the present invention, the method further comprises the step of (c) rotating the substrate at a predetermined rotation speed after the step (b). First, as described above, the treatment liquid is supplied in a state where the substrate is stopped, the rinse liquid in the vicinity of the center of the substrate is replaced with the treatment liquid, and then the substrate is rotated at a predetermined number of revolutions to cause pattern collapse. The treatment liquid can be spread on the substrate without any treatment. Here, the rotation speed of the substrate in the step (c) is 300 rpm to 100 rpm.
It is preferably 0 rpm. Rotation of substrate is 300r
If it is slower than pm, the treatment liquid is not uniformly mixed with the rinse liquid on the substrate, and the treatment liquid becomes granular and scattered in the rinse liquid. If the substrate is rotated and shaken off and dried, the pattern collapses. Is caused. On the other hand, when the rotation of the substrate is faster than 1000 rpm, the treatment liquid spreads evenly on the substrate, but before the treatment liquid spreads, the rinse liquid may flow out from the substrate and cause pattern collapse. Is high.

【0010】本発明の一の形態によれば、前記工程
(b)における処理液の供給は、基板の直径と略同一の
長さを有する長尺状のノズルにより基板上を走査させて
行う。このような長尺状のノズルを用いることにより、
基板を回転させずに基板全面に均一に処理液を供給し、
基板全面においてパターン間においてリンス液よりも下
方部に処理液を位置させることができ、基板を回転させ
た場合のリンス液が基板上を流れることによるパターン
倒れを防止できる。
According to one aspect of the present invention, the supply of the processing liquid in the step (b) is performed by scanning the substrate with an elongated nozzle having a length substantially the same as the diameter of the substrate. By using such a long nozzle,
The processing liquid is uniformly supplied to the entire surface of the substrate without rotating the substrate,
The treatment liquid can be positioned below the rinse liquid between the patterns on the entire surface of the substrate, and the pattern collapse due to the rinse liquid flowing over the substrate when the substrate is rotated can be prevented.

【0011】本発明の一の形態によれば、前記処理液を
回収し再利用する工程を更に具備する。例えば処理液と
して比重の大きい上記ハイドロフルオロエーテル(HF
E)を用いることにより、排液されたリンス液とHFE
との分離が容易となり、しかもHFEは疎水性であるた
めHFEの回収を容易にし、HFEを再利用することが
できる。
According to one aspect of the present invention, the method further comprises the step of recovering and reusing the treatment liquid. For example, the above-mentioned hydrofluoroether (HF) having a large specific gravity as a processing liquid.
By using E), the drained rinse liquid and HFE
It is easy to separate HFE from each other, and since HFE is hydrophobic, HFE can be easily recovered and HFE can be reused.

【0012】本発明に係る現像処理装置は、前記回転保
持手段により保持された基板上に現像液を供給する現像
液供給手段と、前記現像液が供給されレジストパターン
が現像された基板上に、リンス液を供給するリンス液供
給手段と、基板を停止させた状態で、該基板上に該リン
ス液より比重が大きい処理液を供給する処理液供給手段
とを具備する。
The development processing apparatus according to the present invention comprises: a developing solution supplying means for supplying a developing solution onto the substrate held by the rotation holding means; and a substrate on which the developing solution is supplied and the resist pattern is developed. It comprises a rinse liquid supply means for supplying a rinse liquid, and a processing liquid supply means for supplying a processing liquid having a specific gravity larger than that of the rinse liquid onto the substrate while the substrate is stopped.

【0013】本発明のこのような構成によれば、現像液
の供給によりレジストパターンが現像された基板上に、
リンス液を供給して現像液を洗い流した後、基板を停止
させた状態でリンス液より比重が大きい処理液を供給す
ることにより、パターン間において当該処理液がリンス
液より下方部に位置され、リンス液がパターン間から抜
け出易くなり、パターン倒れを防止することができる。
しかも基板の回転を停止させた状態で処理液の供給を行
っているので、リンス液と処理液とが置換される際に、
リンス液に遠心力を生じさせず、確実に置換することが
できる。
According to such a constitution of the present invention, the resist pattern is developed on the substrate by supplying the developing solution,
After supplying the rinse liquid to wash away the developing liquid, by supplying the processing liquid having a larger specific gravity than the rinse liquid with the substrate stopped, the processing liquid is located below the rinse liquid between the patterns, The rinse liquid easily escapes from between the patterns, and the pattern collapse can be prevented.
Moreover, since the processing liquid is supplied while the rotation of the substrate is stopped, when the rinse liquid and the processing liquid are replaced,
Centrifugal force is not generated in the rinse liquid, and the rinse liquid can be reliably replaced.

【0014】本発明の更なる特徴と利点は、添付した図
面及び発明の実施の形態の説明を参酌することにより一
層明らかになる。
Further features and advantages of the present invention will become more apparent with reference to the accompanying drawings and the description of the embodiments of the invention.

【0015】[0015]

【発明の実施の形態】以下、本発明の実施の形態を図面
に基づき説明する。
BEST MODE FOR CARRYING OUT THE INVENTION Embodiments of the present invention will be described below with reference to the drawings.

【0016】図1〜図3は本発明に係る塗布現像処理シ
ステムの全体構成を示す図であり、図1はその平面図、
図2は正面図及び図3は背面図である。
1 to 3 are views showing the overall constitution of a coating and developing treatment system according to the present invention, and FIG. 1 is a plan view thereof.
2 is a front view and FIG. 3 is a rear view.

【0017】この塗布現像処理システム1は、被処理基
板として半導体ウェハWをウェハカセットCRで複数枚
例えば25枚単位で外部からシステムに搬入し又はシス
テムから搬出したり、ウェハカセットCRに対してウェ
ハWを搬入・搬出したりするためのカセットステーショ
ン10と、塗布現像工程の中で1枚ずつウェハWに所定
の処理を施す枚葉式の各種処理ユニットを所定位置に多
段配置してなる処理ステーション11と、この処理ステ
ーション11と隣接して設けられる露光装置(図示せ
ず)との間でウェハWを受け渡しするためのインターフ
ェース部12とを一体に接続した構成を有している。
In the coating and developing treatment system 1, a plurality of semiconductor wafers W as wafers to be treated are transferred into or out of the system from the outside in units of a plurality of wafer cassettes CR, for example, 25 wafers, or wafers are transferred to the wafer cassette CR. A cassette station 10 for loading / unloading W, and a processing station in which various single-wafer processing units for performing a predetermined process on the wafer W one by one in a coating / developing process are arranged in multiple stages at predetermined positions. 11 and an interface section 12 for transferring a wafer W between the processing station 11 and an exposure apparatus (not shown) provided adjacent to the processing station 11 are integrally connected.

【0018】カセットステーション10では、図1に示
すように、カセット載置台20上の突起20aの位置に
複数個例えば4個までのウェハカセットCRがそれぞれ
のウェハ出入口を処理ステーション11側に向けてX方
向一列に載置され、カセット配列方向(X方向)及びウ
ェハカセットCR内に収納されたウェハのウェハ配列方
向(Z方向)に移動可能なウェハ搬送体21が各ウェハ
カセットCRに選択的にアクセスするようになってい
る。さらに、このウェハ搬送体21は、θ方向に回転可
能に構成されており、後述するように処理ステーション
11側の第3の組G3の多段ユニット部に属するアライ
メントユニット(ALIM)及びイクステンションユニ
ット(EXT)にもアクセスできるようになっている。
In the cassette station 10, as shown in FIG. 1, a plurality of wafer cassettes CR, for example, up to four wafer cassettes CR are provided at the positions of the protrusions 20a on the cassette mounting table 20 with their respective wafer entrances and exits facing the processing station 11 side. A wafer carrier 21 that is placed in a line in the direction and is movable in the cassette arrangement direction (X direction) and in the wafer arrangement direction (Z direction) of the wafers stored in the wafer cassette CR selectively accesses each wafer cassette CR. It is supposed to do. Further, the wafer carrier 21 is configured to be rotatable in the θ direction, and as will be described later, an alignment unit (ALIM) and an extension unit (ALIM) belonging to the multi-stage unit section of the third set G3 on the processing station 11 side. EXT) is also accessible.

【0019】処理ステーション11では、図1に示すよ
うに、中心部に垂直搬送型の主ウェハ搬送機構22が設
けられ、その周りに全ての処理ユニットが1組または複
数の組に亙って多段に配置されている。この例では、5
組G1,G2,G3,G4,G5の多段配置構成であり、第
1及び第2の組G1,G2の多段ユニットはシステム正
面(図1において手前)側に並置され、第3の組G3の
多段ユニットはカセットステーション10に隣接して配
置され、第4の組G4の多段ユニットはインターフェー
ス部12に隣接して配置され、第5の組G5の多段ユニ
ットは背部側に配置されている。なお第5の組G5は、
主ウェハ搬送機構22のメンテナンスのためにレール2
5に沿って移動可能に構成されている。
In the processing station 11, as shown in FIG. 1, a vertical transfer type main wafer transfer mechanism 22 is provided in the center part, and all the processing units are surrounded by one set or a plurality of sets in multiple stages. It is located in. In this example, 5
In the multi-stage arrangement configuration of the groups G1, G2, G3, G4, G5, the multi-stage units of the first and second groups G1 and G2 are juxtaposed on the front side (front side in FIG. 1) of the system, and the third group G3 The multistage unit is arranged adjacent to the cassette station 10, the multistage unit of the fourth group G4 is arranged adjacent to the interface unit 12, and the multistage unit of the fifth group G5 is arranged on the back side. The fifth group G5 is
Rail 2 for maintenance of main wafer transfer mechanism 22
It is configured to be movable along 5.

【0020】主ウェハ搬送機構22は、筒状支持体49
の内側に、ウェハ搬送装置46を上下方向(Z方向)に
昇降自在に装備している。筒状支持体49はモータ(図
示せず)の回転軸に接続されており、このモータの回転
駆動力によって、前記回転軸を中心としてウェハ搬送装
置46と一体に回転し、それによりこのウェハ搬送装置
46は、θ方向に回転自在となっている。
The main wafer transfer mechanism 22 includes a cylindrical support 49.
A wafer transfer device 46 is installed inside the chamber so as to be vertically movable (Z direction). The cylindrical support 49 is connected to a rotary shaft of a motor (not shown), and is rotated integrally with the wafer transfer device 46 about the rotary shaft by the rotational driving force of the motor, whereby the wafer transfer is performed. The device 46 is rotatable in the θ direction.

【0021】図2に示すように、第1の組G1では、カ
ップCP内でウェハWをスピンチャックに載せて所定の
処理を行う2台のスピンナ型処理ユニット、例えばレジ
スト塗布処理ユニット(COT)及び本発明に係る現像
処理ユニット(DEV)が下から順に2段に重ねられて
いる。第2の組G2でも、2台のスピンナ型処理ユニッ
ト、例えばレジスト塗布処理ユニット(COT)及び現
像処理ユニット(DEV)が下から順に2段に重ねられ
ている。レジスト塗布処理ユニット(COT)ではレジ
スト液の排液が機構的にもメンテナンスの上でも面倒で
あることから、このように下段に配置するのが好まし
い。しかし、必要に応じて上段に配置することも可能で
ある。
As shown in FIG. 2, in the first set G1, two spinner type processing units, for example, a resist coating processing unit (COT), which carry out a predetermined processing by placing the wafer W on the spin chuck in the cup CP. Further, the development processing units (DEV) according to the present invention are stacked in two stages in order from the bottom. Also in the second group G2, two spinner type processing units, for example, a resist coating processing unit (COT) and a development processing unit (DEV) are stacked in two stages in order from the bottom. In the resist coating processing unit (COT), draining of the resist solution is troublesome both mechanically and in terms of maintenance. Therefore, it is preferable to arrange the resist solution in the lower stage. However, it is also possible to arrange them in the upper stage if necessary.

【0022】図3に示すように、第3の組G3では、ウ
ェハWを載置台に載せて所定の処理を行うオーブン型の
処理ユニット、例えば下から順にクーリングユニット
(COL)、アドヒージョンユニット(AD)、アライ
メントユニット(ALIM)、イクステンションユニッ
ト(EXT)、プリベーキングユニット(PAB)及び
ポストエクスポージャーベーキングユニット(PEB)
が重ねられている。第4の組G4でも、オーブン型の処
理ユニット、例えば下から順にクーリングユニット(C
OL)が2段、イクステンション・クーリングユニット
(EXTCOL)、イクステンションユニット(EX
T)、プリベーキングユニット(PAB)及びポストエ
クスポージャーベーキングユニット(PEB)が重ねら
れている。なお、現像後に加熱処理を行うためのポスト
ベーキングユニットが配置される場合もある。
As shown in FIG. 3, in the third group G3, an oven type processing unit for placing a wafer W on a mounting table and performing a predetermined process, for example, a cooling unit (COL) and an adhesion unit in order from the bottom. (AD), alignment unit (ALIM), extension unit (EXT), pre-baking unit (PAB) and post-exposure baking unit (PEB)
Are stacked. Also in the fourth group G4, an oven type processing unit, for example, a cooling unit (C
OL) has 2 stages, extension cooling unit (EXTCOL), extension unit (EX
T), a pre-baking unit (PAB) and a post-exposure baking unit (PEB) are stacked. A post-baking unit for performing heat treatment after development may be arranged in some cases.

【0023】このように処理温度の低いクーリングユニ
ット(COL)、(EXTCOL)を下段に配置し、処
理温度の高いベーキングユニット(PAB)やポストエ
クスポージャーベーキングユニット(PEB)を上段に
配置することで、ユニット間の熱的な相互干渉を少なく
することができる。しかし、ランダムな多段配置とする
ことも可能である。
By thus arranging the cooling units (COL) and (EXTCOL) having a low processing temperature in the lower stage and the baking unit (PAB) and the post-exposure baking unit (PEB) having a high processing temperature in the upper stage, Thermal mutual interference between the units can be reduced. However, a random multi-stage arrangement is also possible.

【0024】インターフェース部12は、奥行方向では
処理ステーション11と同じ寸法を有するが、幅方向で
は小さなサイズにつくられている。インターフェース部
12の正面部には可搬性のピックアップカセットCRと
定置型のバッファカセットBRが2段に配置され、背面
部には周辺露光装置23が配設され、中央部にはウェハ
搬送体24が設けられている。このウェハ搬送体24
は、X,Z方向に移動して両カセットCR,BR及び周
辺露光装置23にアクセスするようになっている。さら
に、ウェハ搬送体24は、θ方向に回転可能に構成さ
れ、処理ステーション11側の第4の組G4の多段ユニ
ットに属するイクステンションユニット(EXT)に
も、及び隣接する露光装置側のウェハ受渡し台(図示せ
ず)にもアクセスできるようになっている。
The interface section 12 has the same size as the processing station 11 in the depth direction, but is made small in the width direction. A portable pickup cassette CR and a stationary buffer cassette BR are arranged in two stages on the front surface of the interface unit 12, a peripheral exposure device 23 is arranged on the rear surface, and a wafer carrier 24 is arranged on the central portion. It is provided. This wafer carrier 24
Moves in the X and Z directions to access both cassettes CR and BR and the peripheral exposure device 23. Further, the wafer carrier 24 is configured to be rotatable in the θ direction, and is also transferred to the extension unit (EXT) belonging to the multistage unit of the fourth group G4 on the processing station 11 side and the wafer delivery on the adjacent exposure apparatus side. A platform (not shown) is also accessible.

【0025】この塗布現像処理システム1は、クリーン
ルームに設置されるが、更にシステム内でも効率的なダ
ウンフローによって各部の清浄度を高めている。図4
は、その清浄空気の流れを示す概略図である。カセット
ステーション10,処理ステーション11及びインター
フェース部12の上方にはエア供給室44が設けられて
おり、このエア供給室44の下面に防塵機能付きフィル
タ例えばULPAフィルタ71,72,73が取り付け
られている。このULPAフィルタ71,72,73に
は、それぞれ図示しないファンが内蔵されており、所定
の温度及び湿度に調整された清浄空気は、ULPAフィ
ルタ71,72,73を介して、カセットステーション
10,処理ステーション11及びインターフェース部1
2に流れ、下方部に配置された図示しない排気口から排
気されるようになっている。処理ステーション11にお
いては、清浄空気は図示するように、多段の現像処理ユ
ニット(DEV)及びレジスト塗布処理ユニット(CO
T)に供給されるようになっており、図示しないが、背
面側の熱処理系のユニットである多段の第3〜第5の組
G3〜G5にも供給されるようになっている。
Although the coating and developing system 1 is installed in a clean room, the cleanliness of each part is enhanced by an efficient downflow in the system. Figure 4
FIG. 3 is a schematic diagram showing the flow of clean air. An air supply chamber 44 is provided above the cassette station 10, the processing station 11, and the interface unit 12, and a filter having a dustproof function, for example, ULPA filters 71, 72, 73 is attached to the lower surface of the air supply chamber 44. . Each of the ULPA filters 71, 72, 73 has a fan (not shown) built therein, and the clean air adjusted to a predetermined temperature and humidity is processed through the ULPA filters 71, 72, 73 to the cassette station 10 and processed. Station 11 and interface unit 1
2 and is exhausted from an exhaust port (not shown) arranged in the lower part. At the processing station 11, as shown in the figure, the clean air is supplied with a multi-stage development processing unit (DEV) and resist coating processing unit (CO
Although not shown, it is also supplied to the multi-stage third to fifth groups G3 to G5, which are units of the heat treatment system on the back side.

【0026】図5及び図6は、本発明の一実施形態に係
る現像処理ユニット(DEV)を示す平面図及び断面図
である。この現像処理ユニット(DEV)の中央部には
環状のカップCΡが配設されている。カップCΡの内側
には、基板を水平に保持するスピンチャック52が配置
されている。スピンチャック52は真空吸着によってウ
ェハWを固定保持した状態で駆動モータ54によって回
転駆動される。駆動モータ54は、ユニット底板50に
設けられた開口50aに昇降移動可能に配置され、アル
ミニウムからなるキャップ状のフランジ部材58を介し
て、エアシリンダからなる昇降駆動手段60および昇降
ガイド手段62と結合されている。このような昇降機構
により、主ウェハ搬送機構22との間でウェハWの受け
渡しが可能となる。
5 and 6 are a plan view and a sectional view showing a developing processing unit (DEV) according to one embodiment of the present invention. An annular cup CC is arranged at the center of the development processing unit (DEV). A spin chuck 52 that holds the substrate horizontally is arranged inside the cup CΡ. The spin chuck 52 is rotationally driven by a drive motor 54 while holding the wafer W fixed by vacuum suction. The drive motor 54 is arranged so as to be able to move up and down in an opening 50a provided in the unit bottom plate 50, and is coupled with a lift drive means 60 composed of an air cylinder and a lift guide means 62 via a cap-shaped flange member 58 made of aluminum. Has been done. With such an elevating mechanism, the wafer W can be transferred to and from the main wafer transfer mechanism 22.

【0027】図6に示すように、カップCP内に収容さ
れたウェハW上において、このウェハWの表面に現像液
を供給するための現像液ノズル36がノズルスキャンア
ーム92の先端部に取り付けられている。この現像液ノ
ズル36には供給管81が接続されており、この供給管
81を介して現像液供給機構31により現像液が供給さ
れるようになっている。この現像液ノズル36は長尺形
状を有し、例えば図示しない複数の孔、又はスリット状
に形成された供給口より現像液が供給されるようになっ
ている。ノズルスキャンアーム92は、ユニット底板5
0の上に一方向(Y方向)に敷設されたガイドレール9
4上で水平移動可能な垂直支持部材96の上端部に取り
付けられており、図示しないY方向駆動機構によって垂
直支持部材96と一体にY方向に移動するようになって
いる。また、ノズルスキャンアーム92は垂直支持部材
96に沿ってZ方向にも移動可能に構成されており、現
像液ノズル36と、スピンチャック52で保持されたウ
ェハWとの距離が調節できるようになっている。
As shown in FIG. 6, on the wafer W housed in the cup CP, a developing solution nozzle 36 for supplying a developing solution to the surface of the wafer W is attached to the tip of the nozzle scan arm 92. ing. A supply pipe 81 is connected to the developer nozzle 36, and the developer is supplied by the developer supply mechanism 31 via the supply pipe 81. The developer nozzle 36 has an elongated shape, and the developer is supplied from, for example, a plurality of holes (not shown) or slit-shaped supply ports. The nozzle scan arm 92 includes the unit bottom plate 5
Guide rail 9 laid in one direction (Y direction) on 0
It is attached to the upper end of a vertical support member 96 that can move horizontally on the vertical axis 4, and moves in the Y direction integrally with the vertical support member 96 by a Y direction drive mechanism (not shown). The nozzle scan arm 92 is also configured to be movable in the Z direction along the vertical support member 96, and the distance between the developing solution nozzle 36 and the wafer W held by the spin chuck 52 can be adjusted. ing.

【0028】また、ノズル保持体27に保持されウェハ
W表面にリンス液を供給するためのリンスノズル15
が、上記現像液ノズル36と同様に、スキャンアーム1
7及び垂直支持部材26により、ガイドレール94に沿
ってY方向に移動可能に設けられている。リンスノズル
15には供給管82が接続されており、この供給管82
を介してリンス液供給機構32からリンス液が供給され
るようになっている。ここでリンス液としては、例えば
純水を使用する。このノズルスキャンアーム17も垂直
支持部材26に沿って移動可能に構成されており、リン
スノズル15と、スピンチャック52で保持されたウェ
ハWとの距離が調節できるようになっている。
Further, the rinse nozzle 15 held by the nozzle holder 27 for supplying the rinse liquid to the surface of the wafer W.
However, similar to the developer nozzle 36, the scan arm 1
It is provided so as to be movable in the Y direction along the guide rail 94 by the 7 and the vertical support member 26. A supply pipe 82 is connected to the rinse nozzle 15.
The rinse liquid is supplied from the rinse liquid supply mechanism 32 via the. Pure water, for example, is used as the rinse liquid. The nozzle scan arm 17 is also configured to be movable along the vertical support member 26, and the distance between the rinse nozzle 15 and the wafer W held by the spin chuck 52 can be adjusted.

【0029】カップCPの隣には、ノズル保持体28に
保持されウェハW表面にフッ素を含む有機系の処理液と
しての有機溶剤を供給するための有機溶剤ノズル16
が、スキャンアーム18の先端に取り付けられ、このス
キャンアーム18はモータ19により、このモータ19
を中心としてθ方向に回動可能に設けられている。有機
溶剤ノズル16には供給管83が接続されており、この
供給管83を介して有機溶剤供給機構33から有機溶剤
が供給されるようになっている。ここで有機溶剤として
は、例えば純水より比重が大きく、かつ、揮発性の高い
ハイドロフルオロエーテル(HFE)系溶剤(メチルパ
ーフルオロイソブチルエーテルとメチルパーフルオロブ
チルエーテルとを混合したもの、又はこれら単独)を使
用するが、キシレン、ヘキサメチルジシラザン等も用い
ることができる。なお、このハイドロフルオロエーテル
(HFE)系溶剤は、レジストを溶かさない程度の溶剤
であり、レジスト上に供給しても問題はない。
Next to the cup CP, an organic solvent nozzle 16 for supplying an organic solvent as an organic processing liquid containing fluorine, which is held by the nozzle holder 28, to the surface of the wafer W.
Is attached to the tip of the scan arm 18, and the scan arm 18 is driven by the motor 19
Is provided so as to be rotatable in the θ direction. A supply pipe 83 is connected to the organic solvent nozzle 16, and the organic solvent is supplied from the organic solvent supply mechanism 33 via the supply pipe 83. Here, as the organic solvent, for example, a hydrofluoroether (HFE) -based solvent having a higher specific gravity than pure water and high volatility (a mixture of methyl perfluoroisobutyl ether and methyl perfluorobutyl ether, or these alone) However, xylene, hexamethyldisilazane, etc. can also be used. The hydrofluoroether (HFE) solvent is a solvent that does not dissolve the resist, and there is no problem even if it is supplied onto the resist.

【0030】カップCP内の底部には、ウェハ上に供給
された現像液、リンス液及び有機溶剤を排液するための
排液管57が設けられており、図示しないシステム外へ
排液されるようになっている。また、カップCPの底部
には、現像液や有機溶剤の供給により発生したミスト
等、カップCP内の雰囲気を排気するための排気管59
が設けられており、通常運転時においては真空ポンプ5
1により常時排気されている状態となっている。
A drain pipe 57 for draining the developing solution, the rinse solution and the organic solvent supplied onto the wafer is provided at the bottom of the cup CP and is discharged to the outside of the system (not shown). It is like this. Further, at the bottom of the cup CP, an exhaust pipe 59 for exhausting the atmosphere in the cup CP such as mist generated by the supply of the developing solution and the organic solvent.
Is provided, and the vacuum pump 5 is used during normal operation.
It is in the state of being constantly exhausted by 1.

【0031】また、カップCPには、カップCPの温度
を計測するカップ温度センサ74が取り付けられてお
り、更にこのカップCPの温度を調整するための温調ヒ
ータ84が設けられている。このヒータ84は、カップ
CP全体の温度を所定の温度、通常時には例えば23℃
前後に調整するようになっている。
A cup temperature sensor 74 for measuring the temperature of the cup CP is attached to the cup CP, and a temperature adjusting heater 84 for adjusting the temperature of the cup CP is further provided. The heater 84 sets the temperature of the entire cup CP to a predetermined temperature, for example, 23 ° C. during normal operation.
It is designed to be adjusted back and forth.

【0032】更に、カップCPにおける排気管59及び
排液管57にも同様に、排気管59及び排液管57の温
度を計測する温度センサ75及び76と、それぞれ排気
管59及び排液管57の温度を調整する温調ヒータ85
及び86とが取り付けられている。
Further, similarly to the exhaust pipe 59 and the drain pipe 57 in the cup CP, similarly, temperature sensors 75 and 76 for measuring the temperatures of the exhaust pipe 59 and the drain pipe 57, and the exhaust pipe 59 and the drain pipe 57, respectively. Temperature control heater 85 to adjust the temperature of
And 86 are attached.

【0033】現像液供給機構31、リンス液供給機構3
2及び有機溶剤供給機構33は、それぞれ制御部30の
指令に基づき、それぞれの処理液を現像液ノズル36、
リンスノズル15及び有機溶剤ノズル16へ供給するよ
うになっている。また、この制御部30は、上記各処理
液供給のタイミングの制御とともに、駆動モータ54の
回転数を制御するモータコントローラ34に指令を送出
し、統括的な処理を行う。
Developer supply mechanism 31, rinse solution supply mechanism 3
2 and the organic solvent supply mechanism 33 supply the respective processing solutions to the developing solution nozzles 36, based on the commands of the control unit 30.
The rinsing nozzle 15 and the organic solvent nozzle 16 are supplied. Further, the control unit 30 sends a command to the motor controller 34, which controls the rotation speed of the drive motor 54, in addition to controlling the timings of supplying the respective processing liquids described above, and performs overall processing.

【0034】また制御部30は、例えば上記温度センサ
84,85,86により各部が計測され、この計測され
た温度が所定の正常範囲内になければ異常とみなし、警
告装置45はこれを受けて何らかの警告を行うようにな
っている。この警告装置としては、例えば警告ブザーや
警告灯、あるいは操作ディスプレイ上の警告表示等を用
いている。
Further, the control unit 30 measures each part by, for example, the temperature sensors 84, 85 and 86, and if the measured temperature is not within a predetermined normal range, it is regarded as abnormal, and the warning device 45 receives this. Some kind of warning is given. As this warning device, for example, a warning buzzer, a warning light, or a warning display on the operation display is used.

【0035】次に、以上説明した塗布現像処理システム
1の一連の処理工程について説明する。
Next, a series of processing steps of the coating and developing processing system 1 described above will be described.

【0036】先ず、カセットステーション10におい
て、ウェハ搬送体21がカセット載置台20上の処理前
のウェハを収容しているカセットCRにアクセスして、
そのカセットCRから1枚のウェハWを取り出し、アラ
イメントユニット(ALIM)に搬送される。このアラ
イメントユニット(ALIM)にてウェハWの位置合わ
せが行われた後、主ウェハ搬送機構22によりアドヒー
ジョンユニット(AD)へ搬送され疎水化処理が行わ
れ、次いでクーリングユニット(COL)にて所定の冷
却処理が行われる。その後、レジスト塗布処理ユニット
(COT)に搬送され、(PAB)で所定の加熱処理が
行われ、クーリングユニット(COL)において冷却処
理され、その後ウェハ搬送体24によりインターフェー
ス部12を介して図示しない露光装置により露光処理が
行われる。露光処理が終了した後は、ポストエクスポー
ジャーベーキングユニット(PEB)で所定の加熱処理
が行われ、次に現像処理ユニット(DEV)に搬送され
て現像処理が行われる。この現像処理後は、所定の加熱
処理(ポストベーキング)を行うこともある。そしてウ
ェハWはクーリングユニット(COL)で所定の冷却処
理が行われ、エクステンションユニット(EXT)を介
してカセットCRに戻される。
First, in the cassette station 10, the wafer transfer body 21 accesses the cassette CR that contains the unprocessed wafer on the cassette mounting table 20,
One wafer W is taken out from the cassette CR and transferred to the alignment unit (ALIM). After the alignment of the wafer W by this alignment unit (ALIM), the wafer W is transferred to the adhesion unit (AD) by the main wafer transfer mechanism 22 and subjected to the hydrophobic treatment, and then by the cooling unit (COL). A predetermined cooling process is performed. After that, the wafer is transferred to a resist coating processing unit (COT), subjected to a predetermined heat treatment in (PAB), cooled in a cooling unit (COL), and then exposed by a wafer carrier 24 via an interface section 12 (not shown). An exposure process is performed by the apparatus. After the exposure process is completed, a predetermined heating process is performed in the post-exposure baking unit (PEB), and then the post-exposure baking unit (DEV) is conveyed to the development processing unit (DEV) to perform the development process. After this developing treatment, a predetermined heat treatment (post-baking) may be performed. Then, the wafer W is subjected to a predetermined cooling process in the cooling unit (COL) and returned to the cassette CR via the extension unit (EXT).

【0037】次に、図7、図8及び図9を参照して、現
像処理ユニット(DEV)における処理について説明す
る。図7及び図8は各処理液を供給する際の側面図であ
り、図9は、レジストパターンの拡大断面図である。
Next, the processing in the development processing unit (DEV) will be described with reference to FIGS. 7, 8 and 9. 7 and 8 are side views when each processing liquid is supplied, and FIG. 9 is an enlarged cross-sectional view of the resist pattern.

【0038】先ず、スピンチャック52が上昇し、主ウ
ェハ搬送機構22からウェハWを受け取ると、スピンチ
ャック52が下降しウェハWがカップCP内に収容され
る。そして、図7(a)に示すように現像液ノズル36
が現像液を吐出しながらウェハW上を移動し、吐出が終
了した後にウェハWを例えば60秒間放置し現像処理を
進行させる。ここでウェハWを所定の回転数で回転させ
て現像液41を伸展させ、例えば60秒間放置すること
により現像処理を進行させる。ここで高スループット化
を図るため、ウェハWを回転させながら現像液を吐出し
ても構わない。
First, when the spin chuck 52 moves up and receives the wafer W from the main wafer transfer mechanism 22, the spin chuck 52 moves down and the wafer W is accommodated in the cup CP. Then, as shown in FIG.
Moves on the wafer W while discharging the developing solution, and after the discharging is completed, the wafer W is left for, for example, 60 seconds to proceed with the developing process. Here, the wafer W is rotated at a predetermined number of rotations to extend the developing solution 41 and, for example, left for 60 seconds to proceed with the developing process. Here, in order to increase the throughput, the developing solution may be discharged while rotating the wafer W.

【0039】次に、図7(b)に示すように、現像液ノ
ズル36をカップ外へ移動させ、リンスノズル15をウ
ェハWの中心上へ移動させる。そして、図7(c)に示
すように、ウェハWを回転させながらリンス液42を吐
出し、現像液を洗い流す。このとき図9(a)に示すよ
うに、パターン29の上面29aがリンス液42から出
ないようにするために、ウェハの回転数を低速の300
rpm〜800rpm、より好ましくは500rpmと
する。パターン29の上面29aがリンス液42から出
てしまうと、リンス液の表面張力によりパターン倒れが
生じるおそれがあるためである。このようにウェハWの
回転を300rpm〜800rpmの低速回転とするこ
とにより、ウェハ上で流れるリンス液の速度を極力小さ
くして、現像液41を洗い流すときのパターン倒れを防
止することができる。
Next, as shown in FIG. 7B, the developing solution nozzle 36 is moved to the outside of the cup, and the rinse nozzle 15 is moved to the center of the wafer W. Then, as shown in FIG. 7C, the rinse liquid 42 is discharged while rotating the wafer W to wash away the developing liquid. At this time, as shown in FIG. 9A, in order to prevent the upper surface 29a of the pattern 29 from coming out of the rinse liquid 42, the rotation speed of the wafer is set to 300 at a low speed.
rpm to 800 rpm, and more preferably 500 rpm. This is because if the upper surface 29a of the pattern 29 comes out of the rinse liquid 42, the pattern collapse may occur due to the surface tension of the rinse liquid. By thus rotating the wafer W at a low speed of 300 rpm to 800 rpm, the speed of the rinse liquid flowing on the wafer can be minimized to prevent pattern collapse when the developer 41 is washed away.

【0040】次に、図8(a)に示すように、リンスノ
ズル15をカップ外へ移動させ、有機溶剤ノズル16を
ウェハWの中心上へ移動させる。そして、図8(b)に
示すように、ウェハWを停止させた状態で、ウェハWの
中心上に有機溶剤43を吐出する。ここで図9(b)に
示すように、有機溶剤としてリンス液42より比重の大
きいものを使用しているため、パターン29において有
機溶剤43がリンス液42より下方部に位置され、リン
ス液42がパターン29間から抜け出易くなり、パター
ン倒れを防止することができる。しかも、ウェハWを回
転させずに停止させた状態での供給を行っているので、
リンス液42と有機溶剤43とが置換される際に、リン
ス液42に遠心力を生じさせず、確実に置換することが
できる。
Next, as shown in FIG. 8A, the rinse nozzle 15 is moved to the outside of the cup, and the organic solvent nozzle 16 is moved to the center of the wafer W. Then, as shown in FIG. 8B, the organic solvent 43 is discharged onto the center of the wafer W while the wafer W is stopped. Here, as shown in FIG. 9B, since the organic solvent having a specific gravity larger than that of the rinse liquid 42 is used, the organic solvent 43 is positioned below the rinse liquid 42 in the pattern 29, and the rinse liquid 42 is Can be easily pulled out from between the patterns 29, and the pattern can be prevented from collapsing. Moreover, since the wafer W is supplied while being stopped without rotating,
When the rinse liquid 42 and the organic solvent 43 are replaced, a centrifugal force is not generated in the rinse liquid 42, and the rinse liquid 42 can be reliably replaced.

【0041】この後、図8(c)に示すように、ウェハ
Wを低速の300rpm〜1000rpm、より好まし
くは500rpmで回転させることにより、ウェハW全
面に有機溶剤43を伸展させつつ、ウェハW上からリン
ス液42が流れ出る際のパターン倒れを防止することが
できる。すなわち、ウェハWの回転が300rpmより
遅い場合には、有機溶剤がウェハ上のリンス液に対して
均一に混ざらず、有機溶剤が粒状になってリンス液中に
散在してしまい、このまま基板回転による振り切り乾燥
を行うとパターン倒れが生じてしまうからである。一
方、ウェハWの回転が1000rpmより速い場合に
は、有機溶剤がウェハW上を均一に伸展するが、このよ
うに有機溶剤が伸展する前にウェハ上からリンス液が流
れ出し、パターン倒れを引き起こす可能性が高いからで
ある。
Thereafter, as shown in FIG. 8C, the wafer W is rotated at a low speed of 300 rpm to 1000 rpm, more preferably 500 rpm, so that the organic solvent 43 is spread over the entire surface of the wafer W while It is possible to prevent the pattern from collapsing when the rinse liquid 42 flows out from. That is, when the rotation of the wafer W is slower than 300 rpm, the organic solvent is not uniformly mixed with the rinse liquid on the wafer, and the organic solvent becomes granular and scattered in the rinse liquid. This is because if the film is shaken off and dried, the pattern collapses. On the other hand, when the rotation of the wafer W is faster than 1000 rpm, the organic solvent uniformly spreads on the wafer W, but before the organic solvent spreads, the rinse liquid may flow out from the wafer and cause pattern collapse. This is because the property is high.

【0042】そして、最後にウェハWを所定の回転数で
回転させ振り切り乾燥処理を行う。この乾燥処理におい
て、図9(c)に示すように、有機溶剤43のパターン
29に対する接触角θは、65°〜90°となってお
り、有機溶剤43がパターン間から抜け出ても表面張力
は小さいので、パターン倒れを起こすことはない。より
好ましい接触角θは、70°〜80°である。このよう
な角度は、前述したように、表面張力がリンス液のそれ
よりも小さいハイドロフルオロエーテル(HFE)等を
用いることにより達成できる。HFEは上記のようにフ
ッ素を有しているため、このフッ素がパターン表面にコ
ーティングされることによって上記接触角が実現でき
る。
Then, finally, the wafer W is rotated at a predetermined number of revolutions to perform a spin-off drying process. In this drying process, as shown in FIG. 9C, the contact angle θ of the organic solvent 43 with respect to the pattern 29 is 65 ° to 90 °, and even if the organic solvent 43 comes out between the patterns, the surface tension is not changed. Because it is small, it does not cause pattern collapse. A more preferable contact angle θ is 70 ° to 80 °. Such an angle can be achieved by using hydrofluoroether (HFE) or the like having a surface tension smaller than that of the rinse liquid, as described above. Since HFE has fluorine as described above, the contact angle can be realized by coating the surface of the pattern with this fluorine.

【0043】図10は、有機溶剤(HFE等)を再利用
するために有機溶剤を回収する回収機構を示す構成図で
ある。カップCPの排液管57には、排液ライン101
を介して回収タンク93が接続されている。この回収タ
ンク93の底部には、有機溶剤98のみを回収するため
の回収ライン100が接続され、この回収ライン100
は有機溶剤を貯留している有機溶剤タンク95に接続さ
れている。なお、有機溶剤タンク95には、供給管83
を介して有機溶剤ノズル16が接続されている。
FIG. 10 is a block diagram showing a recovery mechanism for recovering the organic solvent in order to reuse the organic solvent (HFE or the like). The drainage line 57 is connected to the drainage pipe 57 of the cup CP.
A recovery tank 93 is connected via. A recovery line 100 for recovering only the organic solvent 98 is connected to the bottom of the recovery tank 93.
Is connected to an organic solvent tank 95 that stores an organic solvent. The organic solvent tank 95 has a supply pipe 83.
The organic solvent nozzle 16 is connected via.

【0044】回収ライン100には、ポンプ88、フィ
ルタ87が設けられている。一方、回収タンク93の上
部側には、リンス液97のみを排出するリンス液排出ラ
イン102が接続されており、このリンス液排出ライン
102にはポンプ89が設けられている。
The recovery line 100 is provided with a pump 88 and a filter 87. On the other hand, a rinse liquid discharge line 102 for discharging only the rinse liquid 97 is connected to the upper side of the recovery tank 93, and a pump 89 is provided in the rinse liquid discharge line 102.

【0045】排液ライン101には、現像液を含むリン
ス液を排出するための分岐ライン103が切替弁90を
介して接続されている。この切替弁90により、回収タ
ンクへ通じる排液ライン101と分岐ライン103との
間で排液の切替えが行われるようになっている。
A branch line 103 for discharging the rinse liquid containing the developer is connected to the drain line 101 via a switching valve 90. By the switching valve 90, the drainage is switched between the drainage line 101 and the branch line 103 leading to the recovery tank.

【0046】このように構成された有機溶剤回収機構に
おいては、先ず、切替弁90が分岐ライン103に接続
されている状態で、図7(c)に示したリンス液による
現像液の洗い流し処理が行われる。これにより、分岐ラ
イン103より現像液を含むリンス液が排出される。次
に、切替弁90が排液ライン101を連通している状態
で、図8(b)及び(c)で示した有機溶剤による処理
が行われる。これにより、排液ライン101よりリンス
液を含む有機溶剤が排出され、回収タンク93に回収さ
れる。ここで、リンス液と有機溶剤との比重の差によ
り、比重の高い有機溶剤98が下部に位置され、リンス
液がその上部に位置されるように分離される。これによ
って、有機溶剤98はポンプ88の作動で回収ライン1
00を介して有機溶剤タンク95へ回収され、一方、リ
ンス液97はポンプ89の作動でリンス液排出ライン1
02を介して排出される。
In the organic solvent recovery mechanism constructed as described above, first, in the state where the switching valve 90 is connected to the branch line 103, the washing process of the developing solution with the rinse solution shown in FIG. 7C is performed. Done. As a result, the rinse liquid containing the developer is discharged from the branch line 103. Next, with the switching valve 90 communicating with the drain line 101, the treatment with the organic solvent shown in FIGS. 8B and 8C is performed. As a result, the organic solvent containing the rinse liquid is discharged from the drain line 101 and collected in the collection tank 93. Here, due to the difference in specific gravity between the rinse liquid and the organic solvent, the organic solvent 98 having a high specific gravity is located in the lower portion, and the rinse liquid is separated in the upper portion. As a result, the organic solvent 98 is collected by the operation of the pump 88 in the recovery line 1.
The rinsing liquid 97 is recovered to the organic solvent tank 95 via the rectification liquid 00, while the rinsing liquid 97 is operated by the pump 89.
It is discharged via 02.

【0047】以上のようにして、リンス液と有機溶剤と
の比重の差を利用して、有機溶剤の再利用を図ることが
でき、有機溶剤の使用量を削減することができる。
As described above, the difference in specific gravity between the rinse liquid and the organic solvent can be utilized to recycle the organic solvent, and the amount of the organic solvent used can be reduced.

【0048】また、回収タンク93に超音波振動子91
を取り付け、回収タンク93に超音波振動を付与するこ
とにより、回収タンク93に回収されたリンス液及び有
機溶剤を効率良く、確実に分離することができる。
Further, the ultrasonic vibrator 91 is provided in the recovery tank 93.
By attaching an ultrasonic wave to the recovery tank 93 and applying ultrasonic vibration to the recovery tank 93, the rinse liquid and the organic solvent recovered in the recovery tank 93 can be efficiently and surely separated.

【0049】更に、本実施形態で用いたHFEは、リン
ス液よりも揮発性の高い溶剤であるため、上記のような
ウェハWの回転による振り切り乾燥を行わなくても、自
然乾燥により迅速にウェハを乾燥させることができる。
従って、乾燥処理工程を削減でき、高スループット化が
図れる。
Further, since the HFE used in this embodiment is a solvent having higher volatility than the rinse liquid, the wafer W can be quickly dried by the natural drying without performing the spin-off drying by the rotation of the wafer W as described above. Can be dried.
Therefore, the number of drying treatment steps can be reduced and high throughput can be achieved.

【0050】次に、このように自然乾燥した後の処理に
ついて説明する。上記のように、揮発性の高い有機溶剤
を用いるため、迅速にウェハの自然乾燥が行うことがで
きるが、ウェハは急激に冷えてしまう。そこで、本実施
形態では、このように急激に冷えたウェハの温度調整、
カップCPや雰囲気等の温度調整を行うようにしてい
る。ウェハの温度調整は、以下に説明するように、有機
溶剤供給後に再度、温度調整されたリンス液を供給する
ことにより行う。
Next, the processing after such natural drying will be described. As described above, since the organic solvent having high volatility is used, the wafer can be naturally dried quickly, but the wafer is cooled rapidly. Therefore, in the present embodiment, the temperature adjustment of the wafer that has rapidly cooled in this way,
The temperature of the cup CP and the atmosphere is adjusted. The temperature of the wafer is adjusted by supplying the rinse liquid whose temperature is adjusted again after supplying the organic solvent, as described below.

【0051】図11は、本実施形態に係るリンス液供給
機構の概略的な構成図である。リンス液が貯留されてい
る第1タンク37には第1供給配管47が接続され、同
じくリンス液が貯留されている第2タンク38には第2
供給配管48が接続されている。これら両タンク37,
38に貯留されているリンス液は同一のものであり、前
述したように例えば純水を用いている。供給配管47及
び48は、切替弁35を介して供給管82に接続されて
いる。第1供給配管47には、第1タンク37と切替弁
35との間に第1ベローズポンプ39が接続されてお
り、この第1ベローズポンプ39の作動により切替弁3
5側へリンス液が供給されるようになっている。また、
第2供給配管48には、第2タンク38と切替弁35と
の間に第2ベローズポンプ40が接続されており、この
第2ベローズポンプ40の作動により切替弁35側へリ
ンス液が供給されるようになっている。
FIG. 11 is a schematic diagram of the rinse liquid supply mechanism according to this embodiment. A first supply pipe 47 is connected to the first tank 37 in which the rinse liquid is stored, and a second supply pipe 47 is in the second tank 38 in which the rinse liquid is stored.
The supply pipe 48 is connected. Both of these tanks 37,
The rinse liquid stored in 38 is the same, and as described above, pure water is used, for example. The supply pipes 47 and 48 are connected to the supply pipe 82 via the switching valve 35. A first bellows pump 39 is connected to the first supply pipe 47 between the first tank 37 and the switching valve 35, and the switching valve 3 is operated by the operation of the first bellows pump 39.
The rinse liquid is supplied to the 5 side. Also,
A second bellows pump 40 is connected to the second supply pipe 48 between the second tank 38 and the switching valve 35, and the rinse liquid is supplied to the switching valve 35 side by the operation of the second bellows pump 40. It has become so.

【0052】第2タンク38と第2ベローズポンプ40
との間には、温調機構61が設けられており、この温調
機構61により、第2タンク38から供給されるリンス
液を所定の温度、例えば23℃前後に調整するようにな
っている。また、ベローズポンプ39及び40から所定
の供給量及びタイミングでそれぞれの処理液が供給でき
るように、制御部30によってそれぞれベローズポンプ
39及び40の作動が制御されるようになっている。
Second tank 38 and second bellows pump 40
A temperature adjusting mechanism 61 is provided between and, and the temperature adjusting mechanism 61 adjusts the rinse liquid supplied from the second tank 38 to a predetermined temperature, for example, around 23 ° C. . Further, the operation of the bellows pumps 39 and 40 is controlled by the control unit 30 so that the processing liquids can be supplied from the bellows pumps 39 and 40 at a predetermined supply amount and timing.

【0053】切替弁35は、供給管82に対する第1供
給配管47と第2供給配管48との接続を、制御部30
の命令に基づき適宜切り替える機能を有している。これ
により、所定のタイミングで、第1タンクからのリンス
液の供給と第2タンクからのリンス液の供給とが切り替
わるようになっている。
The switching valve 35 connects the first supply pipe 47 and the second supply pipe 48 with respect to the supply pipe 82 to the control unit 30.
It has a function of switching appropriately based on the command of. As a result, the supply of the rinse liquid from the first tank and the supply of the rinse liquid from the second tank are switched at a predetermined timing.

【0054】なお、このリンス液供給機構32において
切替弁35を設けなくても、リンスノズル2つ設け、両
タンク37,38からそれぞれ2つのリンスノズルに供
給配管を接続し供給するようにしてもよい。
Even if the changeover valve 35 is not provided in the rinse liquid supply mechanism 32, two rinse nozzles may be provided and supply pipes may be connected to the two rinse nozzles from the tanks 37 and 38, respectively. Good.

【0055】以上のようなリンス液供給機構32を用い
ることにより、例えば図8(c)に示したように有機溶
剤が供給されてウェハが乾燥し急激に冷えた後、温度調
整されたリンス液をウェハに供給し、ウェハを所定の温
度、例えば23℃前後に戻すことができ、熱履歴を均一
にすることができる。
By using the rinse liquid supply mechanism 32 as described above, for example, as shown in FIG. 8C, the organic solvent is supplied and the wafer is dried and cooled rapidly, and then the temperature adjusted rinse liquid is used. Can be supplied to the wafer and the wafer can be returned to a predetermined temperature, for example, around 23 ° C., and the thermal history can be made uniform.

【0056】また、ウェハが冷えることにより、カップ
CPやカップCP内の雰囲気も冷えてしまい、ウェハの
熱履歴が不均一となるおそれがある。そこで、有機溶剤
が供給されてウェハが乾燥した後、カップCP、排気管
59、排液管57の温度を温度センサ74,75,76
(図6参照)で計測し、計測された各温度がそれぞれ所
定の温度範囲内にあるときは正常とみなして、次のウェ
ハの現像処理ユニット(DEV)への搬入を行う。
Further, when the wafer is cooled, the cup CP and the atmosphere in the cup CP are also cooled, and the heat history of the wafer may become non-uniform. Then, after the organic solvent is supplied and the wafer is dried, the temperature of the cup CP, the exhaust pipe 59, and the drain pipe 57 are controlled by the temperature sensors 74, 75, 76.
(See FIG. 6). When each of the measured temperatures is within a predetermined temperature range, it is regarded as normal and the next wafer is loaded into the development processing unit (DEV).

【0057】一方、それぞれ所定の温度範囲内になけれ
ば、警告装置45により警告を発するようにする。この
場合、例えば現像処理ユニット(DEV)への次のウェ
ハの搬入動作を停止するか、あるいは塗布現像処理シス
テム1全体を停止状態とすることもできる。そして、カ
ップCP、排気管59及び排液管57等の温度が所定の
温度範囲内に戻った後、次のウェハの搬入動作を開始す
る。カップCP内が冷えた状態で次のウェハがカップ内
に収容されると、ウェハに対する悪影響、特に熱履歴の
不均一という問題が発生するが、このようなカップの温
調によりそのような問題を解消できる。また、カップが
冷えるとカップに結露が発生するため、カップが冷えた
状態でウェハを収容した場合、結露したパーティクルを
含む水分がウェハに垂れ落ちる可能性があり、問題とな
るが、本実施形態によればこのような問題はない。
On the other hand, if the temperature is not within the predetermined temperature range, the warning device 45 issues a warning. In this case, for example, the loading operation of the next wafer to the development processing unit (DEV) may be stopped, or the entire coating and development processing system 1 may be stopped. Then, after the temperatures of the cup CP, the exhaust pipe 59, the drain pipe 57, etc. have returned to within the predetermined temperature range, the next wafer loading operation is started. If the next wafer is housed in the cup while the inside of the cup CP is cold, there is a problem that the wafer is adversely affected, especially, the heat history is non-uniform. It can be resolved. Further, when the cup cools, dew condensation occurs on the cup. Therefore, when the wafer is accommodated in a cold state, moisture containing dew condensation particles may drip onto the wafer, which is a problem. According to him, there is no such problem.

【0058】また、排液管57及び排気管59は図示し
ない漏洩センサが取り付けられており、排液管57及び
排気管59が冷えることによって発生する結露が、当該
漏洩センサの誤作動を引き起こす可能性があるが、本実
施形態によれば、排液管57及び排気管59には、それ
ぞれ温調ヒータ86及び85が設けられているため、そ
のような問題を回避できる。
Further, a leak sensor (not shown) is attached to the drainage pipe 57 and the exhaust pipe 59, and dew condensation caused by cooling the drainage pipe 57 and the exhaust pipe 59 may cause malfunction of the leak sensor. However, according to the present embodiment, since the drain pipe 57 and the exhaust pipe 59 are provided with the temperature control heaters 86 and 85, respectively, such a problem can be avoided.

【0059】また、有機溶剤の揮発によるカップCP内
雰囲気の温度低下によって、排気管59の温度低下が生
ずるため、有機溶剤の供給の際には、上記真空ポンプ5
1の作動を停止するようにしてもよい。これにより、排
気管59の温度低下を防止し、結露の発生を防止でき
る。
Further, since the temperature of the exhaust pipe 59 is lowered due to the temperature decrease of the atmosphere inside the cup CP due to the volatilization of the organic solvent, the vacuum pump 5 is supplied when the organic solvent is supplied.
The operation of 1 may be stopped. As a result, the temperature of the exhaust pipe 59 can be prevented from lowering and the occurrence of dew condensation can be prevented.

【0060】更に、有機溶剤の供給の際には、上記ダウ
ンフローを制御するようにしてもよい。図12に示すよ
うに、現像処理ユニット(DEV)内の温度及び湿度を
計測する温湿度センサ53を設け、この温湿度センサ5
3の計測結果に基づいて制御部30の指令によりファン
フィルタユニット72から吹き出されるダウンフローが
制御される。例えば、温湿度センサ53により計測され
た温度及び湿度が所定の範囲内にあるときは、正常とみ
なしてそのまま次のウェハを搬入し処理を続ける。一
方、所定の温湿度の範囲内にないときはダウンフローの
量を多くし、速やかに所定の温湿度の範囲内になるよう
に調整する。所定の温湿度の範囲内になるまでの間は、
例えば、次のウェハの搬入動作を停止するか、あるいは
システム1全体を停止するようにしてもよい。また、こ
の場合も上記した場合と同様に、警告装置(図6参照)
により警告を行うことも可能である。
Further, when the organic solvent is supplied, the downflow may be controlled. As shown in FIG. 12, a temperature / humidity sensor 53 for measuring the temperature and humidity in the development processing unit (DEV) is provided.
The downflow blown out from the fan filter unit 72 is controlled by a command from the control unit 30 based on the measurement result of No. 3. For example, when the temperature and humidity measured by the temperature / humidity sensor 53 are within a predetermined range, it is regarded as normal and the next wafer is loaded as it is and the processing is continued. On the other hand, when the temperature is not within the predetermined temperature / humidity range, the amount of downflow is increased, and the temperature is quickly adjusted to fall within the predetermined temperature / humidity range. Until the temperature and humidity are within the specified range,
For example, the next wafer loading operation may be stopped, or the entire system 1 may be stopped. Also in this case, as in the case described above, a warning device (see FIG. 6)
It is also possible to give a warning by.

【0061】図13及び図14は、上記有機溶剤ノズル
16の別の実施形態を示すもので、下から見た斜視図で
ある。図に示す有機溶剤ノズル65はウェハの直径と略
同一の長さの長尺形状を有し、その下部には、供給管6
3から供給される有機溶剤をウェハW上に吐出するため
のスリット状の吐出口64が形成されている。また、図
14に示す有機溶剤ノズル67も同様に長尺形状を有
し、供給管63から供給される有機溶剤をウェハ上に吐
出するための孔66が複数形成されている。
FIGS. 13 and 14 show another embodiment of the organic solvent nozzle 16 and are perspective views seen from below. The organic solvent nozzle 65 shown in the figure has an elongated shape having a length substantially the same as the diameter of the wafer, and the supply pipe 6 is provided below the elongated shape.
A slit-shaped ejection port 64 for ejecting the organic solvent supplied from No. 3 onto the wafer W is formed. Similarly, the organic solvent nozzle 67 shown in FIG. 14 also has an elongated shape, and is provided with a plurality of holes 66 for discharging the organic solvent supplied from the supply pipe 63 onto the wafer.

【0062】これらの有機溶剤ノズル65,67を用い
て図15に示すように、ウェハW上を例えば矢印Aで示
す方向に走査させることにより、ウェハW上の全面に有
機溶剤を供給しリンス液と置換する。この有機溶剤供給
の際、前述したようにウェハWは停止させた状態で行う
ことが好ましい。このような長尺状のノズルを用いるこ
とにより、ウェハWを回転させずにウェハW全面に均一
に有機溶剤を供給することができるので、ウェハWを回
転させた場合のリンス液が基板上を流れることによるパ
ターン倒れを防止できる。なお、上記リンスノズル15
についても、このような長尺形状のノズルを用いるよう
にしてもよい。
As shown in FIG. 15, by using these organic solvent nozzles 65 and 67, the wafer W is scanned in the direction shown by the arrow A, for example, to supply the organic solvent to the entire surface of the wafer W and rinse solution. To replace. At the time of supplying the organic solvent, it is preferable that the wafer W is stopped as described above. By using such a long nozzle, the organic solvent can be uniformly supplied to the entire surface of the wafer W without rotating the wafer W, so that the rinsing liquid when the wafer W is rotated can be applied to the substrate. Pattern collapse due to flowing can be prevented. In addition, the rinse nozzle 15
Also in this case, such an elongated nozzle may be used.

【0063】本発明は以上説明した実施形態には限定さ
れるものではなく、種々の変形が可能である。
The present invention is not limited to the embodiments described above, and various modifications are possible.

【0064】例えば、図7(c)で示すリンス液42の
供給は、ウェハWを停止させて行うようにしてもよい。
これにより、ウェハWの洗浄率、洗浄速度は低下する
が、ウェハW上のリンス液の流速を極力小さくして、現
像液41を洗い流すときのパターン倒れを防止すること
ができる。
For example, the supply of the rinse liquid 42 shown in FIG. 7C may be performed with the wafer W stopped.
As a result, the cleaning rate and cleaning speed of the wafer W are reduced, but the flow rate of the rinse liquid on the wafer W can be minimized to prevent pattern collapse when the developer 41 is washed away.

【0065】また、上記有機溶剤の代わりに、フッ素を
含む界面活性剤を供給するようにしてもよい。この場
合、界面活性剤はリンス液と混合し易いのでリンス液に
混合してもよいし、現像液中に界面活性剤を混合して、
現像液の供給に兼ねてレジストパターンに対しフッ素コ
ーティングを行うようにしてもよい。
Further, a surfactant containing fluorine may be supplied instead of the organic solvent. In this case, since the surfactant is easily mixed with the rinse liquid, it may be mixed with the rinse liquid, or by mixing the surfactant in the developer,
Fluorine coating may be applied to the resist pattern in order to supply the developing solution.

【0066】また、上記実施形態においては、カップC
P、排液管57及び排気管59の温調を行うようにした
が、これらに限らず、スピンチャック52についても温
調するようにしてもよい。
In the above embodiment, the cup C
Although the temperature of P, the drainage pipe 57, and the exhaust pipe 59 is adjusted, the temperature of the spin chuck 52 may be adjusted not limited to these.

【0067】更に、上記実施形態においては、基板とし
て半導体ウェハを使用したが、これに限らず、液晶ディ
スプレイ等に使用されるガラス基板についても本発明は
適用可能である。
Further, although the semiconductor wafer is used as the substrate in the above embodiment, the present invention is not limited to this, and the present invention can be applied to a glass substrate used for a liquid crystal display or the like.

【0068】[0068]

【発明の効果】以上説明したように、本発明によれば、
パターン間に生じる引力を極力低減させることができ、
パターン倒れを防止することができる。
As described above, according to the present invention,
The attractive force generated between patterns can be reduced as much as possible,
It is possible to prevent the pattern from falling.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の第1の実施形態に係る塗布現像処理シ
ステムの平面図である。
FIG. 1 is a plan view of a coating and developing treatment system according to a first embodiment of the present invention.

【図2】図1に示す塗布現像処理システムの正面図であ
る。
FIG. 2 is a front view of the coating and developing treatment system shown in FIG.

【図3】図1に示す塗布現像処理システムの背面図であ
る。
3 is a rear view of the coating and developing treatment system shown in FIG.

【図4】図1に示す塗布現像処理システムの清浄空気の
流れを説明するための正面図である。
FIG. 4 is a front view for explaining the flow of clean air in the coating and developing treatment system shown in FIG.

【図5】本発明の一実施形態に係る現像処理ユニットを
示す平面図である。
FIG. 5 is a plan view showing a development processing unit according to an embodiment of the present invention.

【図6】図5に示す現像処理ユニットを示す断面図であ
る。
FIG. 6 is a cross-sectional view showing the development processing unit shown in FIG.

【図7】現像処理ユニットにおける処理を順に示す側面
図である。
FIG. 7 is a side view showing the processing in the developing processing unit in order.

【図8】同処理を順に示す側面図である。FIG. 8 is a side view showing the same process in order.

【図9】現像処理の際のレジストパターンを示す断面図
であり、(a)はリンス液供給後、(b)は有機溶剤供
給後、(c)は有機溶剤が乾燥する途中を示す図であ
る。
9A and 9B are cross-sectional views showing a resist pattern at the time of development processing. FIG. 9A is a diagram showing a state after the rinse solution is supplied, FIG. is there.

【図10】有機溶剤を回収する回収機構を示す構成図で
ある。
FIG. 10 is a configuration diagram showing a recovery mechanism for recovering an organic solvent.

【図11】一実施形態に係るリンス液供給機構の構成図
である。
FIG. 11 is a configuration diagram of a rinse liquid supply mechanism according to an embodiment.

【図12】図6におけるダウンフローの制御を示す図で
ある。
FIG. 12 is a diagram showing downflow control in FIG. 6;

【図13】スリット状の吐出口を有する有機溶剤ノズル
の下から見た斜視図である。
FIG. 13 is a perspective view seen from below an organic solvent nozzle having a slit-shaped discharge port.

【図14】複数孔を有する有機溶剤ノズルの下から見た
斜視図である。
FIG. 14 is a perspective view seen from below of an organic solvent nozzle having a plurality of holes.

【図15】図13及び図14に示すノズルにより有機溶
剤を供給する際の斜視図である。
FIG. 15 is a perspective view when an organic solvent is supplied by the nozzle shown in FIGS. 13 and 14.

【符号の説明】[Explanation of symbols]

W…半導体ウェハ 15…リンスノズル 16…有機溶剤ノズル 29…レジストパターン 30…制御部 31…現像液供給機構 32…リンス液供給機構 33…有機溶剤供給機構 34…モータコントローラ 36…現像液ノズル 41,98…現像液 42,97…リンス液 43…有機溶剤 52…スピンチャック 54…駆動モータ 65,67…有機溶剤ノズル 87…フィルタ 88,89…ポンプ 90…切替弁 93…回収タンク 95…有機溶剤タンク 100…回収ライン 101…排液ライン 102…リンス液排出ライン 103…分岐ライン W: Semiconductor wafer 15 ... Rinse nozzle 16 ... Organic solvent nozzle 29 ... Resist pattern 30 ... Control unit 31 ... Developer supply mechanism 32 ... Rinse liquid supply mechanism 33 ... Organic solvent supply mechanism 34 ... Motor controller 36 ... Developer nozzle 41, 98 ... Developer 42, 97 ... Rinse solution 43 ... Organic solvent 52 ... Spin chuck 54 ... Drive motor 65, 67 ... Organic solvent nozzle 87 ... Filter 88, 89 ... Pump 90 ... Switching valve 93 ... Recovery tank 95 ... Organic solvent tank 100 ... Collection line 101 ... drainage line 102 ... Rinse liquid discharge line 103 ... Branch line

Claims (12)

【特許請求の範囲】[Claims] 【請求項1】 (a)レジストパターンが現像された基
板上に、リンス液を供給する工程と、 (b)基板を停止させた状態で、該基板上に前記リンス
液より比重が大きい処理液を供給する工程とを具備する
ことを特徴とする現像処理方法。
1. A process of supplying a rinse liquid onto a substrate having a resist pattern developed thereon, and a treatment liquid having a specific gravity greater than that of the rinse liquid on the substrate while the substrate is stopped. And a step of supplying the developer.
【請求項2】 請求項1に記載の現像処理方法におい
て、 (c)前記工程(b)の後、基板を所定の回転数で回転
させる工程を更に具備することを特徴とする現像処理方
法。
2. The development processing method according to claim 1, further comprising the step of: (c) rotating the substrate at a predetermined rotation speed after the step (b).
【請求項3】 請求項2に記載の現像処理方法におい
て、 前記工程(c)における基板の回転数は、300rpm
〜1000rpmであることを特徴とする現像処理方
法。
3. The development processing method according to claim 2, wherein the rotation speed of the substrate in the step (c) is 300 rpm.
~ 1000 rpm, a development processing method characterized by the above.
【請求項4】 請求項1に記載の現像処理方法におい
て、 前記工程(b)における処理液の供給は、基板の直径と
略同一の長さを有する長尺状のノズルにより基板上を走
査させて行うことを特徴とする現像処理方法。
4. The development processing method according to claim 1, wherein the supply of the processing liquid in the step (b) is performed by scanning the substrate with an elongated nozzle having a length substantially the same as the diameter of the substrate. The development processing method is characterized in that
【請求項5】 請求項1に記載の現像処理方法におい
て、 前記処理液を回収し再利用する工程を更に具備すること
を特徴とする現像処理方法。
5. The development processing method according to claim 1, further comprising a step of collecting and reusing the processing liquid.
【請求項6】 請求項1から請求項5のうちいずれか1
項に記載の現像処理方法において、 前記処理液は、ハイドロフロオロエーテルであることを
特徴とする現像処理方法。
6. Any one of claims 1 to 5
The development processing method as described in the item 1, wherein the processing liquid is hydrofluoroether.
【請求項7】 基板を保持し回転させる回転保持手段
と、 前記回転保持手段により保持された基板上に現像液を供
給する現像液供給手段と、 前記現像液が供給されレジストパターンが現像された基
板上に、リンス液を供給するリンス液供給手段と、 基板を停止させた状態で、該基板上に該リンス液より比
重が大きい処理液を供給する処理液供給手段とを具備す
ることを特徴とする現像処理装置。
7. A rotation holding means for holding and rotating a substrate, a developing solution supply means for supplying a developing solution onto the substrate held by the rotation holding means, and a developing solution supplied to develop a resist pattern. A rinsing liquid supply unit for supplying a rinsing liquid onto the substrate; and a processing liquid supply unit for supplying a processing liquid having a specific gravity larger than that of the rinsing liquid onto the substrate while the substrate is stopped. And development processing equipment.
【請求項8】 請求項7に記載の現像処理装置におい
て、 前記処理液を供給した後、基板を所定の回転数で回転さ
せることを特徴とする現像処理装置。
8. The development processing apparatus according to claim 7, wherein the substrate is rotated at a predetermined rotation speed after the processing liquid is supplied.
【請求項9】 請求項8に記載の現像処理装置におい
て、 前記基板の回転数は、300rpm〜1000rpmで
あることを特徴とする現像処理装置
9. The development processing apparatus according to claim 8, wherein the rotation speed of the substrate is 300 rpm to 1000 rpm.
【請求項10】 請求項7に記載の現像処理装置におい
て、 前記基板の直径と略同一の長さを有し、前記処理液を供
給するための長尺状のノズルを更に具備し、 前記長尺状のノズルにより基板上を走査させて、前記処
理液を供給することを特徴とする現像処理装置。
10. The developing treatment apparatus according to claim 7, further comprising a long nozzle having a length substantially the same as the diameter of the substrate and supplying the treatment liquid. A development processing apparatus, characterized in that the substrate is scanned by a lengthy nozzle to supply the processing liquid.
【請求項11】 請求項7に記載の現像処理装置におい
て、 前記処理液を回収し再利用する手段を更に具備すること
を特徴とする現像処理装置。
11. The development processing apparatus according to claim 7, further comprising means for collecting and reusing the processing liquid.
【請求項12】 請求項7から請求項11のうちいずれ
か1項に記載の現像処理装置において、 前記処理液は、ハイドロフロオロエーテルであることを
特徴とする現像処理装置。
12. The development processing apparatus according to claim 7, wherein the processing liquid is hydrofluoroether.
JP2001375624A 2001-12-10 2001-12-10 Developing method and developing apparatus Pending JP2003178944A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2001375624A JP2003178944A (en) 2001-12-10 2001-12-10 Developing method and developing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001375624A JP2003178944A (en) 2001-12-10 2001-12-10 Developing method and developing apparatus

Publications (1)

Publication Number Publication Date
JP2003178944A true JP2003178944A (en) 2003-06-27

Family

ID=19183962

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001375624A Pending JP2003178944A (en) 2001-12-10 2001-12-10 Developing method and developing apparatus

Country Status (1)

Country Link
JP (1) JP2003178944A (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005101468A1 (en) * 2004-04-13 2005-10-27 Tokyo Electron Limited Rinse treatment method and development process method
JP2005328034A (en) * 2004-04-13 2005-11-24 Tokyo Electron Ltd Rinsing treatment method and developing treatment method
US7811748B2 (en) 2004-04-23 2010-10-12 Tokyo Ohka Kogyo Co., Ltd. Resist pattern forming method and composite rinse agent
WO2011040140A1 (en) * 2009-10-02 2011-04-07 東京エレクトロン株式会社 Developing method
US8367312B2 (en) 2006-01-11 2013-02-05 Tokyo Ohka Kogyo Co., Ltd. Detergent for lithography and method of forming resist pattern with the same
JP2017011095A (en) * 2015-06-22 2017-01-12 株式会社Screenホールディングス Substrate processing apparatus and substrate processing method
JP2020014022A (en) * 2019-10-11 2020-01-23 株式会社Screenホールディングス Substrate processing apparatus and substrate processing method
US11986853B2 (en) 2015-06-03 2024-05-21 SCREEN Holdings Co., Ltd. Substrate processing apparatus, film formation unit, substrate processing method and film formation method

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005328034A (en) * 2004-04-13 2005-11-24 Tokyo Electron Ltd Rinsing treatment method and developing treatment method
JP4504229B2 (en) * 2004-04-13 2010-07-14 東京エレクトロン株式会社 Rinse processing method and development processing method
WO2005101468A1 (en) * 2004-04-13 2005-10-27 Tokyo Electron Limited Rinse treatment method and development process method
US8398320B2 (en) 2004-04-13 2013-03-19 Tokyo Electron Limited Non-transitory storage medium for rinsing or developing sequence
US7968278B2 (en) 2004-04-13 2011-06-28 Tokyo Electron Limited Rinse treatment method and development process method
US7811748B2 (en) 2004-04-23 2010-10-12 Tokyo Ohka Kogyo Co., Ltd. Resist pattern forming method and composite rinse agent
US8367312B2 (en) 2006-01-11 2013-02-05 Tokyo Ohka Kogyo Co., Ltd. Detergent for lithography and method of forming resist pattern with the same
WO2011040140A1 (en) * 2009-10-02 2011-04-07 東京エレクトロン株式会社 Developing method
JP2011082200A (en) * 2009-10-02 2011-04-21 Tokyo Electron Ltd Developing method
US8691497B2 (en) 2009-10-02 2014-04-08 Tokyo Electron Limited Developing treatment method
TWI459159B (en) * 2009-10-02 2014-11-01 Tokyo Electron Ltd Developing processing method and substrate processing method
KR101568460B1 (en) * 2009-10-02 2015-11-11 도쿄엘렉트론가부시키가이샤 Developing method
US11986853B2 (en) 2015-06-03 2024-05-21 SCREEN Holdings Co., Ltd. Substrate processing apparatus, film formation unit, substrate processing method and film formation method
JP2017011095A (en) * 2015-06-22 2017-01-12 株式会社Screenホールディングス Substrate processing apparatus and substrate processing method
CN107636803A (en) * 2015-06-22 2018-01-26 株式会社斯库林集团 Substrate board treatment and substrate processing method using same
US10331034B2 (en) 2015-06-22 2019-06-25 SCREEN Holdings Co., Ltd. Substrate processing apparatus and substrate processing method
CN107636803B (en) * 2015-06-22 2021-06-11 株式会社斯库林集团 Substrate processing apparatus and substrate processing method
JP2020014022A (en) * 2019-10-11 2020-01-23 株式会社Screenホールディングス Substrate processing apparatus and substrate processing method

Similar Documents

Publication Publication Date Title
JP3381776B2 (en) Processing device and processing method
KR100558026B1 (en) Treatment device and treatment method
JP5136103B2 (en) Cleaning device and method, coating and developing device and method, and storage medium
KR100655564B1 (en) Coating processing apparatus
JP2002151376A (en) Development method and development apparatus
JP2000315671A (en) Substrate processor and substrate processing method
KR101568460B1 (en) Developing method
US7387455B2 (en) Substrate processing device, substrate processing method, and developing device
JP6728358B2 (en) Substrate processing apparatus, substrate processing method and storage medium
JP4185710B2 (en) Substrate processing apparatus and substrate processing method
US6878401B2 (en) Substrate processing method
JP3993496B2 (en) Substrate processing method and coating processing apparatus
JP2002219424A (en) Substrate processing unit and substrate processing method
KR100915645B1 (en) Substrate treating device and substrate treating method
JP2003178946A (en) Developing method and developing device
JP2010010251A (en) Substrate processing device
JPH11260718A (en) Method and apparatus for development
JP2003178943A (en) Developing method and developing apparatus
JP2003178944A (en) Developing method and developing apparatus
JP3958993B2 (en) Liquid processing apparatus and liquid processing method
JP3535997B2 (en) Development processing apparatus and development processing method
KR100687393B1 (en) Processing apparatus and processing method
JP2003178942A (en) Developing method and developing apparatus
JP2003109897A (en) Method and device for processing development
JP2004319990A (en) Substrate processing method and substrate processing equipment

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050713

A131 Notification of reasons for refusal

Effective date: 20050726

Free format text: JAPANESE INTERMEDIATE CODE: A131

A02 Decision of refusal

Effective date: 20060110

Free format text: JAPANESE INTERMEDIATE CODE: A02