JP2002288257A - Method and device for power consumption evaluation - Google Patents

Method and device for power consumption evaluation

Info

Publication number
JP2002288257A
JP2002288257A JP2001084451A JP2001084451A JP2002288257A JP 2002288257 A JP2002288257 A JP 2002288257A JP 2001084451 A JP2001084451 A JP 2001084451A JP 2001084451 A JP2001084451 A JP 2001084451A JP 2002288257 A JP2002288257 A JP 2002288257A
Authority
JP
Japan
Prior art keywords
power consumption
output
circuit
logic gate
detection circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001084451A
Other languages
Japanese (ja)
Inventor
Yasushi Sugisawa
裕史 杉澤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP2001084451A priority Critical patent/JP2002288257A/en
Publication of JP2002288257A publication Critical patent/JP2002288257A/en
Pending legal-status Critical Current

Links

Landscapes

  • Tests Of Electronic Circuits (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a method to greatly shorten the time for simulation required for the number of times of toggle for output of each logic gate, in a circuit where power consumption is to be measured. SOLUTION: In the toggle detecting circuit, one-bit registers 101 and 102 are provided and each signal for times t-1 and t-2 is retained for input 100 at a time 't'. '1' is outputted by a detector 103 only for variations of from 0 to 1 and from 1 to 0 at the time 't'. Signals obtained by a detector 102 is added by an accumulator 104. The number of toggles are retained by a register 105 for a number of bits, and a toggle detection circuit 106 and a power consumption measuring circuit are realized to a programmable array, test vector for simulation is inputted and the toggle number of times is obtained by referring the result of the resistor 105, after the simulation is finished.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、集積回路の消費電
力を高速に事前評価する消費電力評価方法および装置に
関するものである。
[0001] 1. Field of the Invention [0002] The present invention relates to a power consumption evaluation method and apparatus for preliminarily evaluating the power consumption of an integrated circuit at high speed.

【0002】[0002]

【従来の技術】集積回路の消費電力を事前に評価するに
は、Verilog-XL,VCS等のソフトウエアシミュレータを
リンクした、消費電力解析ツールを用いて、集積回路中
の各論理ゲートのトグル確率をシミュレートして算出
し、以下の式から消費電力を計算している。
2. Description of the Related Art In order to evaluate the power consumption of an integrated circuit in advance, a power consumption analysis tool linked with a software simulator such as Verilog-XL or VCS is used to determine the toggle probability of each logic gate in the integrated circuit. Is simulated, and the power consumption is calculated from the following equation.

【0003】集積回路の消費電力=Σ(Tr×F×(P
0+ΔPs×Δs+CL×ΔPCL)) Tr:トグル率 F:動作周波数 P0:論理ゲート固有の単位周波数あたりの消費電力 ΔPs:単位周波数当たりの信号なまりによる消費電力 ΔPCL:単位周波数当たりの出力負荷による消費電力 Δs:信号のなまり CL:出力負荷容量 Tr=トグル回数÷シミュレーションサイクル数
The power consumption of an integrated circuit = Σ (Tr × F × (P
0 + ΔPs × Δs + CL × ΔPCL)) Tr: Toggle rate F: Operating frequency P0: Power consumption per unit frequency peculiar to logic gate ΔPs: Power consumption by signal rounding per unit frequency ΔPCL: Power consumption by output load per unit frequency Δs : Signal rounding CL: Output load capacity Tr = Number of toggles / Number of simulation cycles

【0004】[0004]

【発明が解決しようとする課題】しかし集積回路の大規
模化にともない、各論理ゲートのトグル回数を計測する
のに要する時間が増大し、従来のソフトウェアシミュレ
ータで実時間での事前消費電力評価が益々困難になって
いる。
However, as the scale of an integrated circuit increases, the time required to measure the number of toggles of each logic gate increases. It is getting more and more difficult.

【0005】一方、最近、Verilog-XL,VCSなどのソフ
トウェアエミュレータで行っていた論理検証を、フィー
ルドプログラマブルアレイを用いたハードウェアエミュ
レータなどで前者の一万倍から十万倍高速に論理検証を
行うことが可能となってきている。
On the other hand, the logic verification which has recently been performed by software emulators such as Verilog-XL and VCS is performed by a hardware emulator using a field programmable array at a speed of 10,000 to 100,000 times faster. It is becoming possible.

【0006】そこで、本発明は、フィールドプログラマ
ブルアレイを利用して、上記のトグル回数の算出に要す
る時間を、従来より大幅に短縮することができる消費電
力評価方法および装置を提供することである。
Accordingly, an object of the present invention is to provide a power consumption evaluation method and apparatus that can greatly reduce the time required for calculating the number of toggles by using a field programmable array as compared with the related art.

【0007】[0007]

【課題を解決するための手段】本発明は、の事実に鑑
み、集積回路の機能と消費電力計測機能をフィールドプ
ログラマブルアレイで構成し、高速に検証する装置を用
いて、消費電力シミュレーション時間増大のボトルネッ
クとなる論理ゲートのトグル回数出力の高速化を図るも
のである。
SUMMARY OF THE INVENTION In view of the above, the present invention provides an integrated circuit function and a power consumption measuring function in a field-programmable array. It is intended to speed up the output of the number of toggles of the logic gate which becomes a bottleneck.

【0008】請求項1記載の消費電力評価方法は、消費
電力計測対象回路と、この消費電力計測対象回路の回路
要素のトグル回数を検出するトグル検出回路とをフィー
ルドプログラマブルアレイに構成し、フィールドプログ
ラマブルアレイにシミュレーションパターンを入力し、
シミュレーションの終了後にトグル検出回路により検出
したトグル回数を消費電力計測対象回路の消費電力を求
めることを特徴とするものである。
According to a first aspect of the present invention, there is provided a power consumption evaluation method, wherein a power consumption measurement target circuit and a toggle detection circuit for detecting the number of toggles of circuit elements of the power consumption measurement target circuit are configured in a field programmable array. Enter the simulation pattern into the array,
It is characterized in that the number of toggles detected by the toggle detection circuit after the end of the simulation is obtained as the power consumption of the power consumption measurement target circuit.

【0009】請求項1記載の消費電力評価方法によれ
ば、従来のソフトウェアエミュレータによる消費電力評
価方法より、消費電力計測対象回路の各論理ゲート出力
のトグル回数算出に関して、シミュレーション時間を大
幅に短縮でき、したがって大幅な高速化が可能となり、
早期の消費電力の事前評価が可能となる。
According to the power consumption evaluation method of the present invention, the simulation time for calculating the number of toggles of each logic gate output of the power consumption measurement target circuit can be significantly reduced as compared with the power consumption evaluation method using the conventional software emulator. , Thus allowing for significant speedups,
Early evaluation of power consumption becomes possible.

【0010】請求項2記載の消費電力評価装置は、消費
電力計測対象回路と、この消費電力計測対象回路の各論
理ゲートの出力のトグル回数を検出するトグル検出回路
とを構成したフィールドプログラマブルアレイを備え、
フィールドプログラマブルアレイを用いてシミュレーシ
ョンにより消費電力計測対象回路の消費電力を計測する
ためのトグル回数を求める消費電力評価装置であって、
トグル検出回路は、時刻tでの各論理ゲートの出力を保
持する第1のレジスタと、時刻t−1で各論理ゲートの
出力を保持する第2のレジスタと、第1のレジスタおよ
び第2のレジスタの出力のトグル変化を検出する検出器
と、検出器の検出回数を累算するための加算器と、加算
器の累算結果を格納するシフトレジスタを有し、シフト
レジスタの出力からトグル回数を得ることを特徴とする
ものである。
According to a second aspect of the present invention, there is provided a power consumption evaluation apparatus comprising: a field programmable array comprising a power consumption measurement target circuit; and a toggle detection circuit for detecting the number of toggles of the output of each logic gate of the power consumption measurement target circuit. Prepared,
A power consumption evaluation device for calculating the number of toggles for measuring the power consumption of a power consumption measurement target circuit by simulation using a field programmable array,
The toggle detection circuit includes a first register that holds the output of each logic gate at time t, a second register that holds the output of each logic gate at time t−1, a first register and a second register. A detector for detecting a toggle change in the output of the register, an adder for accumulating the number of detections of the detector, and a shift register for storing the accumulation result of the adder; Is obtained.

【0011】請求項2記載の消費電力評価装置によれ
ば、集積回路の消費電力評価を行うのに、評価対象ブロ
ックにおける各論理ゲートのトグル回数を計測する手段
として、検出回路は、時刻tにおける論理ゲートの出力
信号の“Low”から“High”または、“High“から“Low
“を確実に検出するために、時刻tにおける論理ゲート
の出力信号を保持するレジスタと、時刻t−1における
論理ゲートの出力信号を保持するレジスタを備えてい
る。また検出回路は上記2つのレジスタの出力変化を検
出する検出器と、この検出器の検出回数を累算するため
の加算器と、この加算器の累算結果を格納するレジスタ
を備えている。このトグル検出回路をフィールドプログ
ラマブルアレイ中に、消費電力を計測する対象の集積回
路自身とともに実現し、集積回路の消費電力を計測する
ものである。
According to the power consumption evaluation device of the present invention, in order to evaluate the power consumption of the integrated circuit, the detection circuit is provided as a means for measuring the number of toggles of each logic gate in the evaluation target block. Logic gate output signal from “Low” to “High” or “High” to “Low”
In order to reliably detect ", a register for holding the output signal of the logic gate at time t and a register for holding the output signal of the logic gate at time t-1 are provided. And a register for accumulating the number of detections of the detector, and a register for storing the accumulation result of the adder. It is realized together with the integrated circuit itself whose power consumption is to be measured, and measures the power consumption of the integrated circuit.

【0012】このように、トグル検出回路の機能集積回
路の機能をフィールドプログラマブルアレイ中に実現
し、集積回路の消費電力を計測する。したがって、フィ
ールドプログラマブルアレイという実デバイスを用い
て、集積回路の機能だけでなく消費電力を計測可能な機
能をモデル化するために、従来のソフトウェアシミュレ
ータによる集積回路と消費電力計測の機能モデルより
も、信号伝播時間は短縮されるので、シミュレーション
にかかる時間が大幅に削減できる。
As described above, the function of the function integrated circuit of the toggle detection circuit is realized in the field programmable array, and the power consumption of the integrated circuit is measured. Therefore, in order to model not only the function of the integrated circuit but also the function that can measure the power consumption using the real device called the field programmable array, Since the signal propagation time is reduced, the time required for the simulation can be significantly reduced.

【0013】請求項3記載の消費電力評価方法は、消費
電力計測対象回路の各論理ゲートの出力のトグル回数を
検出するためのトグル検出回路を、文書整形プログラム
を用いて、消費電力計測対象回路を記述したテキストフ
ァイルの各論理ゲートの出力それぞれに接続し、消費電
力を評価可能にテキスト変換したテキストファイルを、
汎用のフィールドプログラマブルアレイに実現した後、
フィールドプログラマブルアレイにシミュレーションパ
ターンを入力し、論理ゲートのトグル回数を計測するも
のである。
According to a third aspect of the present invention, there is provided a power consumption measuring method for detecting a toggle count of an output of each logic gate of a power consumption measuring circuit by using a document shaping program. Is connected to each output of each logic gate of the text file, and the text file converted into text so that power consumption can be evaluated is
After realizing a general-purpose field programmable array,
The simulation pattern is input to the field programmable array, and the number of toggles of the logic gate is measured.

【0014】請求項3記載の消費電力評価方法によれ
ば、消費電力計測対象回路を記述したテキストファイル
中の各論理ゲートの出力に、トグル回路を文書変換のプ
ログラムを用いてテキスト変換処理で追加し、追加した
回路のテキストファイルを汎用のフィールドプログラマ
ブルアレイに入力させるため、集積回路の機能と消費電
力計測機能モデルを構築するのに、簡単なテキスト変換
プログラムと汎用のフィールドプログラマブルアレイで
実現できるので、安価に消費電力評価が可能となる。
According to the power consumption evaluation method of the present invention, a toggle circuit is added to the output of each logic gate in the text file describing the power consumption measurement target circuit by a text conversion process using a document conversion program. Since a text file of the added circuit is input to a general-purpose field programmable array, a simple text conversion program and a general-purpose field programmable array can be used to build an integrated circuit function and power consumption measurement function model. Thus, power consumption can be evaluated at low cost.

【0015】請求項4記載の消費電力評価方法は、消費
電力計測対象回路の各論理ゲートの出力のトグル回数を
検出するためのトグル検出回路と様々な論理ゲートで構
成された専用フィールドプログラマブルアレイを用い
て、消費電力計測対象の各論理ゲートの出力にトグル検
出回路を専用フィールドプログラマブルアレイ中で自動
的に接続した後、トグル検出回路より論理ゲート出力の
トグル回数を計測するものである。
According to a fourth aspect of the present invention, there is provided a power consumption evaluation method, comprising: a toggle detection circuit for detecting the number of toggling of the output of each logic gate of a power consumption measurement target circuit; and a dedicated field programmable array comprising various logic gates. After the toggle detection circuit is automatically connected to the output of each logic gate whose power consumption is to be measured in the dedicated field programmable array, the number of toggles of the logic gate output is measured by the toggle detection circuit.

【0016】請求項4記載の消費電力評価方法によれ
ば、トグル回路と汎用の論理ゲートが予め多数集積され
た専用のフィールドプログラマブルアレイ内に、計測対
象回路の機能と、消費電力計測機能を実現するものであ
り、集積回路自体の機能を実現する論理と、消費電力計
測機能を実現する論理が完全に分離したフィールドプロ
グラマブルアレイであるため、消費電力計測可能な集積
回路モデルをフィールドプログラマブルアレイに実現す
る時間に要する時間が短くてすむ。
According to the power consumption evaluation method of the fourth aspect, the function of the circuit to be measured and the power consumption measurement function are realized in a dedicated field programmable array in which a large number of toggle circuits and general-purpose logic gates are integrated in advance. The logic that implements the function of the integrated circuit itself and the logic that implements the power consumption measurement function are completely separated from each other, making it possible to implement an integrated circuit model capable of measuring power consumption in a field programmable array. It takes less time to do it.

【0017】請求項5記載の消費電力評価手法は、請求
項3または請求項4において、消費電力計測対象回路に
クロックが存在するときに、制御なしクロックの場合
は、クロックにトグル検出回路を接続しないものであ
る。
According to a fifth aspect of the present invention, in the third or fourth aspect, a toggle detection circuit is connected to the clock when the clock is present in the power consumption measurement target circuit and the clock is uncontrolled. It does not.

【0018】請求項5記載の消費電力評価手法によれ
ば、請求項3または請求項4と同様な効果のほか、制御
なしクロックは動作周波数分のトグル回数であるので容
易に算出できる。したがって、この方法をとらない場合
に比べ、トグル検出回路を接続するポイントを削減する
ことが可能となる。
According to the power consumption evaluation method of the fifth aspect, in addition to the same effects as those of the third and fourth aspects, since the clock without control has the number of toggles corresponding to the operating frequency, it can be easily calculated. Therefore, it is possible to reduce the number of points at which the toggle detection circuit is connected, compared to a case where this method is not used.

【0019】請求項6記載の消費電力評価手法は、請求
項5において、消費電力計測対象回路中に存在する、2
入力以上の論理ゲート出力と、フリップフロップ出力、
ラッチ出力、またはメモリの出力にトグル検出回路を接
続するものである。
According to a sixth aspect of the present invention, there is provided a power consumption evaluation method according to the fifth aspect, wherein the power consumption evaluation method includes:
Logic gate output more than input, flip-flop output,
It connects the toggle detection circuit to the latch output or output of the memory.

【0020】請求項6記載の消費電力評価手法によれ
ば、請求項5と同様な効果のほか消費電力解析対象回
路で、請求項5記載のクロックの接続以外で、2入力以
上の論理ゲート出力と、フリップフロップ出力、ラッチ
出力、メモリの出力にのみ、トグル検出回路を接続する
ため、2入力以上の論理ゲート出力、フリップフロップ
出力、ラッチ出力、メモリ出力のトグル回数さえ計測し
ておけば、論理ゲート出力に接続するバッファ、インバ
ータのトグル回数は同じであるので、トグル検出回路を
接続するポイントを削減することが可能となる。
According to the power consumption evaluation method according to the sixth aspect, in addition to the same effects as the fifth aspect , in the power consumption analysis target circuit, a logic gate having two or more inputs other than the connection of the clock according to the fifth aspect. Since the toggle detection circuit is connected only to the output, flip-flop output, latch output, and memory output, the number of toggles of two or more logic gate outputs, flip-flop outputs, latch outputs, and memory outputs can be measured. Since the number of toggles of the buffer and the inverter connected to the output of the logic gate is the same, it is possible to reduce the number of points for connecting the toggle detection circuit.

【0021】[0021]

【発明の実施の形態】以下、本発明の実施の形態に係る
消費電力評価方法について図面を参照しながら説明す
る。
DESCRIPTION OF THE PREFERRED EMBODIMENTS Hereinafter, a power consumption evaluation method according to an embodiment of the present invention will be described with reference to the drawings.

【0022】(第1の実施の形態)図1は、集積回路の
消費電力評価を行うのに、対象となる消費電力計測対象
回路すなわち消費電力解析ブロック( 評価ブロック) で
ある評価モデルとその波形の一例を示したものである。
図1において、10はDフリップフロップ、11はアン
ド回路、12はオア回路、13はインバータ、14はバ
ッファである。入力ピンはIN1,IN2,IN3,CKである。出力
ピンはOUTとする。
(First Embodiment) FIG. 1 shows an evaluation model which is a power consumption measurement target circuit, that is, a power consumption analysis block (evaluation block), and a waveform thereof in order to evaluate power consumption of an integrated circuit. FIG.
In FIG. 1, reference numeral 10 denotes a D flip-flop, 11 denotes an AND circuit, 12 denotes an OR circuit, 13 denotes an inverter, and 14 denotes a buffer. The input pins are IN1, IN2, IN3, and CK. Output pin is OUT.

【0023】図3はトグル検出回路106 すなわちトグル
回数算出回路を示したものである。101,102は1ビットの
レジスタで、時刻tでの入力100に対しそれぞれ、時刻t
-1,t-2の信号を保持する。103は時刻tにおける“0→
1”、“1→0”の変化にのみ“1”を出力する検出器
(排他的論理和)である。104は加算器であり、検出器1
03 で得られた信号を加算する。105は数ビットのシフト
レジスタでありトグル回数を保持する。シフトレジスタ
105は、トグル回数結果を外部に出力するために、他の
トグル検出回路のシフトレジスタ105に鎖状につなぐこ
とのできる入出力をもっている。シフトレジスタ105の
ビット数は、任意に設定しても構わないが、シミュレー
ションサイクルをNとすると、各論理ゲートのトグル回
数は統計的にシミュレーションサイクルの4分の1とい
う傾向があるので、log2(2N/4)程度でよい。レジスタ10
1,102,105はいずれも初期状態は0である。
FIG. 3 shows a toggle detection circuit 106, that is, a toggle frequency calculation circuit. Reference numerals 101 and 102 denote 1-bit registers.
-1, t-2 signal is held. 103 is “0 →” at time t.
A detector (exclusive OR) that outputs "1" only for changes of "1" and "1 → 0" 104. An adder 104 is a detector 1
Add the signal obtained in 03. Reference numeral 105 denotes a several-bit shift register that holds the number of toggles. Shift register
105 has an input / output that can be connected in a chain to the shift register 105 of another toggle detection circuit in order to output the toggle count result to the outside. The number of bits of the shift register 105 may be set arbitrarily, but if the simulation cycle is N, the number of toggles of each logic gate tends to be statistically 1/4 of the simulation cycle. 2N / 4). Register 10
The initial state of each of 1,102,105 is 0.

【0024】図5に示すようなトグル検出回路106が予
め集積されたフィールドプログラマブルアレイ107に
図1に示す評価ブロックのネットリスト情報を入力する
と、評価ブロックのクロックライン(CK)、バッファ
14、インバータ13を除く論理ゲート(Dフリップフ
ロップ10、アンド回路11、オア回路12)の出力に
のみトグル検出回路106を自動的に付加する。このよ
うに制御なしクロックの場合はクロックにトグル検出回
路を接続しない。図1の評価モデルだと、図4のように
評価点D,F,OUTにトグル検出回路106を取り付けるこ
とになる。
When the net list information of the evaluation block shown in FIG. 1 is input to the field programmable array 107 in which the toggle detection circuit 106 shown in FIG. 5 is integrated in advance, the clock line (CK) of the evaluation block, the buffer 14, and the inverter The toggle detection circuit 106 is automatically added only to the outputs of the logic gates (D flip-flop 10, AND circuit 11, and OR circuit 12) excluding 13. As described above, in the case of the clock without control, the toggle detection circuit is not connected to the clock. In the evaluation model of FIG. 1, the toggle detection circuit 106 is attached to the evaluation points D, F, and OUT as shown in FIG.

【0025】上記のフィールドプログラマブルアレイ1
07をハードウェアエミュレータ等に組み込みんでシミ
ュレーションを行うと、各論理ゲートのトグル回数が図
2に示すようにトグル検出回路106のレジスタ105に格納
される。シミュレーションが終了すると、トグル検出回
路106のSMODEを“1”にし、シフトモードにして、レ
ジスタ105の内容をフィールドプログラマブルアレイ
107から全て引き出す。
The above-mentioned field programmable array 1
When 07 is incorporated into a hardware emulator or the like and a simulation is performed, the number of toggles of each logic gate is stored in the register 105 of the toggle detection circuit 106 as shown in FIG. When the simulation is completed, the SMODE of the toggle detection circuit 106 is set to “1”, the shift mode is set, and the entire contents of the register 105 are extracted from the field programmable array 107.

【0026】図2のシミュレーション波形を例にとると
10サイクルでシミュレーションが終了し、Dは4回、E
はDの結果から4回、Fは6回、OUTは2回となる。
トグル率は、D=E=0.4、F=0.6、OUT=
0.2となるので、動作周波数をFRQとし、P
(D)、P(E)、P(F)、P(OUT)をそれぞれ
D、E、F、OUTの消費電力とすると、 P(D)=FRQ×0.2×(P0(D)+ΔPs(D)×Δs(D)+CL (D)×ΔPCL(D)) …(1) P(E)=FRQ×0.2×(P0(E)+ΔPs(E)×Δs(E)+CL (E)×ΔPCL(E)) …(2) P(F)=FRQ×0.3×(P0(F)+ΔPs(F)×Δs(F)+CL (F)×ΔPCL(F)) …(3) P(OUT)=FRQ×0.1×(P0(OUT)+ΔPs(OUT) ×Δs(OUT)+CL(OUT)×ΔPCL(OUT)) …(4) を別途計算し、最後に上記式から4つの総和をとると消
費電力が検出される。
Taking the simulation waveform of FIG. 2 as an example,
Simulation is completed in 10 cycles, D four times, E
Is four times from the result of D, F is six times, and OUT is two times.
The toggle rate is D = E = 0.4, F = 0.6, OUT =
0.2, the operating frequency is FRQ, and PRQ
Assuming that (D), P (E), P (F), and P (OUT) are the power consumption of D, E, F, and OUT, respectively, P (D) = FRQ × 0.2 × (P0 (D) + ΔPs (D) × Δs (D) + CL (D) × ΔPCL (D)) (1) P (E) = FRQ × 0.2 × (P0 (E) + ΔPs (E) × Δs (E) + CL (E ) × ΔPCL (E)) (2) P (F) = FRQ × 0.3 × (P0 (F) + ΔPs (F) × Δs (F) + CL (F) × ΔPCL (F)) (3) P (OUT) = FRQ × 0.1 × (P0 (OUT) + ΔPs (OUT) × Δs (OUT) + CL (OUT) × ΔPCL (OUT)) ... separately calculated (4), 4 from the last to the formula The power consumption is detected by summing the two.

【0027】ここで、P0、ΔPs、Δs、CL、ΔP
CLの括弧の添え字はそれぞれD,E,F、OUTの出
力セルの消費電力パラメータである。
Here, P0, ΔPs, Δs, CL, ΔP
Subscripts in parentheses of CL are power consumption parameters of D, E, F, and OUT output cells, respectively.

【0028】このように、図3に示すトグル検出回路10
6と、図1に示す消費電力計測回路をフィールドプログ
ラマブルアレイに実現し、シミュレーションのテストベ
クター(シミュレーションパターン)を入力し、シミュ
レーション終了後にレジスタ105の結果を参照すると、
トグル回数が判明するので、これから、それぞれのゲー
ト出力に対してトグル率を別途計算し、消費電力を求め
る。
As described above, the toggle detection circuit 10 shown in FIG.
6 and the power consumption measurement circuit shown in FIG. 1 are realized in a field programmable array, a simulation test vector (simulation pattern) is input, and the result of the register 105 is referred to after the simulation.
Since the number of toggles is known, the toggle rate is separately calculated for each gate output, and the power consumption is determined.

【0029】第1の実施の形態では、トグル検出回路1
06を予め集積した専用フィールドプログラマブルアレ
イを用いるので、消費電力評価回路の各ゲートの出力に
直接トグル回路を接続することが可能となり、最適に消
費電力評価機能つきの集積回路をフィールドプログラマ
ブルアレイに高速実現でき消費電力解析が可能となる。
In the first embodiment, the toggle detection circuit 1
Since a dedicated field programmable array pre-integrated 06 is used, a toggle circuit can be directly connected to the output of each gate of the power consumption evaluation circuit, and an integrated circuit with a power consumption evaluation function can be optimally implemented in a field programmable array at high speed. It is possible to analyze power consumption.

【0030】(第2の実施の形態)この発明の第2の実
施の形態をについて説明する。図4に示すようなトグル
検出回路106をテキストで表現したものと、図1の消費
電力評価対象回路をテキストで表現したものに対し、ク
ロックライン(CK)、バッファ14、インバータ13
を除く論理ゲート(Dフリップフロップ10、アンド回
路11、オア回路12)の出力にのみにトグル検出回路
106をテキスト編集して接続する。この様子を示したも
のを図6に挙げる。図6に示すように、もともとの評価
対象回路HYOUKAにトグル検出回路TOGCHEC
Kを、テキスト変換プログラムを利用して自動的に挿入
し、HYOUKA−powに変換する。
(Second Embodiment) A second embodiment of the present invention will be described. A clock line (CK), a buffer 14, and an inverter 13 are shown in a text representation of the toggle detection circuit 106 as shown in FIG. 4 and a text representation of the power consumption evaluation target circuit in FIG.
Toggle detection circuit only for the output of logic gate (D flip-flop 10, AND circuit 11, OR circuit 12) except for
Edit and connect 106. FIG. 6 shows this state. As shown in FIG. 6, a toggle detection circuit TOGCHECK is added to the original evaluation target circuit HYOUKA.
K is automatically inserted using a text conversion program and converted into HYOUKA-pow.

【0031】この変換された、HYOUKA−powと
TOGCHECKを汎用フィールドプログラマブルアレ
イ107に入力する。
The converted HYOUKA-pow and TOGCHECK are input to the general-purpose field programmable array 107.

【0032】この汎用フィールドプログラマブルアレイ
107をハードウェアエミュレータ等に組み込みんでシ
ミュレーションを行うと、各論理ゲートのトグル回数が
シフトレジスタ105に格納される。シミュレーションが
終了すると、シフトレジスタ105をシフトモードにし
て、レジスタの内容を全て参照する。トグル率の計算、
消費電力の算出方法は先に記述した、第1の実施の形態
と同様である。
When the general-purpose field programmable array 107 is incorporated in a hardware emulator or the like and simulated, the number of toggles of each logic gate is stored in the shift register 105. When the simulation is completed, the shift register 105 is set to the shift mode, and all the contents of the register are referred to. Calculation of toggle rate,
The method of calculating the power consumption is the same as in the first embodiment described above.

【0033】第2の実施の形態によれば、汎用のフィー
ルドプログラマブルアレイを用いて、消費電力評価解析
用の回路を実現するので、解析速度は第1の実施の形態
よりは多少劣るものの、従来のソフトウェアシミュレー
タによる消費電力評価方法より格段に高速で、解析コス
トは安価にできる。
According to the second embodiment, since a circuit for power consumption evaluation analysis is realized using a general-purpose field programmable array, the analysis speed is slightly inferior to that of the first embodiment. It is much faster than the power consumption evaluation method using a software simulator, and the analysis cost can be reduced.

【0034】なお、この発明において、消費電力計測対
象回路の論理ゲートとして、その回路中にアンドゲート
やオアゲートを含むラッチやメモリがある場合、これら
を含む。
In the present invention, the logic gate of the power consumption measurement target circuit includes a latch or a memory including an AND gate or an OR gate in the circuit, if any.

【0035】[0035]

【発明の効果】請求項1記載の消費電力評価方法によれ
ば、従来のソフトウェアエミュレータによる消費電力評
価方法より、消費電力計測対象回路の各論理ゲート出力
のトグル回数算出に関して、シミュレーション時間を大
幅に短縮でき、したがって大幅な高速化が可能となり、
早期の消費電力の事前評価が可能となる。
According to the power consumption evaluation method of the first aspect, the simulation time for calculating the number of toggles of each logic gate output of the power consumption measurement target circuit is significantly longer than that of the conventional power consumption evaluation method using the software emulator. Can be shortened, and therefore can be significantly faster,
Early evaluation of power consumption becomes possible.

【0036】請求項2記載の消費電力評価装置によれ
ば、フィールドプログラマブルアレイという実デバイス
を用いて、集積回路の機能だけでなく消費電力を計測可
能な機能をモデル化するために、従来のソフトウェアシ
ミュレータによる集積回路と消費電力計測の機能モデル
よりも、信号伝播時間は短縮されるので、シミュレーシ
ョンにかかる時間が大幅に削減できる。
According to the power consumption evaluation apparatus of the second aspect, in order to model not only functions of an integrated circuit but also functions capable of measuring power consumption using a real device called a field programmable array, a conventional software Since the signal propagation time is shorter than the functional model of the integrated circuit and the power consumption measurement by the simulator, the time required for the simulation can be greatly reduced.

【0037】請求項3記載の消費電力評価方法によれ
ば、消費電力計測対象回路を記述したテキストファイル
中の各論理ゲートの出力に、トグル回路を文書変換のプ
ログラムを用いてテキスト変換処理で追加し、追加した
回路のテキストファイルを汎用のフィールドプログラマ
ブルアレイに入力させるため、集積回路の機能と消費電
力計測機能モデルを構築するのに、簡単なテキスト変換
プログラムと汎用のフィールドプログラマブルアレイで
実現できるので、安価に消費電力評価が可能となる。
According to the power consumption evaluation method of the third aspect, a toggle circuit is added to the output of each logic gate in the text file describing the power consumption measurement target circuit by a text conversion process using a document conversion program. Since a text file of the added circuit is input to a general-purpose field programmable array, a simple text conversion program and a general-purpose field programmable array can be used to build an integrated circuit function and power consumption measurement function model. Thus, power consumption can be evaluated at low cost.

【0038】請求項4記載の消費電力評価方法によれ
ば、トグル回路と汎用の論理ゲートが予め多数集積され
た専用のフィールドプログラマブルアレイ内に、計測対
象回路の機能と、消費電力計測機能を実現するものであ
り、集積回路自体の機能を実現する論理と、消費電力計
測機能を実現する論理が完全に分離したフィールドプロ
グラマブルアレイであるため、消費電力計測可能な集積
回路モデルをフィールドプログラマブルアレイに実現す
る時間に要する時間が短くてすむ。
According to the power consumption evaluation method of the fourth aspect, the function of the circuit to be measured and the power consumption measurement function are realized in a dedicated field programmable array in which a large number of toggle circuits and general-purpose logic gates are integrated in advance. The logic that implements the function of the integrated circuit itself and the logic that implements the power consumption measurement function are completely separated from each other, making it possible to implement an integrated circuit model capable of measuring power consumption in a field programmable array. It takes less time to do it.

【0039】請求項5記載の消費電力評価手法によれ
ば、請求項3または請求項4と同様な効果のほか、制御
なしクロックは動作周波数分のトグル回数であるので容
易に算出できる。したがって、この方法をとらない場合
に比べ、トグル検出回路を接続するポイントを削減する
ことが可能となる。
According to the power consumption evaluation method of the fifth aspect, in addition to the same effects as those of the third and fourth aspects, the clock without control has the number of toggles corresponding to the operating frequency, so that it can be easily calculated. Therefore, it is possible to reduce the number of points at which the toggle detection circuit is connected, compared to a case where this method is not used.

【0040】請求項6記載の消費電力評価手法によれ
ば、請求項5と同様な効果のほか、消費電力解析対象回
路で、請求項5記載のクロックの接続以外で、2入力以
上の論理ゲート出力と、フリップフロップ出力、ラッチ
出力、メモリの出力にのみ、トグル検出回路を接続する
ため、2入力以上の論理ゲート出力、フリップフロップ
出力、ラッチ出力、メモリ出力のトグル回数さえ計測し
ておけば、論理ゲート出力に接続するバッファ、インバ
ータのトグル回数は同じであるので、トグル検出回路を
接続するポイントを削減することが可能となる。
According to the power consumption evaluation method of the sixth aspect, in addition to the same effects as the fifth aspect, a logic gate having two or more inputs in the power consumption analysis target circuit other than the connection of the clock according to the fifth aspect. Since the toggle detection circuit is connected only to the output, flip-flop output, latch output, and memory output, the number of toggles of two or more logic gate outputs, flip-flop outputs, latch outputs, and memory outputs can be measured. Since the number of toggles of the buffer and the inverter connected to the output of the logic gate is the same, it is possible to reduce the number of points for connecting the toggle detection circuit.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の第1の実施の形態における消費電力解
析ブロックの一例のブロック図である。
FIG. 1 is a block diagram illustrating an example of a power consumption analysis block according to a first embodiment of the present invention.

【図2】図1の各部の波形図である。FIG. 2 is a waveform chart of each part of FIG.

【図3】本発明の第1及び第2の実施の形態にかかるト
グル検出回路図である。
FIG. 3 is a toggle detection circuit diagram according to the first and second embodiments of the present invention.

【図4】本発明の第1及び第2の実施の形態にかかる、
消費電力解析ブロックでのトグル検出回路の組み込みを
示す回路図である。
FIG. 4 shows first and second embodiments of the present invention.
FIG. 4 is a circuit diagram illustrating the incorporation of a toggle detection circuit in a power consumption analysis block.

【図5】本発明の第1の実施の形態にかかる、トグル検
出回路を予め集積した専用フィールドプログラマブルア
レイを示す図である。
FIG. 5 is a diagram showing a dedicated field programmable array in which a toggle detection circuit is integrated in advance according to the first embodiment of the present invention.

【図6】本発明の第2の実施の形態にかかる、図1の消
費電力評価対象回路をテキスト表現したものと、対象回
路にトグル検出回路を追加して、消費電力評価可能な回
路記述に変換したファイルである。
FIG. 6 is a diagram showing a text representation of the power consumption evaluation target circuit of FIG. 1 according to the second embodiment of the present invention and a circuit description capable of power consumption evaluation by adding a toggle detection circuit to the target circuit; This is the converted file.

【符号の説明】[Explanation of symbols]

10 Dフリップフロップ 11 アンド回路 12 オア回路 100 時刻tでの入力データ 101 時刻t−1の入力データを記憶するレジスタ 102 時刻t−2の入力データを記憶するレジスタ 103 検出器 104 加算器 105 トグル回数格納用シフトレジスタ 106 トグル検出回路 107 フィールドプログラマブルアレイ Reference Signs List 10 D flip-flop 11 AND circuit 12 OR circuit 100 Input data at time t 101 Register storing input data at time t-1 102 Register storing input data at time t-2 103 Detector 104 Adder 105 Number of toggles Storage shift register 106 Toggle detection circuit 107 Field programmable array

Claims (6)

【特許請求の範囲】[Claims] 【請求項1】 消費電力計測対象回路と、この消費電力
計測対象回路の回路要素のトグル回数を検出するトグル
検出回路とをフィールドプログラマブルアレイに構成
し、前記フィールドプログラマブルアレイにシミュレー
ションパターンを入力し、前記シミュレーションの終了
後に前記トグル検出回路により検出したトグル回数を消
費電力計測対象回路の消費電力を求めることを特徴とす
る消費電力評価方法。
1. A power consumption measurement target circuit and a toggle detection circuit for detecting the number of toggles of circuit elements of the power consumption measurement target circuit are configured in a field programmable array, and a simulation pattern is input to the field programmable array. A power consumption evaluation method, wherein the number of toggles detected by the toggle detection circuit after completion of the simulation is obtained as power consumption of a power consumption measurement target circuit.
【請求項2】 消費電力計測対象回路と、この消費電力
計測対象回路の各論理ゲートの出力のトグル回数を検出
するトグル検出回路とを構成したフィールドプログラマ
ブルアレイを備え、前記フィールドプログラマブルアレ
イを用いてシミュレーションにより消費電力計測対象回
路の消費電力を計測するためのトグル回数を求める消費
電力評価装置であって、 前記トグル検出回路は、時刻tでの各論理ゲートの出力
を保持する第1のレジスタと、時刻t−1で各論理ゲー
トの出力を保持する第2のレジスタと、前記第1のレジ
スタおよび第2のレジスタの出力のトグル変化を検出す
る検出器と、前記検出器の検出回数を累算するための加
算器と、前記加算器の累算結果を格納するシフトレジス
タを有し、前記シフトレジスタの出力からトグル回数を
得ることを特徴とする消費電力評価装置。
2. A field programmable array comprising a power consumption measurement target circuit and a toggle detection circuit for detecting the number of toggles of the output of each logic gate of the power consumption measurement target circuit. What is claimed is: 1. A power consumption evaluation device for calculating the number of toggles for measuring power consumption of a power consumption measurement target circuit by simulation, wherein said toggle detection circuit comprises: a first register for holding an output of each logic gate at time t; , A second register for holding the output of each logic gate at time t-1, a detector for detecting a toggle change in the output of the first register and the second register, and accumulating the number of detections of the detector. And a shift register for storing the accumulation result of the adder. Power evaluation device, characterized in that to obtain a number.
【請求項3】 消費電力計測対象回路の各論理ゲートの
出力のトグル回数を検出するためのトグル検出回路を、
文書整形プログラムを用いて、消費電力計測対象回路を
記述したテキストファイルの各論理ゲートの出力それぞ
れに接続し、消費電力を評価可能にテキスト変換したテ
キストファイルを、汎用のフィールドプログラマブルア
レイに実現した後、前記フィールドプログラマブルアレ
イにシミュレーションパターンを入力し、前記論理ゲー
トのトグル回数を計測する消費電力評価方法。
3. A toggle detection circuit for detecting the number of toggles of the output of each logic gate of the power consumption measurement target circuit,
After using a document shaping program to connect the output of each logic gate of the text file describing the power consumption measurement target circuit to a text file converted to text so that power consumption can be evaluated, a general-purpose field programmable array A power consumption evaluation method for inputting a simulation pattern to the field programmable array and measuring the number of toggles of the logic gate.
【請求項4】 消費電力計測対象回路の各論理ゲートの
出力のトグル回数を検出するためのトグル検出回路と様
々な論理ゲートで構成された専用フィールドプログラマ
ブルアレイを用いて、消費電力計測対象の各論理ゲート
の出力にトグル検出回路を前記専用フィールドプログラ
マブルアレイ中で自動的に接続した後、前記トグル検出
回路より論理ゲート出力のトグル回数を計測する消費電
力評価方法。
4. Using a toggle detection circuit for detecting the number of toggles of the output of each logic gate of the power consumption measurement target circuit and a dedicated field programmable array composed of various logic gates, each of the power consumption measurement targets is used. A power consumption evaluation method, wherein a toggle detection circuit is automatically connected to an output of a logic gate in the dedicated field programmable array, and then the number of toggles of the logic gate output is measured by the toggle detection circuit.
【請求項5】 消費電力計測対象回路にクロックが存在
するときに、制御なしクロックの場合は、クロックにト
グル検出回路を接続しない請求項3または請求項4記載
の消費電力評価方法。
5. The power consumption evaluation method according to claim 3, wherein a toggle detection circuit is not connected to the clock when there is a clock in the power consumption measurement target circuit and the clock is not controlled.
【請求項6】 消費電力計測対象回路中に存在する、2
入力以上の論理ゲート出力と、フリップフロップ出力、
ラッチ出力、またはメモリの出力にトグル検出回路を接
続する請求項5記載の消費電力評価方法。
6. The method according to claim 6, wherein said signal is present in a power consumption measurement target circuit.
Logic gate output more than input, flip-flop output,
6. The power consumption evaluation method according to claim 5, wherein a toggle detection circuit is connected to the latch output or the output of the memory.
JP2001084451A 2001-03-23 2001-03-23 Method and device for power consumption evaluation Pending JP2002288257A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2001084451A JP2002288257A (en) 2001-03-23 2001-03-23 Method and device for power consumption evaluation

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001084451A JP2002288257A (en) 2001-03-23 2001-03-23 Method and device for power consumption evaluation

Publications (1)

Publication Number Publication Date
JP2002288257A true JP2002288257A (en) 2002-10-04

Family

ID=18940119

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001084451A Pending JP2002288257A (en) 2001-03-23 2001-03-23 Method and device for power consumption evaluation

Country Status (1)

Country Link
JP (1) JP2002288257A (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007037017A1 (en) * 2005-09-29 2007-04-05 Fujitsu Limited Consumed power analyzing method, and consumed power analyzing device
WO2008081669A1 (en) * 2006-12-28 2008-07-10 Nec Corporation Signal selection device, system, circuit emulator, method, and program
JP2009230602A (en) * 2008-03-25 2009-10-08 Nec Corp Behavioral synthesis apparatus, behavioral synthesis method and program
JP2010182241A (en) * 2009-02-09 2010-08-19 Fujitsu Ltd Evaluation method and device
US8095354B2 (en) 2006-09-06 2012-01-10 Fujitsu Limited Power consumption peak estimation program for LSI and device therefor
US20120030481A1 (en) * 2010-07-27 2012-02-02 International Business Machines Corporation Measuring Data Switching Activity in a Microprocessor
US9021289B2 (en) 2010-10-15 2015-04-28 Fujitsu Limited Method and system for power estimation based on a number of signal changes
GB2542215A (en) * 2016-01-18 2017-03-15 Imagination Tech Ltd Dynamic power measurement using formal

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007037017A1 (en) * 2005-09-29 2007-04-05 Fujitsu Limited Consumed power analyzing method, and consumed power analyzing device
US7900172B2 (en) 2005-09-29 2011-03-01 Fujitsu Limited Method and apparatus for analyzing power consumption
US8095354B2 (en) 2006-09-06 2012-01-10 Fujitsu Limited Power consumption peak estimation program for LSI and device therefor
JPWO2008081669A1 (en) * 2006-12-28 2010-04-30 日本電気株式会社 Signal selection apparatus, system, circuit emulator, method, and program
WO2008081669A1 (en) * 2006-12-28 2008-07-10 Nec Corporation Signal selection device, system, circuit emulator, method, and program
JP5012816B2 (en) * 2006-12-28 2012-08-29 日本電気株式会社 Signal selection apparatus, system, circuit emulator, method, and program
US8739107B2 (en) 2006-12-28 2014-05-27 Nec Corporation Signal selection apparatus and system, and circuit emulator and method and program
JP2009230602A (en) * 2008-03-25 2009-10-08 Nec Corp Behavioral synthesis apparatus, behavioral synthesis method and program
JP2010182241A (en) * 2009-02-09 2010-08-19 Fujitsu Ltd Evaluation method and device
US20120030481A1 (en) * 2010-07-27 2012-02-02 International Business Machines Corporation Measuring Data Switching Activity in a Microprocessor
US8458501B2 (en) * 2010-07-27 2013-06-04 International Business Machines Corporation Measuring data switching activity in a microprocessor
US9021289B2 (en) 2010-10-15 2015-04-28 Fujitsu Limited Method and system for power estimation based on a number of signal changes
GB2542215A (en) * 2016-01-18 2017-03-15 Imagination Tech Ltd Dynamic power measurement using formal
GB2542215B (en) * 2016-01-18 2018-04-11 Imagination Tech Ltd Dynamic power measurement using a formal verification tool
US10359825B2 (en) 2016-01-18 2019-07-23 Imagination Technologies Limited Dynamic power measurement using formal

Similar Documents

Publication Publication Date Title
TW451129B (en) Semiconductor integrated circuit evaluation system
US6370675B1 (en) Semiconductor integrated circuit design and evaluation system using cycle base timing
JPH0458072B2 (en)
JP3248753B2 (en) Method and apparatus for determining transition table of combinational logic circuit
US20080313499A1 (en) Debug circuit
TWI768536B (en) Integrated circuit simulation and design method and system thereof
CN115291090B (en) Chip tester signal delay measuring method and device and computer equipment
WO2021109367A1 (en) Simulation signal viewing method for digital product, and system
JP2002288257A (en) Method and device for power consumption evaluation
CN116956790A (en) Simulation verification method, device, equipment and medium
Liu et al. An efficient degraded deductive fault simulator for small-delay defects
US10482207B2 (en) Verification support apparatus and design verification support method
CN107844678B (en) Spice simulation method containing IP/Memory time sequence path
US7131087B2 (en) Multi-cycle path analyzing method
US5850355A (en) System for characterization of multiple-input circuits
KR20020069468A (en) Debugging Apparatus Using Both Very Large Scaled Digital System Realized in Hardware and Simulation, and Debugging Method For Verifying Ultra Large Design
KR100486305B1 (en) Low voltage swing bus analysis method by static timing analysis tool providing for timing analysis verifying with simplicity and accuracy
Dhar et al. Coverage driven verification of I2C protocol using System Verilog
JP2006285835A (en) Method for evaluating power consumption and system for evaluating power consumption
JP5043500B2 (en) Circuit emulation with state recovery
US6973422B1 (en) Method and apparatus for modeling and circuits with asynchronous behavior
ITMI20002236A1 (en) PROCEDURE AND DEVICE FOR THE ERROR ANALYSIS OF DIGITAL LOGIC CIRCUITS
CN116562222B (en) Gate-level waveform file generation method and device
CN116151187B (en) Method, apparatus and storage medium for processing trigger condition
JP6506010B2 (en) Power consumption estimation technology for semiconductor integrated circuits