JP2002124462A - リソグラフィ装置、デバイス製造方法、およびそれにより製造されたデバイス - Google Patents

リソグラフィ装置、デバイス製造方法、およびそれにより製造されたデバイス

Info

Publication number
JP2002124462A
JP2002124462A JP2001244320A JP2001244320A JP2002124462A JP 2002124462 A JP2002124462 A JP 2002124462A JP 2001244320 A JP2001244320 A JP 2001244320A JP 2001244320 A JP2001244320 A JP 2001244320A JP 2002124462 A JP2002124462 A JP 2002124462A
Authority
JP
Japan
Prior art keywords
substrate
mask
projection
lens
field
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001244320A
Other languages
English (en)
Other versions
JP4741115B2 (ja
Inventor
Jager Pieter Willem Herman De
ヴィレム ヘルマン デ ヤガー ピーター
Pieter Kruit
クルイト ピーター
Arno Jan Bleeker
ヤン ブレーカー アルノ
Der Mast Karel Diederick Van
ディーデリック ファン デル マスト カレル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Agere Systems LLC
eLith LLC
Original Assignee
Agere Systems Guardian Corp
eLith LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Agere Systems Guardian Corp, eLith LLC filed Critical Agere Systems Guardian Corp
Publication of JP2002124462A publication Critical patent/JP2002124462A/ja
Application granted granted Critical
Publication of JP4741115B2 publication Critical patent/JP4741115B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/3002Details
    • H01J37/3007Electron or ion-optical systems

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Electron Beam Exposure (AREA)

Abstract

(57)【要約】 (修正有) 【課題】 電子ビーム・リソグラフィ装置のスループッ
トを改良するための様々なオプションを提供すること。 【解決手段】 放射システムと投影システムの少なくと
も一方が、スキャン動作と同期して電磁場の光軸が該軸
と垂直な少なくとも一方向に移動されるように投影ビー
ムに作用する電磁場を発生するための摺動電子光学要素
を備えるリソグラフィ装置。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、放射線の投影ビー
ムを供給するための放射システムと、パターニング手段
を支持するための支持構造であって、パターニング手段
が所望のパターンに従って投影ビームにパターンを付け
る働きをする支持構造と、基板を保持するための基板テ
ーブルと、パターンを付けられたビームを基板のターゲ
ット部分に投影するための投影システムとを備えるリソ
グラフィ投影装置に関する。
【0002】
【従来の技術】用語「パターニング手段」は、基板のタ
ーゲット部分に形成するパターンに対応してパターンを
付けた断面を入射放射線ビームに与えるために使用する
ことができる手段を表すものと広く解釈すべきである。
用語「光バルブ」をこの文脈で使用することもできる。
一般に、前記パターンは、集積回路やその他のデバイス
(以下参照)などターゲット部分に作成されるデバイス
内の特定の機能層に対応する。このようなパターニング
手段の例としては、次のようなものが挙げられる。−マ
スク。マスクの概念は、リソグラフィでよく知られてお
り、二相、交流移相、減衰移相などのマスク・タイプ、
ならびに様々なハイブリッド・マスク・タイプを含む。
放射線ビーム中にそのようなマスクを配置することによ
り、マスク上のパターンに従って、マスクに衝突する放
射線の選択透過(透過性マスクの場合)または反射(反
射性マスクの場合)が生じる。マスクの場合、支持構造
は通常マスク・テーブルであり、マスク・テーブルは、
入射放射線ビームにおける所望の位置にマスクを保持す
ることができることを保証し、かつ望みであればマスク
をビームに対して移動することができることも保証す
る。−プログラム可能ミラー・アレイ。そのようなデバ
イスの一例は、粘弾性制御層および反射表面を有するマ
トリックス・アドレス可能な表面である。そのような装
置の背後にある基本原理は、(例えば)反射表面のアド
レスされた領域が入射光を回折光として反射し、アドレ
スされていない領域が入射光を非回折光として反射する
ことである。適切なフィルタを使用して、前記非回折光
を反射ビームからフィルタ除去し、後ろに回折光のみを
残すことができる。このようにすると、マトリックス・
アドレス可能表面のアドレス指定パターンに従ってビー
ムにパターンを付けられるようになる。必要なマトリッ
クス・アドレス指定は、適切な電子手段を使用して行う
ことができる。そのようなミラー・アレイに関するより
多くの情報は、例えば参照により本明細書に組み込む米
国特許第5296891号および米国特許第55231
93号から得ることができる。プログラム可能ミラー・
アレイの場合、支持構造は、例えば、必要に応じて固定
する、または可動にすることができるフレームやテーブ
ルとして実施することができる。−プログラム可能LC
Dアレイ。そのような構成の一例は、参照により本明細
書に組み込む米国特許第5229872号に与えられて
いる。上述したように、この場合の支持構造は、例え
ば、必要に応じて固定する、または可動にすることがで
きるフレームやテーブルとして実施することができる。
話を簡単にするために、この本文ではここから先、いく
つかの箇所でマスクおよびマスク・テーブルに関わる例
に特に注目することがある。しかし、そのような例で論
じられる一般的な原理は、本明細書で上に記述したパタ
ーニング手段のより広い文脈で見られるべきである。
【0003】リソグラフィ投影装置は、例えば集積回路
(IC)の製造で使用することができる。そのような場
合、パターニング手段は、ICの個々の層に対応する回
路パターンを生成することができ、このパターンを、放
射線感受性材料(レジスト)の層で被覆されている基板
(シリコン・ウェハ)上のターゲット部分(例えば1つ
または複数のダイを備える)にイメージすることができ
る。一般に、単一のウェハが、1度に1つずつ投影シス
テムによって連続的に放射される隣接ターゲット部分の
回路網全体を含む。マスク・テーブル上のマスクによる
パターニングを採用する現行装置では、2つの異なるタ
イプの機械に区分することができる。1つのタイプのリ
ソグラフィ投影装置では、各ターゲット部分が、マスク
・パターン全体を一括してターゲット部分に露光するこ
とによって照射される。そのような装置は、一般にウェ
ハ・ステッパと呼ばれる。代替装置(一般にステップア
ンドスキャン装置と呼ばれる)では、各ターゲット部分
が、所与の基準方向(「スキャン」方向)に投影ビーム
下でマスク・パターンを漸次スキャンし、それと同時に
この方向に平行に、または反平行に基板テーブルを同期
してスキャンすることによって照射される。一般に、投
影システムが倍率M(通常<1)を有するので、基板テ
ーブルがスキャンされる速度Vは、マスク・テーブルが
スキャンされる速度のM倍となる。ここに記述したリソ
グラフィ・デバイスに関するより多くの情報は、例えば
参照により本明細書に組み込む米国特許第604679
2号から得ることができる。
【0004】スキャン装置では、ただ1度のスキャンで
1つまたは複数のダイを提供することができる。あるい
は、各ダイが複数のストライプからなっていてよく、そ
れぞれがただ1度のスキャンでプリントされ、ストライ
プが互いにステッチされている、または突き合わされて
いる。
【0005】リソグラフィ投影装置を使用する製造プロ
セスでは、(例えばマスクでの)パターンが、放射線感
受性材料(レジスト)の層によって少なくとも部分的に
カバーされた基板にイメージされる。このイメージング
・ステップの前に、基板にプライミング、レジスト・コ
ーティング、ソフト・ベークなど様々な処置を施すこと
ができる。露光後に、露光後ベーク(PEB)、現像、
ハード・ベーク、およびイメージされたフィーチャの測
定/検査など他の処置を基板に施すこともできる。この
一連の処置は、デバイス、例えばICの個々の層にパタ
ーンを付けるための基礎として使用される。次いで、そ
のようなパターン付き層に、エッチング、イオン注入
(ドーピング)、メタライゼーション、酸化、化学機械
研磨など様々なプロセスを施すことができる。これらは
全て、個々の層を完成させるためのものである。複数の
層が必要な場合、手順全体、またはその変形が、各新た
な層ごとに繰り返されなければならない。最終的に、デ
バイスのアレイが基板(ウェハ)上に存在することにな
る。次いで、これらのデバイスを、ダイシングやソーイ
ングなどの技法によって互いに分離し、個々のデバイス
を、例えばキャリアに取り付ける、またはピンに接続す
ることができる。そのようなプロセスに関するさらなる
情報は、例えば参照により本明細書に組み込むPete
r van Zantの著書「Microchip F
abrication:A Practical Gu
ide to Semiconductor Proc
essing」,Third Edition, Mc
Graw Hill Publishing Co.,
1997,ISBN0−07−067250−4から得
ることができる。
【0006】話を簡単にするために、投影システムを本
明細書では以後「レンズ」と呼ぶ場合がある。しかし、
この用語は、例えば屈折光学系、反射光学系、反射屈折
光学系を含めた様々なタイプの投影システムを包含する
ものとして広く解釈すべきである。また、放射システム
は、放射線の投影ビームを指向する、成形する、または
制御するためのこれら設計タイプのいずれかに従って動
作する構成要素を含むことができ、そのような構成要素
も以下で総称して、または個別に「レンズ」と呼ぶ。さ
らに、リソグラフィ装置は、2つ以上の基板テーブル
(および/または2つ以上のマスク・テーブル)を有す
るタイプのものであってよい。そのような「多段」デバ
イスでは、追加のテーブルを並列に使用することがで
き、あるいは1つまたは複数のテーブルに関して予備ス
テップを行い、その一方で1つまたは複数の他のテーブ
ルを露光することができる。二段リソグラフィ装置は、
例えば、参照により本明細書に組み込む米国特許第59
69441号およびWO98/40791号に記載され
ている。
【0007】リソグラフィ装置では、ウェハ上にイメー
ジすることができるフィーチャのサイズが投影放射線の
波長によって制限される。より高密度のデバイスを備え
る集積回路を製造するために、したがって動作速度をよ
り高くするために、より小さなフィーチャをイメージす
ることができることが望ましい。最新のリソグラフィ投
影装置は、水銀ランプまたはエキシマ・レーザによって
発生される紫外線を採用しているが、荷電粒子、例えば
電子やイオンなどのビームを使用することも提案されて
いる。
【0008】スループットは、リソグラフィ・デバイス
が正常に機能するための最も重要な因子の1つである。
スループットが十分に高くない場合、ツールは高いコス
ト効果で動作することができない。低スループットは、
電子リソグラフィ装置の既存の設計で特に問題である。
【0009】電子ビーム・ツールのスループットは、電
子光学上の考慮事項ならびに機械的(段)設計によって
決定される。光学上の考慮事項のうち、所与の分解能で
の最大ビーム電流、ならびにパターン・カバレージおよ
びレジスト感度が露光時間を決定する。加速度および速
度などの段パラメータが段オーバーヘッドを決定する。
既存の電子ビーム・リソグラフィ装置では、ダイまたは
フィールドのイメージが、互いにステッチされている複
数のストライプからなる。さらに、複数のダイを、ステ
ッピングまたはステップアンドスキャン原理を使用して
基板上にプリントすることができる。主要な段オーバー
ヘッドは、1つのストライプから次のストライプへ進む
所要時間に見られる。
【0010】本発明者は、電子ビーム・リソグラフィ装
置のスループットの様々な因子に対する依存性をモデル
化した。スループットを計算するために使用される段パ
ラメータおよび他のパラメータを以下の表に提示する。
【表1】
【表2】
【0011】添付図面の図2は、1〜50μAのビーム
電流I(または実効ビーム電流Ie)および25〜2.
5mm(すなわち、ダイ当たり1〜10ストライプ、S
/D)のストライプ・サイズに関するスループットTを
示す。曲面が滑らかに示されているが、実際はそうでな
い。グラフは、整数のストライプ数でのみ有効である。
しかし、与えられた表示は、パラメータに対するスルー
プットの感度に関してより良い印象を与えている。
【0012】本発明者は、上述したパラメータを用い
て、最大スループットはストライプ・サイズによって主
に決定されると判断した。8つのストライプは、20W
PHよりも大きい値を生じず、5つのストライプは30
WPHよりも大きい値を生じない。所与のストライプ幅
でビーム電流を増大すると、スループットがさらに低く
なる場合がある。これは、より大きな加速および減速時
間をもたらすより大きな段速度を必要とするためであ
る。それにより、オーバーヘッド時間が増大し、これが
スループットを低減させる。
【0013】電子ビーム・リソグラフィ装置の投影シス
テムの性能は、総ぶれ(blur)に対する以下の3つ
の寄与因子によって主に決定される。 ・フィールド曲率 ・軸方向色収差 ・クーロン相互作用
【0014】フィールドの曲率の効果は、軸上のイメー
ジ平面に接する曲面に構造がイメージされることであ
る。したがって、イメージ平面内にこの効果がゼロであ
る円が存在する。この円がイメージ・フィールドの中心
から周縁への途中に位置決めされる場合、ぶれに対する
最大効果は、システムの光軸上の一点に円が縮小される
場合に比べてサイズが半分になる。以下の関係が適用さ
れる。
【数1】 ここで、 dfc=フィールド・ディスクの曲率のFW50(m) Cfc=フィールドの曲率の係数(1/m) F=露光フィールドのサイズ(0.25×10-3m) σ=ウェハでの半開き角(6×10-3rad)
【0015】ガウス・イメージ平面でのフィールド曲率
が52.6nmの場合、上述の等式を以下のように書き
直すことができる。 dfc=140.2(m-1)F2.σ (2)
【0016】軸方向色収差は、異なるエネルギーを有す
る粒子に関してイメージ平面が異なるということによっ
てもたらされる。
【数2】 ここで、 dCha=色収差ディスクのFW50(m) CCha=色収差の係数(m) ΔV=エネルギー分布の半最大値での全幅(FWHM)
(eV) V=ビーム・エネルギー(keV)
【0017】ガウス・イメージ平面での軸方向色収差
は、周知の電子ビーム・リソグラフィ装置では例えば9
5.1nmである。焦点板でのプラズモン損失によりエ
ネルギー拡散が大きいためこの収差が大きくなる。上述
の等式は以下のように書き直すことができる。 dCha=1.58×10-5(m)σ (4)
【0018】確率的クーロン相互作用は、ビーム中の個
々の粒子の半径方向移動をもたらす場合がある。この効
果を記述するための理論はいくつかある。ここでは、J
ansen理論(「Trajectory displ
acement effect in particl
e projection lithographys
ystems:Modifications to t
he extended two−particle
theory and Monte Carlo si
mulation technique」,Journ
al ofApplied Physics 84
(8),1998,pp.4549−4567)を使用
する。
【数3】
【0019】総クーロン相互作用ぶれは、一部は広域空
間電荷効果によるものであり、一部は確率的性質による
ものである。ここでは、スケーリング則が同一であると
仮定する。
【0020】添付図面の図3は、周知の電子ビーム・リ
ソグラフィ装置に関するこれらの寄与因子の合計を示
す。ゼロ電流でのぶれbは、フィールド曲率および軸方
向色収差である。焦点の最適化により、ガウス・イメー
ジ平面で収差の値を約50%低減することができると結
論付けることができる。ビーム電流Iの増加に伴うぶれ
の増大はクーロン相互作用によるものである。
【0021】
【発明が解決しようとする課題】本発明の目的は、スル
ープットが改善された電子ビーム・リソグラフィ装置を
提供することである。
【0022】
【課題を解決するための手段】本発明によれば、放射線
の投影ビームを提供するための放射システムと、パター
ニング手段を支持するための支持構造であって、前記パ
ターニング手段が所望のパターンに従って投影ビームに
パターンを付ける働きをする支持構造と、基板を保持す
るための基板テーブルと、前記パターンを付けられたビ
ームをスキャン動作で基板のターゲット部分に投影する
ための投影システムとを備えるリソグラフィ投影装置で
あって、前記放射システムと前記投影システムの少なく
とも一方が、前記スキャン動作と同期して電磁場の光軸
が前記軸に垂直な少なくとも一方向に移動されるように
前記投影ビームに作用する電磁場を発生するための摺動
電子光学要素を備えることを特徴とするリソグラフィ投
影装置が提供される。
【0023】電子光学要素は、投影ビームを用いてスキ
ャンするため、スキャン動作中に横切られるボリューム
全体にわたってではなく、所与の瞬間に投影ビームによ
って横切られるボリュームにわたって場を発生しさえす
ればよい。したがって、場をより正確に発生することが
でき、複数のビームレットを同時に通すことができる。
本発明のさらなる利点は以下の本文で説明する。
【0024】本発明の第2の態様によれば、マスクでの
マスク・パターンを基板上にイメージするためのリソグ
ラフィ投影装置であって、荷電粒子の投影ビームを供給
するように構成され、配置された照明システムと、マス
クを保持するように構成された第1の対象物ホルダを備
える第1の対象物テーブルと、基板を保持するように構
成された第2の対象物ホルダを備える第2の対象物テー
ブルと、マスクの照射部分を基板のターゲット部分にイ
メージするように構成され、配置された投影システムと
を備え、前記発生源から放出されるオフアクシス荷電粒
子に角速度成分を与えるために、前記荷電粒子ビームの
発生源の近傍に磁場を発生する手段を備えることを特徴
とする装置が提供される。
【0025】本発明の第3の態様によれば、マスクでの
マスク・パターンを基板上にイメージするためのリソグ
ラフィ投影装置であって、荷電粒子の投影ビームを供給
するように構成され、配置された照明システムと、マス
クを保持するように構成された第1の対象物ホルダを備
える第1の対象物テーブルと、基板を保持するように構
成された第2の対象物ホルダを備える第2の対象物テー
ブルと、マスクの照射部分を基板のターゲット部分にイ
メージするように構成され、配置された投影システムと
を備え、前記投影システムが少なくとも4つの四重極レ
ンズを備えることを特徴とする装置が提供される。
【0026】本発明の第4の態様によれば、マスクでの
マスク・パターンを基板上にイメージするためのリソグ
ラフィ投影装置であって、荷電粒子の投影ビームを供給
するように構成され、配置された照明システムと、マス
クを保持するように構成された第1の対象物ホルダを備
える第1の対象物テーブルと、基板を保持するように構
成された第2の対象物ホルダを備える第2の対象物テー
ブルと、マスクの照射部分を基板のターゲット部分にイ
メージするように構成され、配置された投影システムと
を備え、前記投影システムが、マスクと、基板と、マス
クから基板へのビーム・パスとの近傍に磁場を発生する
ための磁場発生器を備え、前記磁場が、前記ビーム・パ
スに略平行であり、前記マスクから前記基板へ強度を増
していることを特徴とする装置が提供される。
【0027】本発明の第5の態様によれば、マスクでの
マスク・パターンを基板上にイメージするためのリソグ
ラフィ投影装置であって、荷電粒子の投影ビームを供給
するように構成され、配置された照明システムと、マス
クを保持するように構成された第1の対象物ホルダを備
える第1の対象物テーブルと、基板を保持するように構
成された第2の対象物ホルダを備える第2の対象物テー
ブルと、マスクの照射部分を基板のターゲット部分にイ
メージするように構成され、配置された投影システムと
を備え、前記投影システムが少なくとも2つの電磁石を
備え、マスクおよび基板に最近接する電磁石が、それぞ
れマスクおよび基板に隣接する磁極片を有さないことを
特徴とする装置が提供される。
【0028】本発明の第6の態様によれば、マスクでの
マスク・パターンを基板上にイメージするためのリソグ
ラフィ投影装置であって、荷電粒子の投影ビームを供給
するように構成され、配置された照明システムと、マス
クを保持するように構成された第1の対象物ホルダを備
える第1の対象物テーブルと、基板を保持するように構
成された第2の対象物ホルダを備える第2の対象物テー
ブルと、マスクの照射部分を基板のターゲット部分にイ
メージするように構成され、配置された投影システムと
を備え、前記投影システムが、約350mm未満、好ま
しくは約320mm未満の長さを有することを特徴とす
る装置が提供される。
【0029】本発明の第7の態様によれば、マスクでの
マスク・パターンを基板上にイメージするためのリソグ
ラフィ投影装置であって、荷電粒子の投影ビームを供給
するように構成され、配置された照明システムと、マス
クを保持するように構成された第1の対象物ホルダを備
える第1の対象物テーブルと、基板を保持するように構
成された第2の対象物ホルダを備える第2の対象物テー
ブルと、マスクの照射部分を基板のターゲット部分にイ
メージするように構成され、配置された投影システムと
を備え、前記投影システムが、イメージング・レンズと
して働く電磁システムと、投影システムでのビーム・エ
ネルギーを変えるための静電システムとを備える装置が
提供される。
【0030】本発明は、電子ビーム・リソグラフィ装置
のスループットおよび拡張性を実質的に改良することが
できる。本発明による改良は、実効フィールドのサイズ
を改良し(オーバーヘッド時間低減)、かつビーム電流
を改良する(照明時間)。第1の項目は露光時間を低減
し、第2の項目は段オーバーヘッドを低減する。1ウェ
ハ当たりのオーバーヘッド時間は、段のただ1度のスキ
ャンでダイをプリントすることによって低減される。主
要な要素はスライダ・レンズであり、ダイの全幅にわた
って露光フィールドを移動させる(摺動させる)ことを
可能にする。それにより、ビーム電流と実効フィールド
を同時に改良することによってスループットが改善され
る。
【0031】ビーム電流は、収束角を例えば6〜8mr
adから1mrad未満に減少し、同時に、露光フィー
ルドを基板(ウェハ)で例えば0.25×0.25mm
2から1×1mm2に増大することによって改善すること
ができる。これは、クーロン相互作用と、マスク(焦点
板)でのプラズモン損失のために大きくなっている色収
差とを減少させる。さらなる改良は、投影カラムを例え
ば約40cmから約32cmに短縮することによって可
能である。その結果、ビーム電流は、100nmノード
で60μAになる。本発明の実施形態のより大きなフィ
ールド・サイズを用いると、それに応じて焦点板レイア
ウトは、ストライプの幅が1mmでなく例えば4mmに
増大するように修正される。
【0032】クーロン相互作用ぶれは、二次減算によっ
て上の等式5から切り離すことができる。25μAビー
ム電流では、クーロン相互作用ぶれは、周知の電子ビー
ム・リソグラフィ・デバイスで133.5nmである。
【数4】
【0033】添付図面の図4は、収束角caと露光フィ
ールド・サイズとに応じて投影システムで許容すること
ができる総ビーム電流Iを示す。0.25×0.25m
2露光フィールドおよび6mrad収束角を用いる
と、ビーム電流は約12μAに制限される。本発明の実
施形態を用いると、露光フィールド・サイズは、ウェハ
で1×1mm2に拡大され、このとき、ビーム電流を3
5μAに増大することができる。この増大の理由は、こ
のときにはるかに小さい収束角(<1mrad)を使用
することが可能であることである。これは、軸方向色収
差寄与を低減する。
【0034】より小さな収束角は、フィールドの曲率お
よび軸方向色収差だけでなく、他の全ての角度依存収差
も減少する。収束角の減少に伴って増大する唯一のぶれ
寄与因子は回折であり、
【数5】 ここでλ=電子の波長(100keVで3.7×10
-12m)である。
【0035】これは、収束角を0.3mradほどに小
さくしたときでさえわずか7nmである。
【0036】露光フィールド・サイズを増大することに
よって本発明がビーム電流の大幅な増大を提供すること
ができることが明らかである。さらに、偏向電子工学の
速度要件を4分の1に低減することができる。
【0037】原則として、歪曲は、露光フィールド・サ
イズの3乗で増大するが、本発明の実施形態で使用され
るダブレット状システムでは、これを補償することがで
きる。
【0038】本発明の実施形態によるいくつかの投影シ
ステムは、以下に論ずるように、クーロン相互作用およ
び/または収差の影響を低減することができる。
【0039】四重極システム:これは、異方性収差を有
さず、大きな交差点が可能であり、焦点板に電場を印加
することによってフィールド曲率補正器と組み合わせる
ことができる。
【0040】小さな収束角を伴う大きな交差点:周知の
電子ビーム・リソグラフィ装置では、小さな収束角が小
さな交差点と関係しており、これは、収差とクーロン相
互作用の間の根本的なトレードオフを与える。本発明の
実施形態はこれらのパラメータを切り離す。
【0041】マルチビームを用いる摺動レンズ:摺動レ
ンズには、いくつかのビームレットを同時に通すことが
できる。これらのビームレットは小さな領域でしか干渉
しないので、クーロン相互作用は、ビーム電流よりも低
い累乗でスケールを取る。したがって、総電流を一定に
保つことができ、その一方で、クーロン相互作用ぶれが
低減する。場合によっては、いくつかのダイで同時にプ
リントすることさえ可能である。
【0042】本発明の実施形態は、以下の基準を満たす
電子ビーム・リソグラフィ装置を提供することができ
る。 1.実効フィールド・サイズ:ウェハ上で>22mm 2.システム長さ:<400mm 3.サブフィールド・サイズ:ウェハ上で0.25×
0.25mm2 4.倍率:0.25 5.テレセントリック設計:1μmウェハ高さ変化によ
るイメージ・シフト:<1nm 6.交差点:1 7.交差点位置:コントラスト・アパーチャを容易にす
るために静止 8.ビーム・エネルギー:〜100kV 9.ベース分解能:<30nm@〜6mrad開き角お
よび0電流
【0043】本発明はまた、本発明の様々な態様による
リソグラフィ装置を使用する半導体デバイスを製造する
方法を提供する。
【0044】この本文では、本発明による装置のIC製
造での使用に特に言及する場合があるが、そのような装
置が多くの他の可能な適用例も有することをはっきりと
理解されたい。例えば、集積光学系、磁区メモリ用の誘
導および検出パターン、液晶表示パネル、薄膜磁気ヘッ
ドなどの製造に使用することができる。そのような代替
適用例の文脈では、この本文における用語「焦点板」、
「ウェハ」、または「ダイ」の使用を、より一般的な用
語「マスク」、「基板」、および「ターゲット領域」で
それぞれ置き換えられるものとみなすべきであることを
当業者は理解されよう。
【0045】この文書では、用語「放射線」および「ビ
ーム」を、イオン・ビームや電子ビームなどあらゆるタ
イプの粒子ビームを包含するものとして使用する。
【0046】以下、本発明およびそれに付随する利点
を、例示的実施形態および添付の概略図面を参照しなが
ら説明する。
【0047】
【発明の実施の形態】様々な図面において、同じ部分を
同じ参照符号で示す。
【0048】実施形態1 図1は、本発明のある特定の実施形態によるリソグラフ
ィ投影装置を概略的に示す。この装置は、以下のものを
備える。 ・放射線(例えば電子ビーム)の投影ビームPBを供給
するための放射システムEx、IL。この特定の場合に
は、放射線源LAも備える。 ・マスクMA(例えば焦点板)を保持するためのマスク
・ホルダを備え、アイテムPLに関してマスクを正確に
位置決めするための第1の位置決め手段に接続された第
1の対象物テーブル(マスク・テーブル)MT。 ・基板W(例えばレジスト被覆シリコン・ウェハ)を保
持するための基板ホルダを備え、アイテムPLに関して
基板を正確に位置決めするための第2の位置決め手段に
接続された第2の対象物テーブル(基板テーブル)W
T。 ・基板Wのターゲット部分C(例えば1つまたは複数の
ダイを備える)にマスクMAの照射部分をイメージする
ための投影システム(「レンズ」)PL(例えば、電磁
および静電レンズ)。本明細書で示すように、この装置
は、透過性タイプの(すなわち透過性マスクを有する)
ものである。しかし一般には、例えば反射性タイプの
(反射性マスクを有する)ものであってもよい。別法と
して、この装置は、上で言及したタイプのプログラム可
能ミラー・アレイなど別の種類のパターニング手段を使
用することができる。
【0049】放射線源LA(例えば熱電子カソードやイ
オン銃)は電子ビームを生成する。このビームは、直接
的に、または例えばビーム拡大器Exなどの調整手段を
通過した後に、照明システム(照明器)ILに供給され
る。照明器ILは、ビームの強度分布の外側および/ま
たは内側放射範囲(一般にそれぞれσ外側およびσ内側
と呼ばれる)を設定するための調節手段AMを備える。
さらに、一般には、積分器INや集光レンズCOなど様
々な他の構成要素も備える。このようにして、マスクM
Aに衝突するビームPBが、その断面で所望の強度分布
を有するようにする。
【0050】図1に関して、放射線源LAは、(例えば
放射線源LAが水銀ランプであるときにしばしばそうで
あるように)リソグラフィ投影装置のハウジング内部に
ある場合があり、しかしリソグラフィ投影装置から離れ
ていて、生成する放射線ビームが(例えば適切な方向付
けミラーによって)装置内に導かれる場合もあることに
留意されたい。この後者のシナリオは、放射線源LAが
エキシマ・レーザであるときにしばしばそうである。本
発明および特許請求の範囲はこれら両方のシナリオを包
含する。
【0051】ビームPBはその後、マスク・テーブルM
T上に保持されているマスクMAに交差する。ビームP
Bは、マスクMAを横切った後、レンズPLを通過し、
レンズPLが、基板Wのターゲット部分CにビームPB
を合焦する。第2の位置決め手段(および干渉計測定手
段IF)によって、基板テーブルWTを、例えばビーム
PBのパス内に様々なターゲット部分Cを位置決めする
ように正確に移動することができる。同様に、第1の位
置決め手段を使用して、例えばマスク・ライブラリから
マスクMAを機械的に検索した後、またはスキャン中
に、ビームPBのパスに関してマスクMAを正確に位置
決めすることができる。一般に、対象物テーブルMT、
WTの移動は、図1には明示していない長ストローク・
モジュール(粗い位置決め)と短ストローク・モジュー
ル(精密位置決め)とを用いて実現される。しかし、
(ステップアンドスキャン装置と異なり)ウェハ・ステ
ッパの場合には、マスク・テーブルMTを短ストローク
・アクチュエータにのみ接続することができる、または
固定することができる。
【0052】図示した装置は、2つの異なるモードで使
用することができる。 1.ステップ・モードでは、マスク・テーブルMTが本
質的に静止して保たれ、マスク・イメージ全体が、ター
ゲット部分Cに1度に(すなわちただ1回の「フラッシ
ュ」で)投影される。次いで、基板テーブルWTがxお
よび/またはy方向にシフトされ、それにより別のター
ゲット部分CをビームPBによって照射することができ
る。 2.スキャン・モードでは、所与のターゲット部分Cが
ただ1回の「フラッシュ」で露光されない点を除き、本
質的に同じシナリオが適用される。1回のフラッシュで
露光するのではなく、マスク・テーブルMTが速度vで
所与の方向(いわゆる「スキャン方向」、例えばy方
向)に移動可能であり、それにより投影ビームPBがマ
スク・イメージ全体にわたってスキャンするようになっ
ている。それと並行して、基板テーブルWTが、速度V
=Mvで同方向または逆方向に同時に移動される。ここ
でMはレンズPLの倍率である(典型的にはM=1/4
または1/5)。このようにすると、分解能を損なわず
に、比較的大きなターゲット部分Cを露光することがで
きる。
【0053】投影システムが図5に断面図で示されてい
る周知の電子ビーム・リソグラフィ装置では、実効フィ
ールドのサイズが、焦点板付近およびウェハ付近でビー
ムの周囲に位置決めされた材料によって制限されてい
る。本発明の第1の実施形態によれば、最大実効フィー
ルド・サイズefsmは、上部レンズ11の上部磁極片
と下部レンズ12の下部磁極片とに、より大きな穴を作
成することによって増大される。これは図6に概略的に
示されている。磁極片のみが描かれているが、円筒形状
ではなく、フェライト・スタックの円錐形状を採用する
こともできる。この実施形態によれば、各レンズに非対
称磁極片を有することによって実効フィールドが増大さ
れる。システムはそれでもアパーチャで対称であり、完
全なダブレットを容易にする。フィールド・クランプ1
3が、上部レンズ11と下部レンズ12の間に提供され
る。
【0054】実施形態2 以下に述べることを除いて第1の実施形態と同じである
場合がある本発明の第2の実施形態では、投影レンズ・
システムを短縮することによって許容可能ビーム電流が
増大される。図7は、400mmのカラムと比べた、短
縮率SFの様々な値に関する許容可能ビーム電流Iの増
大を示す。この図に関するデータは、短縮投影システム
の効果をモデル化することにより導出される。モデル化
された収差係数は以下のように与えられる。
【表3】
【0055】最初の列(元のサイズ)と最後の列(長さ
を2分の1に短縮、バランスの取れた回転角)での結果
は、以下のスケーリング則をもたらす。
【数6】 ここで、L=カラムの長さ(m)である。
【0056】
【数7】 であることは上に示した。
【0057】図7は、短縮率に応じて、限界寸法100
nmに関して許容されるビーム電流を示す。このデータ
は、0.25×0.25mm2露光フィールドおよび6
mrad収束角を有する電子ビーム・リソグラフィ装置
に基づいている。
【0058】短縮率1.25が、許容ビーム電流を1.
86倍に増大すると結論付けることができる。この場合
のカラムの長さは32cmである。
【0059】より短いカラムは、交差点での角度が増大
するため実効フィールド・サイズに影響を与え、5次お
よび7次収差がより早い段階で発生される。実効フィー
ルド収差がこの角度でスケールを取ると仮定すると、カ
ラムの長さと同じ短縮率だけ実効フィールド・サイズを
低減しなければならないと結論付けることができる。収
差の大幅な増大を伴わずに従来の長さのカラムと共に
6.563mmの実効フィールドを使用する(4ストリ
ップ)ことができる場合、カラムを32cmに減少する
と、実効フィールドは約5.3mm(5ストリップ)に
制限される。それにもかかわらず、ビーム電流の増大に
よってスループットの正味の増大を達成することができ
る。
【0060】実施形態3 上述した第1または第2の実施形態と同じである場合が
ある第3の実施形態は、図8に示されるように、電磁イ
メージング・レンズと、静電加速および減速光学系との
組合せを使用する。
【0061】純粋な静電システムに伴う問題は、強い静
電レンズを作成するのが非常に難しいことであり、これ
が長いカラムを与える。したがって、第3の実施形態
は、その代わりに静電光学系と磁気光学系の組合せを提
供する。磁気光学系がレンズ場を提供し、静電光学系が
ビーム・エネルギーの変化を提供して、投影システムの
様々な部分に最適な性能を与える。マスクMA(焦点
板)では、プラズモン励起による色収差を低減するよう
に高ビーム・エネルギーを有することが望ましい。ビー
ム・エネルギーの増加と共にクーロン相互作用が低減
し、確率的ぶれを低減するので、交差点でも高ビーム・
エネルギーが望まれる。しかし、レジスト感度がビーム
・エネルギーに比例してスケールを取られるので基板W
では低ランディング・エネルギーが望ましい。低ランデ
ィング・エネルギーは、ウェハを露光するのに必要な電
荷を低減し、付着エネルギーを低減する。
【0062】焦点板領域およびランディング時には10
0keV、しかし交差点では300keVのビーム・エ
ネルギーが望ましい。図8に示されるように、これは、
焦点板と上側磁気レンズ11の間に1組の適切な荷電加
速プレート21を提供し、かつ下側磁気レンズ12とウ
ェハの間に1組の適切な荷電減速プレート22を提供す
ることによって達成される。上側および下側レンズ1
1、12は、磁気ダブレットを形成する。
【0063】加速および減速領域は、総カラム長に比べ
てかなり短くすることができる。したがって、追加され
る領域でのクーロン相互作用を無視することができると
仮定することにより、許容ビーム電流の印加を得ること
ができるという仮定が成り立つ。
【数8】 ここで、I=ビーム電流=15μA、V=ビーム・エネ
ルギー=100keV(従来)、=300keV(この
実施形態)
【0064】したがって、この実施形態で許容可能なビ
ーム電流は、クーロン相互作用に関してぶれの同じ部分
を保つことができる場合135μAである。
【0065】実施形態4 以下に述べることを除き第1から第3の実施形態と同じ
である場合がある本発明の第4の実施形態では、実効フ
ィールド・サイズは、投影レンズまたはその一部分をビ
ームのスキャン動作に同期して移動するように配置する
ことによって増大される。このようにすると、全スキャ
ンにおいてビームが横切られる空間にわたって必要な精
度の場を生成する必要はなく、電磁レンズが当該の位置
でビーム断面のサイズでありさえすればよい。したがっ
て、より大きな実効フィールド・サイズに必要とされる
大きなビームに対処することができる。
【0066】スライダ・レンズの概念は、レンズの軸が
移動する場合に非常に大きな実効フィールドを可能にす
るものである。これは、レンズを機械的に移動させるこ
とによって行うことができるが、より良い方法は、レン
ズの電子的な動作である。このようなレンズは、ストラ
イプの量を、最終的にはダイ当たり1つに低減すること
によってオーバーヘッド時間を最小限に抑えるのに重要
な要素である。
【0067】本発明の第4の実施形態による磁気摺動レ
ンズが図10および11に示されており、図10および
11はそれぞれ、摺動レンズを作成するための磁石シス
テムの側面図および平面図である。このシステムでは、
四重極ではなく、円形(球状)レンズ場が生成される。
したがって、小さな選択可能コイル31の列が、実効フ
ィールドの各側に位置決めされる。ビームのスキャン運
動に同期して適切なシーケンスでこれらのコイルそれぞ
れの励磁状態を変えることにより、レンズを移動させる
ことができる。図9は、機能的円形レンズを生成するた
めに、主(スリット)コイル32および小コイル31の
場を加える方法を示す。小コイル31は(中央の図を見
るとわかるように)四重極場を生じる。ある磁場をそこ
に加える(左図)ことによって、円形レンズが生成され
る(右図)。
【0068】このレンズの性能は、プログラムLORE
NTZ 3Dを用いて計算された。図12、14、1
5、および16にレンズ構成および性能結果を示す。図
13は、構成原理を例示しており、部分32は、ギャッ
プ内に磁場を生じるためのコイルであり、この磁場は3
500アンペアを有する場合がある。また、中心には、
四重極31を有する列が示されている。これらのコイル
は重なる場合があり、一般には図示したよりも数が多
い。図12は、数値シミュレーションで使用される構成
のワイヤフレーム図である。矢印33は、高い無収差性
をもつ「円形」レンズ内の電流を示す。四重極コイル3
1が1組のみ中央に示されている。図14および15に
は、XZおよびYZ平面での磁場が矢印によって示され
ている。図16では、より低い振幅ピークを有する曲線
は(スリットからのByに比例する)ギャップ内でのB
zの導出値であり、もう一方は四重極によるByであ
る。
【0069】第4の実施形態の第1の変形形態は、より
正確に「円形」のレンズを生成する。第4の実施形態の
第1の変形形態の原理を図17に示し、その構成を図1
8および19に示す。
【0070】第4の実施形態の第1の変形形態では、コ
イル32間のスリットの各側にある2つの選択可能コイ
ル31aによって四重極場が発生される。この選択可能
コイル31aは、それらがスリットの平面に垂直な(一
般に投影ビームの伝搬方向に平行な)方向にのみあり、
スリットの長さに沿ってはいないという点で第4の実施
形態のコイルと異なる。図17に示されるように、スリ
ット場と四重極場が加わり、第4の実施形態と同様に円
形場を生成する。この図および後続の図では、影付きの
選択可能コイルは、コイルが励磁されていることを示
し、十字線は、ページへ向かう電流を示し、十字線がな
いときは、ページから出る電流を示す。より正確な円形
場を生成するためには、以下の等式を満足しなければな
らない。
【数9】 ここでBsはスリット磁場であり、BQは四重極場であ
る。この等式は、第4の実施形態の第1の変形形態によ
ってより正確に満足することができる。
【0071】円形レンズ場は、他のコイルに電流を印加
することによって移動させることができる。したがっ
て、単に4つのコイルではなく、コイルの列を使用す
る。使用される総電流が1組の四重極に必要とされる電
流と同一になるようにして同時に2組の隣接四重極に電
流を印加することによって、円形レンズ場をx軸上の任
意の点に位置決めすることができる。四重極の2つの隣
接組間の励磁比が、円形レンズ場の正確な位置を決定す
る。四重極コイルがすべて同一である場合、各四重極の
コイルに等しい電流が印加されるはずである。
【0072】第4の実施形態の第2の変形形態では、四
重極コイル31bは、等式11を満足するために単純な
巻線よりも複雑な形をしている。これは図20に示され
る。
【0073】スリット・コイル32の磁場が比較的乱さ
れず、一方、四重極コイル31bの磁場は等式11を満
足するように成形される様式で、フェライトを磁気スリ
ット中に配置することができる。
【0074】磁気スリットのレンズ鉄もまた、等式11
を満足する四重極磁場を生成するように、より複雑な形
に成形することができる。これは、局所的に磁場の強さ
を変化させ、それにより焦点距離をスリット内の長手方
向位置に応じて局所的に適合させることができる。
【0075】磁気スリットの幅は、磁気スリットのコイ
ルの電流を変化させることなく様々な円形レンズ場位置
に関して異なる焦点距離を可能にするように変えること
ができる。1つの円形レンズ場の周りでのスリットの非
対称性による収差は、例えば六重極など、より高次の電
子光学要素を用いて補償することができ、これは、四重
極コイル列のワイヤに補正電流を印加することによって
生成される(以下参照)。
【0076】レンズ鉄は分割することができ、追加のコ
イルを使用して等式11を満足する磁場を生み出すこと
ができる。
【0077】コイルは、磁気スリットの入口で4列に、
出口で4列に配置することができ、外側列のコイルは内
側列コイルよりもはるかに薄くなっている。薄いコイル
を使用して、四重極に小さな補正場を加えて、例えば材
料公差およびミスアラインメントを補正することができ
る。
【0078】第4の実施形態の第3の変形形態では、四
重極コイルはz方向で2つ以上のより小さなコイル31
c、31dに分割されており、両コイル中の電流の比を
変えることによってz軸に沿って四重極場をシフトする
ことができる。これは例えば、スライダ・レンズの実効
主平面がxおよびy方向に関して異なるz位置を有して
いるときの補正に使用することができる。これは図21
および22に示され、後者は四重極場がz方向にシフト
される様子を例示する。
【0079】y方向の水平方向電流によって誘導される
四重極コイルの場は、レンズ鉄またはフェライトからな
る追加のスリットを使用することによってビームから遮
蔽することができ、この追加のスリットは、スライダ・
レンズの磁気スリット、いわゆるフィールド・クランプ
の上方および下方に配置される。
【0080】フィールド・クランプを使用して、構成外
部での正および負z方向のスライダ・レンズの磁場の大
きさを制限することもできる。
【0081】フィールド・クランプは、スライダ・レン
ズの上方または下方に配置されたスライダ・デフレクタ
と共に「共有」することができる。
【0082】複数の円形レンズ場を、磁気スリット内の
異なる位置に同時に発生することができ、それによりマ
ルチビーム適用が可能になる。
【0083】マルチビーム適用にスライダ・レンズが使
用される場合に配置誤差を最小限に抑えるため、ビーム
を合焦するために実際に使用される全てのレンズ場が2
つの等距離レンズ場間に位置決めされるような形で、2
つの余剰レンズ場を発生すべきである。これは対称性の
考慮から出てくるものである。
【0084】第4の実施形態の第4の変形形態では、第
1の変形形態と同様に2つの列としてスリットの両側に
指向された選択可能コイル34のみが提供される。この
とき、これらを使用して、図23〜34に示されるよう
に、様々な他の形の摺動電子光学要素を作成することが
できる。
【0085】図23〜26では、2列のコイル34を使
用してスライダ・デフレクタを形成する。これらの列の
方向はx軸に平行である。コイル34は、列の方向に垂
直、z軸に平行に指向される。x方向での偏向を得るた
めには、y方向の磁場が必要とされる。この場は、各列
2つずつの4つのコイル35を励磁することによって得
られ、図23および24に示されるように、異なる列に
ある2つの対向するコイルが同一の電流を有し、同じ列
のコイルは、大きさが等しく反対向きの電流を有する。
【0086】y方向での偏向には、x方向の磁場が必要
とされる。これは同じデバイスで得ることができるが、
この場合は、図25および26に示されるように、同じ
列にあるコイルに同じ方向の等しい電流が印加され、第
1の2つのコイルに面している他の列にある2つのコイ
ルに、大きさが等しく、しかし反対向きの2つの電流が
印加される。
【0087】偏向場を重なり合わせて、x方向とy方向
との両方での偏向を同時に得ることができる。これは、
2つの偏向方向に必要な電流を加算し、結果として得ら
れた電流を同じ4つのコイルに印加することによって、
またはデフレクタを「ネスティング(nestin
g)」することによって、すなわち偏向場の中央を介す
るy,z平面のまわりで電流が対称になるように8つの
コイルに同時に電流を印加することによって行うことが
できる。
【0088】列内の他のコイルに電流を印加することに
よって、各タイプの偏向場(すなわちx、y、またはx
&y偏向場)をx軸に沿って移動させることができる。
さらに、2組の隣接デフレクタに、総電流を1組のデフ
レクタの場合と同じままにして電流を印加することによ
って、x軸に沿って任意の点に位置決めすることができ
る。2つの隣接組間の励磁比が偏向場の正確な位置を決
定する。
【0089】やはり2列のコイル34を使用して四重極
を作成するには2つの基本原理があり、それは、これら
の列の方向がx軸に沿っていること、およびコイルが列
の方向に垂直に指向されていることである。これらの原
理は図27〜30に例示する。
【0090】各列で反対向きの電流が存在し、それら
が、他の列にあるコイルでのやはり反対向きの電流に面
するように、各列2つずつ4つのコイル35に大きさの
等しい電流が印加される。この構成は、電流の符号に応
じて、x方向で正の焦点距離を、y方向で負の焦点距離
を与える、またはx方向で負の焦点距離を、y方向で正
の焦点距離を与える。この配置は図27および28に示
されている。
【0091】x,z平面を電流とコイルとの両方に対称
な平面として、各列3つずつ6つのコイルに電流が印加
される。外側4つのコイルでの電流は、2つの中心コイ
ルと符号が逆である。外側4つのコイルでの電流は等し
く、中心コイルの電流も等しく、しかし外側コイル電流
と必ずしも同じでなくてよい。この構成は、電流の符号
に応じて、前の四重極構成に関して45°回転した四重
極場を与える。この構成は、図29および30に示され
ている。
【0092】どちらのタイプの四重極も、隣接コイル
に、それらのコイルがやはり四重極場を生成するように
電流を印加することによって、x軸に沿った任意の点に
位置決めすることができる。四重極場の移動は、隣接四
重極のコイル励磁の比によって決定される。
【0093】上述した2つのタイプのスライダ四重極の
場を組み合わせて、任意の角度で回転する総四重極場を
生み出すことができる。合成四重極は、図31および3
2に示されるように、隣接コイル35に必要な電流を印
加することによってx軸に沿った任意の点に位置決めす
ることができる。四重極場の移動は、隣接する合成四重
極のコイル励磁の比によって決定される。この回転四重
極は、非点補正装置として使用することができる。
【0094】再び2列のコイル34を使用して、図33
および34に示されるようにスライダ六重極を形成する
ことができる。これらの列の方向はx軸に沿っている。
コイルは、列の方向に垂直に指向されている。六重極場
は、電流が各列3つずつ6つのコイル35に印加される
ときに誘導され、励磁コイル35は、x,z平面のまわ
りに対称的に配置されている。コイルでの電流の方向
は、対向するコイルとも隣接するコイルとも反対向きで
あり、4つの外側コイルの電流は全て大きさが等しく、
2つの中心コイルの電流も大きさが等しい。
【0095】第4の実施形態の全ての変形形態におい
て、選択可能コイルをレンズ鉄またはフェライト・コア
36の周りに巻きつけて場の強さを高めることができ
る。レンズ鉄またはフェライトのプレートは、スライダ
・デフレクタの上方および下方でフィールド・クランプ
として使用することができ、電子ビームからの水平方向
電流を遮蔽して、光軸に沿った磁場の大きさを制限す
る。コイルは、単一ターンまたは湾曲ワイヤからなって
いてもよい。性能を最大限高め、収差を最小限に抑える
ために、コイルを単純な巻線よりも複雑な形で作成する
こともできる。コイルを、z方向で2つ以上のより小さ
なコイルに分割することができ、両コイルでの電流の比
を変えることによってデフレクタの枢支点をシフトする
ことができる。
【0096】実施形態5 本発明の第5の実施形態では、図35に示されるよう
に、交差点43の両側でダブレットの形で2つの摺動レ
ンズ41、42が組み合わされている。実効発生レンズ
の移動が矢印によって示されている。本発明の第5の実
施形態は、その他の点では上述した実施形態と同じであ
る。
【0097】第5の実施形態の投影システムの第1の変
形形態を図37に示す。これは、マスクMAから基板W
まで、順に、 スライダXデフレクタ103 スライダ・レンズ101 スライダXデフレクタ104 アパーチャ106 スライダXデフレクタ107 スライダXデフレクタ108 スライダ・レンズ102 スライダXデフレクタ109 スライダXデフレクタ110 を備える。この投影システムは縮小率4のダブレットで
あり、歪曲および収差の影響を最小限に抑える。したが
って、交差点で点対称となる。
【0098】ダブレットはまた、焦点板からレンズ主平
面までの光路長が、レンズ主平面から交差点までの光路
長と等しいことを必要とする。この条件は、レンズの主
平面の上方および下方にデフレクタを等距離で配置する
ことによって満足される。
【0099】偏向角度は重要である。これは偏向非点収
差をもたらす。デフレクタを四重極と組み合わせること
によって補償可能である。
【0100】この構成は、最小数の要素を使用してダブ
レット条件を満足するが、デフレクタの位置は、その位
置がスライダ・レンズの対象物および像焦点距離の対称
性を決定するので、関連付けられている。
【0101】図38は、第5の実施形態の投影システム
の第2の変形形態を示す。これは、マスクMAから基板
Wまで、順に、 スライダXデフレクタ113 アパーチャ106 スライダXデフレクタ108 スライダ・レンズ102 スライダXデフレクタ109 スライダXデフレクタ110 を備える。
【0102】この場合も、投影システムは縮小率4のダ
ブレットであり、歪曲および収差の影響を最小限に抑
え、交差点で点対称となっている。
【0103】ダブレットはまた、焦点板からレンズ主平
面までの光路長が、レンズ主平面から交差点までの光路
長と等しいことを必要とする。この条件は、レンズ上方
およびレンズ下方での特定の偏向比によって満足するこ
とができる。
【0104】ビームは直進してスライダ・レンズを通過
する。これにより、第6の実施形態の第1の変形形態の
場合よりも収差が少なくなる。
【0105】追加のデフレクタが、スライダ・レンズの
上方および下方に直接位置決めされる。ビームがレンズ
場を去った後でのみ偏向場を開始することが好ましい。
これは、この場がビームを回転させるためである。した
がって、レンズを小さくすべきであり、フィールド・ク
ランプが、z軸に沿って場を制限しなければならない。
これは図39および40に示され、これらの図はそれぞ
れデフレクタ・レンズ・デフレクタ・グループ111、
102、104および108、102、109を示す。
これらの図では、スライダ・レンズの選択可能コイルが
121a、121bおよび131a、131bで表さ
れ、スリット・コイルが122、132で表される。ス
ライダ・デフレクタは、コア126、136に巻き付け
られた選択可能コイル125、135によって形成され
る。フィールド・クランプは、124、127、13
4、137で表される。
【0106】偏向角度は重要である。これは偏向非点収
差をもたらす。デフレクタを四重極と組み合わせること
によって補償可能である。
【0107】この変形形態では、ビームが、システム軸
に平行にスライダ・レンズを通過する。これにより、収
差ぶれが最小限に抑えられ、しかし偏向角はより大きく
なり、したがって偏向収差も大きくなる。これは、レン
ズ領域で偏向がないためである。
【0108】図41に、第5の実施形態の投影システム
の第3の変形形態を示す。これは、マスクMAから基板
Wまで、順に、 スライダXデフレクタ103 スライダXデフレクタ111 スライダ・レンズ101 スライダXデフレクタ113 スライダXデフレクタ104 アパーチャ106 スライダXデフレクタ112 スライダXデフレクタ108 スライダ・レンズ102 スライダXデフレクタ109 スライダXデフレクタ110 を備える。
【0109】前と同様に、投影システムは縮小率4のダ
ブレットであり、歪曲および収差の影響を最小限に抑
え、交差点で点対称となっている。
【0110】ダブレットはまた、焦点板からレンズ主平
面までの光路長が、レンズ主平面から交差点までの光路
長と等しいことを必要とする。この条件は、レンズの主
平面の上方および下方にデフレクタを等距離に配置する
ことによって満足される。
【0111】ビームは直進してスライダ・レンズを通過
する。これにより、第1の変形形態の場合よりも収差が
少なくなる。
【0112】第1の変形形態と比べると、追加のデフレ
クタ111、113が、スライダ・レンズの上方および
下方に直接位置決めされている。ビームがレンズ場を去
った後でのみ偏向場を開始することが好ましい。これ
は、この場がビームを回転させるためである。したがっ
て図39および40に示されるのと同様に、レンズを小
さくすべきであり、フィールド・クランプが、z軸に沿
った場を制限しなければならない。
【0113】偏向角度は重要である。これは偏向非点収
差をもたらす。デフレクタを四重極と組み合わせること
によって補償可能である。この変形形態では、スライダ
・レンズ101、102の上方および下方でのデフレク
タの場所および励磁状態に対称性がある。これはまた、
四重極レンズ場の場合にも当てはまる。
【0114】この変形形態では、ビームは、システム軸
に平行にスライダ・レンズを通過する。これにより、収
差ぶれが最小限に抑えられる。また、四重極場を加える
ことによって非点収差デフレクタの場合にもダブレット
条件が満足される。しかし、偏向角度はより大きく、し
たがって偏向収差もより大きい。これは、レンズ領域内
および交差点付近で偏向がないためである。
【0115】図42に、第5の実施形態の投影システム
の第4の変形形態を示す。これは、マスクMAから基板
Wまで、順に、 スライダXデフレクタ103 3つのスライダ四重極114、115、116 スライダXデフレクタ104 アパーチャ106 スライダXデフレクタ107 3つのスライダ四重極117、118、119 スライダXデフレクタ110 を備える。
【0116】前と同様に、投影システムは縮小率4のダ
ブレットであり、歪曲および収差の影響を最小限に抑
え、交差点で点対称である。
【0117】この変形形態では、複数の四重極114〜
116および117〜119の組合せが円形レンズとし
て働く。この利点は、ビームがそのようなレンズで回転
しないことである。したがってデフレクタを偏向場と組
み合わせて、より小さい偏向角度をもたらすことができ
る。これは偏向収差を最小限に抑える。
【0118】ダブレットの条件を満足するために、対称
円形レンズを用いて以下のシステム要件が満足される。
−焦点板平面に垂直に出る光線が、交差点面で光軸を横
切らなければならない。これはxおよびy方向で満足さ
れなければならない。−焦点板平面での光軸上の一点か
ら光軸に対して特定の角度で出る光線が、光軸に平行に
交差点面を通過しなければならない。これはxおよびy
方向で満足されなければならない。
【0119】偏向角度は重要である。これは偏向非点収
差をもたらす。デフレクタを四重極と組み合わせること
によって補償可能である。この変形形態では、スライダ
・レンズの上方および下方でのデフレクタの場所および
励磁状態に対称性がある。これは、四重極レンズ場の場
合にも当てはまる。
【0120】この変形形態では、マルチビーム装置にお
いてビームレットごとに焦点距離を調節することができ
る。これはスライダ・レンズでのスリット場の場合と同
様、全体的な場が存在しないためである。ビーム回転が
なく、したがってデフレクタを四重極と組み合わせるこ
とができる。ダブレット条件は、四重極場を加えること
によって非点収差補正デフレクタの場合にも満足され
る。
【0121】図43は、第5の実施形態の投影システム
の第5の変形形態を示し、マスクMAから基板Wまで、
順に、 スライダXデフレクタ103 ハイブリッド・スライダ・デフレクタ四重極141 スライダ四重極142 ハイブリッド・スライダ・デフレクタ四重極142 スライダXデフレクタ113 アパーチャ106 スライダXデフレクタ107 ハイブリッド・スライダ・デフレクタ四重極144 スライダ四重極145 ハイブリッド・スライダ・デフレクタ四重極145 スライダXデフレクタ110 を備える。
【0122】前と同様に、投影システムは縮小率4のダ
ブレットであり、歪曲および収差の影響を最小限に抑
え、やはり交差点で点対称である。
【0123】複数の四重極の組合せが円形レンズとして
働く。この利点は、ビームがこのレンズで回転しないこ
とである。したがってデフレクタを偏向場と組み合わせ
て、より小さい偏向角度をもたらすことができる。これ
は偏向収差を最小限に抑える。
【0124】ダブレットの条件を満足するために、円形
レンズとして働く対称トリプレットを用いて以下のシス
テム要件が満足される。−焦点板平面に垂直に出る光線
が、交差点面で光軸を横切らなければならない。これは
xおよびy方向で満足されなければならない。−焦点板
平面での光軸上の一点から光軸に対して特定の角度で出
る光線が、光軸に平行に交差点面を通過しなければなら
ない。これはxおよびy方向で満足されなければならな
い。
【0125】ビームは直進して中心レンズを通過する。
おそらく、これは第4の変形形態の場合よりも収差が少
なくなる。しかし、四重極間の領域で偏向が可能でない
ため、偏向角度はより大きくなる。
【0126】偏向角度は重要である。これは偏向非点収
差をもたらす。デフレクタを四重極と組み合わせること
によって補償可能である。この変形形態では、スライダ
・レンズの上方および下方でのデフレクタの場所および
励磁状態に対称性がある。これは、四重極レンズ場の場
合にも当てはまる。
【0127】この変形形態では、マルチビーム装置にお
いてビームレットごとに焦点距離を調節することができ
る。これはスライダ・レンズでのスリット場の場合と同
様、全体的な場が存在しないためである。ビーム回転が
なく、したがってデフレクタを四重極と組み合わせるこ
とができる。ビームは光軸に平行に中心四重極を通過す
る。ダブレット条件は、四重極場を加えることによって
非点収差補正デフレクタの場合にも満足される。しか
し、四重極間での偏向がないため、第4の変形形態より
も偏向角度が大きくなる。
【0128】図44に示される第6の変形形態は、第5
の変形形態と同様であるが、スライダ・デフレクタを省
き、したがって、ハイブリッド・スライダ・デフレクタ
四重極151、153、154、156およびスライダ
四重極152、155のみを備える。この変形形態は、
最小数の構成要素を有しており、四重極を互いに大きく
離して配置することができる場合には、偏向角度が第5
の変形形態と同様になる。
【0129】実施形態6 本発明の第6の実施形態は、第5の実施形態の一変形で
ある。図36に示されるように、摺動レンズ51、52
は、この場合も交差点53の両側に位置決めされ、湾曲
しており、それにより発生球状レンズは矢印によって示
されるように弓形パスをたどる。投影ビームを曲げるた
めの追加の磁場が領域54、55、56、および57内
に発生する。全体的な効果は、投影ビームの曲がりの鋭
さを低減し、収差を低減することである。
【0130】実施形態7 上述した実施形態と同じである場合がある本発明の第7
の実施形態は、小さい収束角を有して、システムでのク
ーロン相互作用の影響を低減する。小さな収束角は、大
きな露光フィールドを可能にし、軸方向色収差を低減す
る。
【0131】第6の実施形態によれば、オフアクシス電
子が軸を横切るのを禁止するために、電子源で磁場が提
供される。電子はこの軸の周りを、らせん状に動くこと
になる。したがって、この実施形態で「渦巻き」の形を
取る交差点を大きくすることができ、一方、ウェハでの
収束角は小さくなる。ランディング角度は垂直でなく、
しかしそれでも照明角度の許容範囲内にある。フィール
ド曲率ぶれは、このときフィールド曲率歪曲に変換され
る。これらは、マスクの予歪によって補正することがで
きる。
【0132】より具体的には、電子源での磁場Bsが、
電子にφ方向の速度成分を与える。電子の運動に垂直な
速度は、軸からの距離γcに比例する。この速度
(V⊥s)、ウェハでの横方向速度(V⊥w)、およびウ
ェハでのz方向速度(Vza)は、
【数10】 によって与えられる。
【0133】ウェハでの傾斜角(V⊥w/Vza)が10
mradのとき、露光フィールドFが0.25×0.2
5mm2である場合には1Tの磁場が必要である。露光
フィールドが1×1mm2の場合は0.25Tとなる。
【0134】これは以下の電子源特性を必要とする。8
5×85μm2(340×340μm2)の正方形からの
80μAが電流密度J=1.11×104A/m2(4×
103A/m2)を意味する。これは、2000Kでの低
減された明るさBr=J/kT=6.5×104A/
2.sr.eV(4×103A/m2.sr.eV)に
対応し、LaB6または酸化物カソードの典型的な明る
さである。列挙した値は、0.25×0.25mm2
露光フィールドの場合である。括弧内の値は、ウェハで
の露光フィールドが1×1mm2の場合に有効である。
【0135】許容可能ビーム電流のゲインを、以下の2
つの場合で比較する。 1.大きな露光フィールド・サイズ(1×1mm2)お
よび小さな収束角(0.3mrad)を有する従来のシ
ステム 2.大きな露光フィールド・サイズ(1×1mm2)お
よび渦巻き交差点を有するシステム。最大傾斜角は10
mradであり、収束角は0.3mradである。アパ
ーチャはこの場合、正方形である。コーナでは、ビーム
が10mrad×40mm焦点距離であり、したがって
560×560μm2の正方形である。主要な相互作用
はこの場合も交差点において起こる。これはウェハでビ
ームが1000×1000μm2であるためである。確
率的クーロン相互作用に関してJansenのスケーリ
ング則が有効であると仮定する。したがって、
【数11】 ここでrc=交差点の半径であり、ケース1=12μ
m、ケース2=396μmである。
【0136】渦巻き交差点は、5.7倍の電流増加を与
えると計算することができる。この計算の不確かさのた
め、その改善を控え目に想定すると、少なくとも2倍と
いうことになる。これは、ウェハでの露光フィールドが
1×1mm2のとき約60μAの電流を与える。装置の
残りの部分に対していくつか修正することがこの実施形
態では望ましい。 ・ランディングが垂直でない。角度は照明に使用される
円錐範囲内にあるが、これは、ウェハの高さ誤差がぶれ
ではなくパターンの移動をもたらすことを意味し、配置
に対する要件がぶれに対する要件よりも厳しくなる。 ・マスクが予歪されていなければならない。傾いた照明
によって生じる歪曲は、露光フィールド・サイズが大き
いため従来のシステムの約16倍になる。したがって、
ウェハで300〜800nm程度であり、そのためマス
ク・パターンはコーナで約1.5〜3.5μmだけ歪曲
されなければならない。 ・スキャニングではなくステッピング。予歪されたマス
クはまた、別の書込みストラテジを必要とする。照明を
1つのストライプにわたって連続的にスキャンすること
はできない。これは、フィールドの各点がまず正の傾斜
角で照明され、その後照明の他端で、負の傾斜角となる
ためである。したがって、その代わりに、正方形照明フ
ィールドによって照明される明確に画定された正方形の
サブフィールドが提供される。各サブフィールドは予歪
を有し、これは、照明時のオフアクシスの程度によって
異なる。1時間あたり40ウェハでは、1×1mm2
ブフィールドの照明時間が1〜2ミリ秒程度である。サ
ブフィールド間で、デフレクタおよび補正器がリセット
され、維持されなければならない。露光中、両方向に例
えば10μmの照明スキャンによってシーム・ブレンデ
ィングを行うことができる。1つの代替形態は、可変形
状照明である。
【0137】図45および46は、4つの計算された電
子軌道に関する横方向位置Tpと長手方向位置Lpの関
係を示す。電子は、10mrad(図45に示された従
来のシステム)および0.3mrad(第6の実施形
態、渦巻き交差点を有する)の角度で発射される。この
結果は、イメージがウェハから405mmで合焦される
こと、および縮小率4が達成されることを示す。
【0138】この計算では、電子源付近の磁場がシミュ
レートされている。粒子は、φ方向に追加の方向を得
る。縁部およびコーナでの粒子は、それぞれ7.96m
radおよび11.3mradを得る。半開き角は、同
時に10mradから0.3mradへ減少する。この
計算は、以下のスポット・サイズを予測する。
【表4】
【0139】スポット・サイズの減少は、コーナで約1
0分の1である。両計算から求められたフィールド曲率
は、5分の1に減少する(適合係数:10mradに関
して−0.786、0.3mradに関して−0.03
48)。
【0140】電子は、マスクを通過するときにエネルギ
ーを損失する。実験測定値は、損失が約22eVである
ことを示す。上の計算は、99.978keVのエネル
ギーを有する電子に関して繰り返された。スポット・サ
イズに対する影響は最小限であった(0.02nmの変
化)。これは、従来の設計に比べて軸方向色ぶれを20
分の1に低減する小さな収束角のためである。焦点は、
より遅い電子に関して約−10μmだけシフトした。
【0141】電子がウェハに到達する角度は、電子が1
0mradの角度で発射される通常の場合には±40m
radである。磁場がオンに切り換えられると、開き角
は小さくなり、しかし電子は、マスクに到達するときに
ある角度を有する。スポット・サイズはいくつかの長手
方向位置に関して計算した。図47に、マスクで4.0
mm正方形サブフィールド・サイズを取った場合の計算
結果を示す。電子のエネルギーは100keVであっ
た。3つの曲線は、404mmと408mmの間の長手
方向位置Lpに関するスポット・サイズの標準偏差であ
る。ウェハは、マスクから約405mmの位置に位置決
めすることができる。これは、中心および縁部でほぼ同
じになるぶれサイズを与える。矢印C、Co、およびE
はそれぞれ、基板の中心、コーナ、および縁部の方向を
示す。
【0142】電子は、マスクでのφ方向の運動により、
ウェハに到達したときに追加の角度を有する。図48
は、長手方向位置Lpの様々な値に対する、非摂動の場
合に関するコーナの回転Rcを示す。電子は4×4mm
2のグリッドから発射された。これは、ウェハとマスク
の間の距離に応じてマスクの歪曲を考慮することができ
ることを意味する。
【0143】上の計算は基本的に以下の2つのことを実
証する。 ・渦巻き交差点を用いると良好なイメージング品質を実
現することができる。 ・システム・パラメータの再最適化(より大きな露光フ
ィールド、より小さな収束角)が、収差の影響を低減す
るのに有利である。これは拡張性を改善する。
【0144】特に有利には、第7の実施形態の渦巻き交
差点の概念を、第4、第5、および第6の実施形態によ
るスライダ・レンズと組み合わせて、実効フィールドを
ダイ全体に対して改善することができることに留意され
たい。
【0145】実施形態8 以下に述べることを除き上述の実施形態と同じである場
合がある本発明の第8の実施形態も、小さな収束角を有
して、システムのクーロン相互作用の影響を低減する。
【0146】第8の実施形態によれば、マスクMAは磁
場内部に配置され、この磁場は、ウェハWで16倍強い
場に収束する。したがって、電子はフィールド・ライン
の周りをほぼ1回旋回して、ウェハ上に4分の1に縮小
されたマスク・イメージを形成する。この目的のための
最も簡単な磁場形態は、P.KruitおよびM.Le
nc(Journal of Applied Phy
sics 72,1992,pp.4505)に記述さ
れた磁気単極場または1/z2磁場である。これを図4
9に示す。R=5mmおよびS=0.2mmであると
き、図50に示される大きなリング形状の露光フィール
ドを、例えば8mm幅で生成することができる。これ
は、光軸から同じ半径にある全ての位置が同じ収差を受
けるので可能である。
【0147】ビームの傾斜角はフィールド・サイズに比
例する。例えば、マスク・ウェハ距離が400mmであ
り、ウェハでの環状露光フィールドの半径が5mm(焦
点板では20mm)である場合、傾斜角は37.5mr
adである。これは、ウェハでテレセントリック・ラン
ディングが可能であり、しかし焦点板での角度が29m
rad(37.5mradの3/4)であることを意味
する。これは当然、焦点板の位置決めに必要な精度を決
める。ウェハで10nmの配置誤差がある場合、焦点板
の位置は、光軸に沿って1.2μm未満の近軸位置内に
なければならない。
【0148】ビームの傾斜角がフィールド・ラインに関
して0である場合は全ての歪曲がゼロであることを実証
することができる。
【0149】ウェハでの垂直ランディングに関するこの
タイプの投影システムの幾何的光学性能を計算するため
に、プログラムMLD(磁気レンズ設計)を使用してシ
ミュレートが行われる。図51に、いくつかの結果を示
す。図51では、z=0mmがウェハ平面に対応し、z
=400mmが焦点板平面に対応する。焦点板およびウ
ェハの位置での磁場の強さはそれぞれ0.031Tおよ
び0.5Tである。
【0150】以下の表に、磁気単極レンズのいくつかの
収差係数を与える。
【表5】
【0151】上の表の係数を用いて、環状フィールドで
の収差ぶれを計算することができる。 dfc=Cfc2σ=Cfc(R+S)2σ=Cfc(R2+2RS+S2)σ (1 5) ddi=Cdi3=Cdi(R+S)3=Cdi(R3+3R2S+3RS2+S3) ( 16) 括弧内の第1項は、環状露光フィールドにわたって一定
であり、補正することができる。したがって、最も大き
な寄与因子は、 dfc=2CfcRSσ (17) ddi=3Cdi2S (18) である。
【0152】第8の実施形態は、動的デフレクタを必要
としない点で有利である。磁気単極を使用する第8の実
施形態では、マスクとウェハ両方でのランディングを鉛
直にすることができる。
【0153】実施形態9 以下に述べることを除き上述の実施形態と同じである場
合がある第9の実施形態もまた、小さな収束角を有し
て、システムでのクーロン相互作用の影響を低減する。
【0154】第9の実施形態では、マスクがやはり磁場
内部に配置され、この磁場は、ウェハで16倍強い場に
収束し、それにより電子がフィールド・ラインの周りを
ほぼ1回旋回して、ウェハ上に4分の1に縮小されたマ
スク・イメージを形成する。1つまたは複数のアパーチ
ャをビームの周りに配置することによって、スキャッタ
リング・コントラストが高まる。交差点がないので、開
口数を非常に小さくすることができ、それによりフィー
ルドを大きくすることができる。予歪マスクは必要な
い。電子源は磁場内にある。フィールドの構成が図52
に示され、結果として生じる電子軌道(実線)が、従来
レンズの軌道(点線)と比較して図53に示されてい
る。
【0155】第9の実施形態では、焦点板からウェハへ
の距離が400mmの場合、焦点板は、2.8×10-3
Tの均質磁場内に配置される。160mm後に、場は、
実質的に段階的な形で1.1×10-2Tに増大する。こ
の場において、電子が半円をなし、軸に向かって3/4
進む。マスクから360mmで、場は、やはり実質的に
段階的に4.5×10-2Tまで増大する。これにより、
電子は螺旋運動を止められ、ウェハに向かって直進し、
ウェハに垂直に照射する。第2の場のジャンプは、ほぼ
負の電子レンズとして働く。ウェハで、ビームはフィー
ルドよりも決して小さくなく、そのため空間電荷効果が
低減される。導入される1つの収差は、色回転誤差であ
る。オフアクシス・サブフィールドが照明されるとき、
デフレクタおよび補正器場がビームを「曲線」軸上に保
つことができ、垂直ランディングを維持することができ
る。しかし、ビームは決してシステムの軸上にはなく、
したがってコントラスト・アパーチャはその位置で動的
でなければならない。これを実現するにはいくつかの方
法がある。 ・コントラスト・アパーチャを簡単な構成にすることが
でき、位置精度が非常に高くなく、したがって機械的移
動を構成することができる。40WPHでの1×1mm
2フィールドの場合、移動は、約10ミリ秒の間に8m
m、したがって約1m/秒でなければならない。 ・書込みストラテジを、アパーチャが回転する必要がな
いように順序付けすることができる。このとき、一連の
アパーチャを回転ディスク上に提供することができる。
回転が必要である場合、反対方向に回転するアパーチャ
を有する2つのディスクを使用することができる。 ・コントラスト・アパーチャはスリットであってもよ
い。
【0156】この概念の光学的特性は、プログラムML
D(磁気レンズ設計)を用いて計算された。結果を以下
の表、ならびに図54および55に示す。
【表6】
【0157】上の表は、第9の実施形態でのほとんどの
収差係数が、従来システムのものに匹敵することを示
す。1つだけ例外がある。それは横方向色収差である。
このぶれ寄与因子は、マスクでのエネルギー損失を低減
した場合に改善することができる。
【0158】図54に、第9の実施形態におけるレンズ
・レイアウトおよび磁場の強さを示し、図55に、電子
ビーム光線を示す。曲線61および62に、それぞれX
ZおよびYZ平面での光線を示す。曲線63にXY平面
での光線を示す。
【0159】特に有利には、第10の実施形態を、本発
明の第4から第6の実施形態の摺動レンズ概念と組み合
わせて、実効フィールドをダイ全体に対して改善するこ
とができることに留意されたい。
【0160】実施形態10 以下に述べることを除き上述した実施形態と同じ場合が
ある本発明の第10の実施形態では、従来の磁気ダブレ
ットの代わりに2つの円形(球形)レンズを用いること
によって非点収差交差点が提供される。図56に示され
るように、両垂直方向での等しい倍率(M=0.25)
や、焦点板およびウェハでのテレセントリシティ(te
lecentricity)などの制約を満たすことが
できる。中心光線のみを考慮すると、交差点は、第10
の実施形態では、1点から、最小錯乱円を間に有する2
つの交差点線の組に変わる。特に、小さな開き角を有す
るシステムでは、クーロン相互作用「ホット・スポッ
ト」がより分散される。小さな収束角がウェハでの1×
1mm2の大きな露光フィールドと組み合わされる場合
にこれは有利である。
【0161】図56は、4つの四重極を有し、テレセン
トリックであり、倍率0.25を有するシステムに関す
る原理光線を示す。異なる第1の添字を有する光線は、
2つの垂直方向でのビームを表す。点線は、露光フィー
ルドの縁部にある焦点板に対する公称値から始まる。実
線は、軸上の特定の開き角から始まる。
【0162】上述のシステムは、線源R=0.75mm
(露光フィールド0.25×0.25mm2)と、開き
角α=0.75mrad(ウェハ・レベルで3mra
d)を有する収差のない(理想)システムに関してPO
CADでモデル化された。誤差の丸めにより、焦点板上
の一点が、直径7.5nmを有するスポットとしてイメ
ージされた。四重極要素に関する楽観推定値Cs=fに
従う収差を加える場合、コーナ・フィールドでの収差
は、ミクロン程度になる。
【0163】四重極では、はるかに小さい開き角が想定
される。収差のない投影システムは、α=0.075m
rad(焦点板レベル)または0.3mrad(ウェハ
・レベル)でモデル化された。所望の倍率でのイメージ
ングがこの理想システムに関して完全に行われる。ぶれ
サイズのディスクは、全てのフィールド座標に関して
0.4nm未満である。誤差の丸めにより正確な点合焦
は見られなかった。したがって、POCADモデルが働
く。Cs=1/S=f(楽観最小推定値)と等しい球面
収差を全ての四重極要素に加えた後、ぶれのディスクは
直径100nm程度で生じる。
【0164】モデル化されたシステムが図57に示さ
れ、4つの四重極が71、72、73、および74であ
る。75はウェハである。簡単な光線トレース・プログ
ラムを用いて、焦点板縁部(しかしコーナではない)で
の点に関して(予想される)様々な角度で(一方向のみ
に)放出された光線に関してランディング位置が計算さ
れた。結果は、以下の表に列挙され、10光線の2組の
座標を与える。2組の光線は、それぞれフィールド位置
(x=2mm、y=0mm)および(x=0mm、y=
2mm)から発し、焦点板で0〜0.075mradの
範囲にある角度α=arctanx’およびβ=arc
tany’で放出される。
【表7】
【0165】ランディング位置が約4μm離れ、しかし
全ての光線に関する差はわずか約182nm(x方向)
および100nm(y方向)であると結論付けることが
できる。これらの数値は、大きな歪曲、および何らかの
他の収差を提示しており、そのうち最悪のものを補償す
ることができる。したがって、解析モデルに従って、四
重極に基づく投影システムが可能であると結論付けられ
る。初期結果は、1×1mm2露光フィールドおよび
0.3mrad収束角で大きな歪曲を示し、この歪曲
は、マスク内で補正することができるが、かなりの他の
収差がある。確率的クーロン相互作用は、収束角とは無
関係にライン交差点間で、ディスクのサイズの約半分の
交差点直径に相当する。
【0166】四重極システムの概念は、上述した第4か
ら第6の実施形態に関係して述べたように、スライダ・
レンズと組み合わせて、実効フィールドをダイ全体に対
して改善することができる。このスライダ・レンズは、
ここでは四重極レンズでなければならない。これは、ス
リット・レンズの場を除去することによって上述した設
計を用いて得られる。
【0167】第10の実施形態の変形形態では、非対称
ダブレットを作成するために2×2四重極を使用するの
ではなく、2つの(反対称)四重極トリプレットを使用
することもできる。このトリプレットは、円形レンズと
して効果的に働く。これは、非点収差交差点がないこと
を意味する。しかし、システムを介するビーム・パス
は、通常のダブレットと同様であり、コントラスト・ア
パーチャに関して(反)対称である。これは、収差補償
がより良いことを意味する。ビーム・パスでの回転がな
いため、異方性収差がない。これは、焦点板で静電場を
使用してフィールド曲率の補正をした後に、収差がより
小さくなることを意味する。これは、より大きいビーム
電流を見込む。焦点板に電場が存在するとき、フィール
ドの曲率の補償が可能である。フィールド曲率の補正お
よび大きなショット・フィールド・サイズにより、対応
する円形レンズ・ベースのダブレット・システムよりも
ビーム電流が大きくなる。
【0168】実施形態11 第11の実施形態は、上述した第4から第6の実施形態
のスライダ概念の変形形態である。図58は、スライダ
・レンズ80のスリット81における投影ビームPB
と、矢印によって示されるそのスキャン動作とを示すス
ライダ概念の断面図である。第10の実施形態では、ス
ライダ概念の実効フィールド・サイズがショット・サイ
ズに比べて大きいということを用いて、システム内部に
第2のビームを提供することを可能にする。2つのビー
ムは、交差点領域を除き、システム内部で比較的離れて
いる。交差点領域以外でのクーロン相互作用は無視でき
る。この領域は総ビーム・パスに比べて短いので、クー
ロン相互作用は、二重の電流を用いた単一ビーム・シス
テムの場合よりも小さい。これは、総ビーム電流を、単
一ビーム電流の2倍よりも実質的に大きくすることがで
きることを意味する。また、ぶれの確率的部分は、ぶれ
が主に交差点領域で発生するため大きくなる。広域空間
電荷ぶれは、交差点以外の領域で主に発生するため、非
常に大きく増大することは考えられない。
【0169】1つのスライダ・レンズに2つのビームを
使用することに加え、図59に示されるように2つのレ
ンズ82、83を並べて組み合わせることも可能であ
る。これらのビームレットPB1、PB2、PB3、お
よびPB4は、1つのダイで異なる露光フィールドをプ
リントすることができる。しかし、複数のダイにプリン
トすることも可能である。例えば16のビームレットの
アレイが4×4ダイにプリントするとき必要な偏向は最
小である。
【0170】図60は、複数ビームを有するスライダ・
レンズ・システムを示す。図61は、単一ビーム対複数
ビーム・システムでのクーロン力を示す。複数ビームを
有するスライダ・システムでは、両ビームが重なる軌道
部分が小さく、図61に斜線を付けた領域である。これ
らのビームレットは非常に小さな領域でしか干渉しない
ため、クーロン相互作用は、ビーム電流のより低い累乗
でスケールを取る。したがって、等しいクーロン相互作
用ぶれで単一ビームを用いるよりも多くの電流が投影シ
ステムを通過できる。この概念では、複数のダイで同時
にプリントすることさえ可能になる。これは、ウェハの
加熱を低減する。
【0171】図62に、第11の実施形態の第1の変形
形態の投影システムを示す。この変形形態では、当該の
装置202を通過して当該のスキャン電子光学システム
201、203によって、5つのビームレットが基板W
上に合焦される。各電子光学システムは、図44と同様
に構成される。
【0172】複数のビームレットが単一のスライダ・シ
ステムに組み合わされ、その一方で、ビームレットは個
別のダイに書込みを行う。ビームレットに左から右へ1
〜5の番号を付けて、書込みストラテジを以下のように
説明することができる。−各機械的スキャンで、ダイ全
体が露光される。ただし、ストライプ1はダイ1に露光
され、ストライプ2はダイ2に露光され、以下同様であ
る。−次に、ウェハ段が次のダイ位置に移動される。こ
のとき、ストライプ1がダイ2に露光され、ストライプ
2がダイ3に露光され、以下同様である。−次に、ウェ
ハ段がその次のダイ位置に移動される。このときは、ス
トライプ1がダイ3に露光され、ストライプ2がダイ4
に露光され、以下同様である。このようにして、ダイ全
体が露光される。
【0173】ビームレット間の距離はダイ・サイズによ
って決まる。したがって、アパーチャ・アレイも別のダ
イ・サイズに対しては変えなければならない。
【0174】各ビームレットに関する光軸は直線であ
る。これは、ビームレットが追加の偏向を伴わずに組み
合わされることを意味する。図44に示されるデフレク
タを使用して、例えばダイ・サイズが1/5の実効フィ
ールドを露光する。
【0175】回転および倍率を較正するために、Was
kotron(Waskiewicz氏によって考えら
れた、基板レベルで電場/イメージのサイズおよび/ま
たは回転オフセットを補正するために使用されるデバイ
ス)などの要素を各ビームレットごとにアパーチャの周
りに位置決めすることができる。このシステムは、四重
極セットに調節可能な焦点距離を提供することができ
る。全ての構成要素が特定のビームレットに関して局所
的にのみ働くので、これが可能である。あるいは、特定
の力学および電子光学的な製造公差を考えると調節する
必要がない焦点深度を使用することができる。
【0176】このシステムは、各ビームレットの光軸が
直線であるため、各ビームレットごとに等しい焦点距離
を提供する。また、回転および倍率補正器をアパーチャ
平面で交差点内に位置決めすることができる。
【0177】第11の実施形態の第2の変形形態の投影
システムを図63に示す。この変形形態では、図44に
示される光学系204、205が再び使用されるが、ビ
ームレット偏向は、アパーチャ202内に共通の交差点
があるように構成される。
【0178】この構成では、複数のビームレットが単一
のシステムに組み合わされ、ビームレットが同じダイに
書込みを行う。その結果、ダイ全体を露光するのにただ
1度の機械的スキャンで十分である。共通交差点が追加
のクーロン相互作用を与える。ビームレットを独立した
ものと考えることはできない。ビームレット間の距離
は、ダイ・サイズによって決まる。しかし、これはアパ
ーチャに関しては重要でない。各ビームレットに関する
光軸が大きく曲がっている。これは、ビームレットが追
加の偏向を伴って組み合わされ、その結果、偏向収差が
より大きくなることを意味する。
【0179】この構成の利点は、ただ1度の機械的スキ
ャン中のダイ全体への書込みが、現行光学スキャナに等
しいことである。しかし、各ビームレットの光軸が曲が
っているため、各ビームレットごとに異なる焦点距離が
存在する。また、全てのビームが干渉するため、アパー
チャ平面で交差点に回転および倍率補正器を位置決めす
ることができず、個別ビームレットの場合よりもクーロ
ン相互作用が大きくなる。さらに、ビームレットの記録
密度が、ダイ・サイズの低減と共に増大し、静止偏向角
度が大きくなる(0.4rad)。
【0180】図64にその投影システムが示されている
第11の実施形態の第3の変形形態は、各ビームレット
ごとに個別の交差点を利用し、しかし全てのビームレッ
トが同じダイに書込みを行う。ここでも、電子光学系2
10、211は図44と同様であり、しかしアパーチャ
212での個別の交差点を介してビームレットが単一の
ダイに達するように偏向角度が設定されている。
【0181】したがって、複数のビームレットが単一の
スライダ・システムに組み合わされ、ビームレットは同
じダイに書込みを行う。その結果、ダイ全体を露光する
にはただ1度の機械的スキャンで十分である。焦点板上
のパターンはストラットによって分離されたストライプ
からなる。各ストライプのパターンは、ビームレットの
光軸に関して鏡映になっている。一例を図64に示す。
【0182】ビームレット間の距離はダイ・サイズによ
って決まる。したがって、アパーチャ・アレイも別のダ
イ・サイズに対しては変えなければならない。ビームレ
ットは完全に分離され、最小のクーロン相互作用を与え
る。各ビームレットに関する光軸は大きく曲がってい
る。これは、追加の偏向を伴ってビームレットが組み合
わされ、より大きな偏向収差をもたらすことを意味す
る。
【0183】この変形形態は、ただ1度の機械的スキャ
ン中のダイ全体への書込みが、現行光学スキャナに等し
いという利点を有する。また、回転および倍率補正器
を、アパーチャ平面で交差点内に位置決めすることがで
き、個別ビームレットによりクーロン相互作用は最小に
なる。しかし、各ビームレットの光軸が曲がっているの
で、各ビームレットごとに様々な焦点距離が存在する。
また、アパーチャの位置はダイ・サイズに依存し、ビー
ムレットの記録密度はダイ・サイズの減少とともに増大
し、静止偏向角度が大きくなる(0.12rad)。
【0184】本発明の特定の実施形態を上述してきた
が、本発明を上述した以外の方法で実施することもでき
ることを理解されたい。この記述は本発明を限定するも
のではない。
【図面の簡単な説明】
【図1】本発明の第1の実施形態によるリソグラフィ投
影装置を示す図である。
【図2】周知の電子ビーム・リソグラフィ投影装置で
の、ビーム電流Iと、ダイ全体をプリントするためにス
テッチしなければならないストリップの数とに応じたス
ループットT(300mmWPH)を示すグラフであ
る。
【図3】周知の電子ビーム・リソグラフィ投影装置で
の、ビーム電流Iに応じたぶれbを示すグラフである。
【図4】許容可能なビーム電流に対する露光フィールド
・サイズの効果を示すグラフである。
【図5】周知の電子ビーム・リソグラフィ投影装置の投
影システムでのコイルおよび磁極片の線図である。
【図6】本発明の第1の実施形態による電子ビーム・リ
ソグラフィ投影装置の投影システムでのコイルおよび磁
極片の線図である。
【図7】許容されるビーム電流に対するビーム・カラム
短縮の効果を示すグラフである。
【図8】本発明の第3の実施形態によるリソグラフィ投
影装置での合成静電/電磁投影システムの線図である。
【図9】球状磁場を生成するために磁場を加える方法を
示す図である。
【図10】本発明の第4の実施形態による電磁スライダ
・レンズの横方向断面図である。
【図11】本発明の第4の実施形態による電磁スライダ
・レンズの上面図である。
【図12】本発明の第4の実施形態による電磁スライダ
・レンズのワイヤフレーム図である。
【図13】本発明の第4の実施形態による電磁スライダ
・レンズの斜視図である。
【図14】XZ平面での、本発明の第4の実施形態の電
磁スライダ・レンズによって発生された磁場の線図であ
る。
【図15】YZ平面での、本発明の第4の実施形態の電
磁スライダ・レンズによって発生された磁場の線図であ
る。
【図16】本発明の第4の実施形態の電磁スライダ・レ
ンズによって発生されたギャップ内での場および場勾配
のグラフである。
【図17】円形レンズ場を生成するために磁場を加える
方法を示す図である。
【図18】第4の実施形態の第1の変形形態による電磁
スライダ・レンズの側面図である。
【図19】第4の実施形態の第1の変形形態による電磁
スライダ・レンズの上面図である。
【図20】第4の実施形態の第2の変形形態による電磁
スライダ・レンズの側面図である。
【図21】第4の実施形態の第3の変形形態による電磁
スライダ・レンズの側面図である。
【図22】第4の実施形態の第3の変形形態で、四重極
の垂直位置を変える方法を示す図である。
【図23】スライダ・デフレクタを形成するようにコイ
ルが励磁されている第4の実施形態の第4の変形形態の
上面図である。
【図24】スライダ・デフレクタを形成するようにコイ
ルが励磁されている第4の実施形態の第4の変形形態の
断面図である。
【図25】図23と同様の図であるが、垂直スライダ・
デフレクタを形成するようにコイルが励磁されている図
である。
【図26】図24と同様の図であるが、垂直スライダ・
デフレクタを形成するようにコイルが励磁されている図
である。
【図27】図23と同様の図であるが、ある角度で四重
極を形成するようにコイルが励磁されている図である。
【図28】図24と同様の図であるが、ある角度で四重
極を形成するようにコイルが励磁されている図である。
【図29】図23と同様の図であるが、ある角度で四重
極を形成するようにコイルが励磁されている図である。
【図30】図24と同様の図であるが、ある角度で四重
極を形成するようにコイルが励磁されている図である。
【図31】図23と同様の図であるが、ある角度で四重
極を形成するようにコイルが励磁されている図である。
【図32】図24と同様の図であるが、ある角度で四重
極を形成するようにコイルが励磁されている図である。
【図33】図27と同様の図であるが、六重極を形成す
るようにコイルが励磁されている図である。
【図34】図24と同様の図であるが、六重極を形成す
るようにコイルが励磁されている図である。
【図35】本発明の第5の実施形態によるリソグラフィ
装置での投影システムの線図である。
【図36】本発明の第6の実施形態によるリソグラフィ
装置での投影システムの線図である。
【図37】第5の実施形態の第1の変形形態によるリソ
グラフィ装置での投影システムの線図である。
【図38】第5の実施形態の第2の変形形態によるリソ
グラフィ装置での投影システムの線図である。
【図39】第5の実施形態の第2の変形形態での第1の
スライダ・レンズ・デフレクタ・グループの断面図であ
る。
【図40】第5の実施形態の第2の変形形態での第2の
スライダ・レンズ・デフレクタ・グループの断面図であ
る。
【図41】第5の実施形態の第3の変形形態によるリソ
グラフィ装置での投影システムの線図である。
【図42】第5の実施形態の第4の変形形態によるリソ
グラフィ装置での投影システムの線図である。
【図43】第5の実施形態の第5の変形形態によるリソ
グラフィ装置での投影システムの線図である。
【図44】第5の実施形態の第6の変形形態によるリソ
グラフィ装置での投影システムの線図である。
【図45】周知の電子ビーム・リソグラフィ装置の投影
システムでの粒子軌道の線図である。
【図46】本発明の第7の実施形態によるリソグラフィ
投影装置の投影システムでの粒子軌道の線図である。
【図47】本発明の第7の実施形態によるリソグラフィ
投影装置での基板の長手方向位置に応じたぶれサイズを
示すグラフである。
【図48】本発明の第7の実施形態のリソグラフィ投影
装置での基板の長手方向位置に応じたイメージ回転を示
すグラフである。
【図49】本発明の第8の実施形態による投影システム
の動作原理を示す図である。
【図50】本発明の第8の実施形態のリング形状露光フ
ィールドの一部を示す図である。
【図51】本発明の第8の実施形態の磁気単極レンズの
特性を示すグラフである。
【図52】本発明の第9の実施形態によるリソグラフィ
装置の投影システムでの場の強さを示すグラフである。
【図53】周知の電子ビーム・リソグラフィ装置および
本発明の第9の実施形態のリソグラフィ装置の投影シス
テムの粒子軌道を示す図である。
【図54】本発明の第9の実施形態の投影システムでの
磁気レンズ・レイアウトおよび場の強さの線図である。
【図55】本発明の第9の実施形態の投影システムでの
粒子軌道の線図である。
【図56】本発明の第10の実施形態によるリソグラフ
ィ装置の投影システムでの原理光線の線図である。
【図57】本発明の第10の実施形態の投影システムの
線図である。
【図58】単一スリット・スライダ・レンズでの単一ビ
ームの線図である。
【図59】本発明の第11の実施形態によるリソグラフ
ィ装置の投影システムでの、スライダ・レンズの複数ス
リット中の複数ビームを示す図である。
【図60】本発明の第11の実施形態の投影システムの
線図である。
【図61】本発明の第11の実施形態での、ビームに作
用するクーロン力の線図である。
【図62】第11の実施形態の第1の変形形態の線図で
ある。
【図63】第11の実施形態の第2の変形形態の線図で
ある。
【図64】第11の実施形態の第3の変形形態の線図で
ある。
【符号の説明】
11、12 磁気レンズ 13 フィールド・クランプ 21 荷電加速プレート 22 荷電減速プレート 31 選択可能コイル、四重極コイル CO 集光レンズ Ex 放射システム、ビーム拡大器 IL 放射システム、照明器 IN 積分器 LA 放射線源 MA マスク MT マスク・テーブル PB 投影ビーム PL 投影システム WT 基板テーブル
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ピーター ヴィレム ヘルマン デ ヤガ ー オランダ国 ロッテルダム、ブイテンバッ シンヴェク 142 (72)発明者 ピーター クルイト オランダ国 デルフト、コールンマルクト 49 (72)発明者 アルノ ヤン ブレーカー オランダ国 ヴェステルホーフェン、シュ テーノフェンス 67 (72)発明者 カレル ディーデリック ファン デル マスト オランダ国 ヘルモント、ゲーナイントゼ ヴェク 7 Fターム(参考) 2H097 CA16 GB00 LA10 5C033 DD10 DE07 5C034 BB07 5F056 AA22 CB30 CC14 EA04 EA05 EA08 FA02

Claims (46)

    【特許請求の範囲】
  1. 【請求項1】 放射線の投影ビームを提供するための放
    射システムと、 パターニング手段を支持するための支持構造であって、
    前記パターニング手段が所望のパターンに従って投影ビ
    ームにパターンを付ける働きをする支持構造と、 基板を保持するための基板テーブルと、 前記パターンを付けられたビームを基板のターゲット部
    分に投影するための投影システムとを備えるリソグラフ
    ィ投影装置であって、 前記放射システムと前記投影システムの少なくとも一方
    が、前記スキャン動作と同期して電磁場の光軸が前記軸
    に垂直な少なくとも一方向に移動されるように前記投影
    ビームに作用する電磁場を発生するための摺動電子光学
    要素を備えることを特徴とするリソグラフィ投影装置。
  2. 【請求項2】 前記電子光学要素が、レンズ、デフレク
    タ、または非点補正装置のうち1つまたは複数を備える
    請求項1に記載の装置。
  3. 【請求項3】 前記電子光学要素が、前記軸に略平行な
    磁場と、前記軸に略垂直な四重極磁場との和によって形
    成される磁気レンズを備える請求項1に記載の装置。
  4. 【請求項4】 前記軸に略平行な前記磁場が静止してお
    り、前記四重極磁場が、前記スキャン動作に同期して移
    動される請求項3に記載の装置。
  5. 【請求項5】 前記電子光学要素が、前記軸に略平行な
    前記磁場を発生するためのヨークを備える1対のスリッ
    ト・コイルと、前記投影ビームがスキャンされる空間の
    両側に対の形で配置された、前記四重極場を発生するた
    めの選択可能コイルのアレイとを備えて、前記1対のス
    リット・コイルが静止しており、前記選択可能コイルの
    各対が、1対、2対、3対、または4対以上の組として
    選択的に励磁されて、前記電磁レンズの軸を移動させる
    請求項4に記載の装置。
  6. 【請求項6】 それぞれ1対、2対、または3対のコイ
    ルを備える前記選択可能コイルの前記2組が同時に励磁
    されて、2対のコイルの間の位置に軸を有する場を形成
    する請求項5に記載の装置。
  7. 【請求項7】 前記電子光学要素が、前記投影ビームが
    スキャンされる空間の対向する側に配置され、前記投影
    ビームの伝搬方向に垂直な平面で磁場を発生するための
    導体を有する2列の選択可能コイルを備え、前記コイル
    が、前記空間内の任意の位置にデフレクタまたは多重極
    場を形成するように選択的に励磁可能である請求項1に
    記載の装置。
  8. 【請求項8】 前記選択可能コイルがそれぞれ、前記投
    影ビームの伝搬方向に平行な方向で離隔された第1の部
    分と第2の部分を備え、前記第1の部分と第2の部分が
    個別に励磁可能である請求項5、請求項6、または請求
    項7に記載の装置。
  9. 【請求項9】 前記電子光学要素が、前記スキャン動作
    に同期して物理的に移動される請求項1から請求項4ま
    でのいずれか一項に記載の装置。
  10. 【請求項10】 前記電子光学要素が、前記スキャン動
    作に同期して電子的に移動される請求項1から請求項4
    までのいずれか一項に記載の装置。
  11. 【請求項11】 前記投影システムが、レンズとして働
    く2つの摺動電子光学要素を備える前記請求項のいずれ
    か一項に記載の装置。
  12. 【請求項12】 前記2つの摺動電子光学要素が、一方
    がビーム交差点の前に、他方がビーム交差点の後に配置
    されている請求項11に記載の装置。
  13. 【請求項13】 前記摺動電子光学要素が、前記投影シ
    ステムの軸に略垂直な略直線パスに沿って前記光軸を移
    動させるように配置されている前記請求項のいずれか一
    項に記載の装置。
  14. 【請求項14】 前記摺動電子光学要素が、略弓形パス
    に沿って前記光軸を移動させるように配置されている請
    求項1から請求項12までのいずれか一項に記載の装
    置。
  15. 【請求項15】 さらに、前記摺動光学要素の前後に前
    記投影ビームを偏向するための追加の電磁石を備える請
    求項14に記載の装置。
  16. 【請求項16】 前記放射システムが、前記投影システ
    ム内で離隔され、それにより同時にスキャンされる複数
    の投影ビームを発生するように適合されている前記請求
    項のいずれか一項に記載の装置。
  17. 【請求項17】 前記投影システムが複数の略平行スロ
    ットを備え、各スロットに沿って、1つまたは複数の前
    記投影ビームがスキャンされる請求項16に記載の装
    置。
  18. 【請求項18】 マスクでのマスク・パターンを基板上
    にイメージするためのリソグラフィ投影装置であって、 荷電粒子の投影ビームを供給するように構成され、配置
    された照明システムと、 マスクを保持するように構成された第1の対象物ホルダ
    を備える第1の対象物テーブルと、 基板を保持するように構成された第2の対象物ホルダを
    備える第2の対象物テーブルと、 マスクの照射部分を基板のターゲット部分にイメージす
    るように構成され、配置された投影システムとを備え、 前記発生源から放出されるオフアクシス荷電粒子に角速
    度成分を与えるために、前記荷電粒子ビームの発生源の
    近傍に磁場を発生する手段を備えることを特徴とするリ
    ソグラフィ投影装置。
  19. 【請求項19】 前記磁場が、前記荷電粒子に与えられ
    た追加の速度成分が前記軸からの距離に比例するような
    ものである請求項18に記載の装置。
  20. 【請求項20】 マスクでのマスク・パターンを基板上
    にイメージするためのリソグラフィ投影装置であって、 荷電粒子の投影ビームを供給するように構成され、配置
    された照明システムと、 マスクを保持するように構成された第1の対象物ホルダ
    を備える第1の対象物テーブルと、 基板を保持するように構成された第2の対象物ホルダを
    備える第2の対象物テーブルと、 マスクの照射部分を基板のターゲット部分にイメージす
    るように構成され、配置された投影システムとを備え、 前記投影システムが少なくとも2つの多重極レンズを備
    えることを特徴とするリソグラフィ投影装置。
  21. 【請求項21】 前記投影システムが略テレセントリッ
    クである請求項20に記載の装置。
  22. 【請求項22】 前記4つの四重極レンズが非対称ダブ
    レットを備える請求項20または21に記載の装置。
  23. 【請求項23】 前記投影システムが、2つのトリプレ
    ットを形成する6つの四重極レンズを備える請求項20
    に記載の装置。
  24. 【請求項24】 前記2つのトリプレットが反対称であ
    る請求項23に記載の装置。
  25. 【請求項25】 前記四重極レンズが磁気四重極レンズ
    である請求項20から請求項24までのいずれか一項に
    記載の装置。
  26. 【請求項26】 前記四重極レンズの少なくとも1つ
    が、前記投影ビームのスキャン動作に同期してスキャン
    する四重極場を発生するためのスライダ・レンズである
    請求項20から請求項25までのいずれか一項に記載の
    装置。
  27. 【請求項27】 マスクでのマスク・パターンを基板上
    にイメージするためのリソグラフィ投影装置であって、 荷電粒子の投影ビームを供給するように構成され、配置
    された照明システムと、 マスクを保持するように構成された第1の対象物ホルダ
    を備える第1の対象物テーブルと、 基板を保持するように構成された第2の対象物ホルダを
    備える第2の対象物テーブルと、 マスクの照射部分を基板のターゲット部分にイメージす
    るように構成され、配置された投影システムとを備え、 前記投影システムが、マスクと、基板と、マスクから基
    板へのビーム・パスとの近傍に磁場を発生するための磁
    場発生器を備え、前記磁場が、前記ビーム・パスに略平
    行であり、前記マスクから前記基板へ強度を増している
    ことを特徴とするリソグラフィ投影装置。
  28. 【請求項28】 前記磁場の強度が単調増加している請
    求項27に記載の装置。
  29. 【請求項29】 前記磁場が磁気単極場である請求項2
    8に記載の装置。
  30. 【請求項30】 前記投影レンズが環状露光フィールド
    を形成する請求項29に記載の装置。
  31. 【請求項31】 前記磁場が段階的に強度を増している
    請求項27に記載の装置。
  32. 【請求項32】 前記磁場が2段階で強度を増している
    請求項31に記載の装置。
  33. 【請求項33】 前記磁場の強度が前記段階増加の間で
    一定である請求項31または請求項32に記載の装置。
  34. 【請求項34】 前記基板前での強度の最終段階増加
    が、負レンズとして働く請求項31から請求項33まで
    のいずれか一項に記載の装置。
  35. 【請求項35】 さらに、マスクと基板の間に、スキャ
    ッタリング定数を増加するための1つまたは複数のアパ
    ーチャ・ストップを備える請求項31から請求項34ま
    でのいずれか一項に記載の装置。
  36. 【請求項36】 さらに、前記荷電粒子の発生源の近傍
    に磁場を発生するための第2の磁場発生器を備える請求
    項27から請求項35までのいずれか一項に記載の装
    置。
  37. 【請求項37】 前記磁場が強度を(1/M)2倍増加
    し、ここでMは、マスクから基板への、基板上でのマス
    ク・パターンのイメージの倍率である請求項27から請
    求項36までのいずれか一項に記載の装置。
  38. 【請求項38】 前記磁場が強度を約16または約25
    倍増加する請求項37に記載の装置。
  39. 【請求項39】 マスクでのマスク・パターンを基板上
    にイメージするためのリソグラフィ投影装置であって、 荷電粒子の投影ビームを供給するように構成され、配置
    された照明システムと、 マスクを保持するように構成された第1の対象物ホルダ
    を備える第1の対象物テーブルと、 基板を保持するように構成された第2の対象物ホルダを
    備える第2の対象物テーブルと、 マスクの照射部分を基板のターゲット部分にイメージす
    るように構成され、配置された投影システムとを備え、 前記投影システムが少なくとも2つの電磁石を備え、マ
    スクおよび基板に最近接する電磁石が、それぞれマスク
    および基板に隣接する磁極片を有さないことを特徴とす
    るリソグラフィ投影装置。
  40. 【請求項40】 マスクおよび基板に最近接する前記電
    磁石が円錐フェライト・スタックを有する請求項39に
    記載の装置。
  41. 【請求項41】 マスクでのマスク・パターンを基板上
    にイメージするためのリソグラフィ投影装置であって、 荷電粒子の投影ビームを供給するように構成され、配置
    された照明システムと、 マスクを保持するように構成された第1の対象物ホルダ
    を備える第1の対象物テーブルと、 基板を保持するように構成された第2の対象物ホルダを
    備える第2の対象物テーブルと、 マスクの照射部分を基板のターゲット部分にイメージす
    るように構成され、配置された投影システムとを備え、 前記投影システムが、約350mm未満、好ましくは約
    320mm未満の長さを有することを特徴とするリソグ
    ラフィ投影装置。
  42. 【請求項42】 マスクでのマスク・パターンを基板上
    にイメージするためのリソグラフィ投影装置であって、 荷電粒子の投影ビームを供給するように構成され、配置
    された照明システムと、 マスクを保持するように構成された第1の対象物ホルダ
    を備える第1の対象物テーブルと、 基板を保持するように構成された第2の対象物ホルダを
    備える第2の対象物テーブルと、 マスクの照射部分を基板のターゲット部分にイメージす
    るように構成され、配置された投影システムとを備え、 前記投影システムが、イメージング・レンズとして働く
    電磁システムと、投影システムでのビーム・エネルギー
    を変えるための静電システムとを備えるリソグラフィ投
    影装置。
  43. 【請求項43】 前記静電システムが、前記マスクの近
    傍で前記投影ビームの荷電粒子を加速するための第1の
    電場発生器と、前記基板の近傍で荷電粒子を減速するた
    めの第2の電場発生器とを備える請求項42に記載の装
    置。
  44. 【請求項44】 前記投影ビームが電子ビームである前
    記請求項のいずれか一項に記載の装置。
  45. 【請求項45】 荷電粒子の投影ビームを供給するよう
    に構成され、配置された照明システムと、 マスクを保持するように構成された第1の対象物ホルダ
    を備える第1の対象物テーブルと、 基板を保持するように構成された第2の対象物ホルダを
    備える第2の対象物テーブルと、 マスクの照射部分を基板のターゲット部分にイメージす
    るように構成され、配置された投影システムとを備える
    リソグラフィ装置を使用するデバイス製造方法であっ
    て、 パターンを含むマスクを前記第1の対象物ホルダに提供
    するステップと、 エネルギー感受性材料の層によって少なくとも部分的に
    カバーされた基板を前記第2の対象物ホルダに提供する
    ステップと、 マスクの一部を照射して、前記マスクの前記照射部分を
    前記基板の前記ターゲット部分にイメージするステップ
    とを含み、 前記リソグラフィ装置が請求項1から請求項44までの
    いずれか一項に記載のものであることを特徴とする方
    法。
  46. 【請求項46】 請求項45に記載の方法に従って製造
    されるデバイス。
JP2001244320A 2000-08-14 2001-08-10 リソグラフィ投影装置およびデバイス製造方法 Expired - Lifetime JP4741115B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP00306912.7 2000-08-14
EP00306912 2000-08-14

Publications (2)

Publication Number Publication Date
JP2002124462A true JP2002124462A (ja) 2002-04-26
JP4741115B2 JP4741115B2 (ja) 2011-08-03

Family

ID=8173184

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001244320A Expired - Lifetime JP4741115B2 (ja) 2000-08-14 2001-08-10 リソグラフィ投影装置およびデバイス製造方法

Country Status (5)

Country Link
US (2) US6633366B2 (ja)
JP (1) JP4741115B2 (ja)
KR (1) KR100572253B1 (ja)
DE (1) DE60134922D1 (ja)
TW (1) TW539926B (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002313712A (ja) * 2001-04-19 2002-10-25 Nikon Corp 荷電粒子線露光装置
JP2004538632A (ja) * 2001-07-25 2004-12-24 ツェーエーオーエス コレクテッド エレクトロン オプチカル システムズ ゲーエムベーハー 粒子ビームのスリット・レンズの配列
JP2005039254A (ja) * 2003-07-18 2005-02-10 Ims-Ionen Mikrofabrikations Systeme Gmbh 超高および超低運動イオン・エネルギーによるターゲットのイオン照射
JP2011501858A (ja) * 2007-09-26 2011-01-13 イオン・ビーム・アプリケーションズ・エス・アー 粒子ビーム輸送装置及び小さなビームスポットサイズを有する粒子ビームの輸送方法

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000003847A (ja) * 1998-06-15 2000-01-07 Canon Inc 荷電粒子線縮小転写装置及びデバイス製造方法
DE60134922D1 (de) * 2000-08-14 2008-09-04 Elith Llc Lithographischer Apparat
WO2003001869A2 (en) * 2001-06-29 2003-01-09 California Institute Of Technology Method and apparatus for use of plasmon printing in near-field lithography
US6897941B2 (en) * 2001-11-07 2005-05-24 Applied Materials, Inc. Optical spot grid array printer
WO2003040829A2 (en) 2001-11-07 2003-05-15 Applied Materials, Inc. Maskless printer using photoelectric conversion of a light beam array
JP3676779B2 (ja) * 2001-12-11 2005-07-27 エイエスエムエル ネザランドズ ベスローテン フエンノートシャップ リソグラフィ装置およびデバイスの製造方法
DE10237135A1 (de) * 2002-08-13 2004-02-26 Leo Elektronenmikroskopie Gmbh Teilchenoptische Vorrichtung und Verfahren zum Betrieb derselben
DE10237297A1 (de) * 2002-08-14 2004-03-11 Leo Elektronenmikroskopie Gmbh Teilchenoptische Vorrichtung, Elektronenmikroskopiesystem und Lithogrphiesystem
EP1389797B1 (en) * 2002-08-13 2008-10-08 Carl Zeiss NTS GmbH Particle-optical apparatus and its use as an electron microscopy system
CN101414127A (zh) * 2002-10-30 2009-04-22 迈普尔平版印刷Ip有限公司 电子束曝光系统
SG111171A1 (en) 2002-11-27 2005-05-30 Asml Netherlands Bv Lithographic projection apparatus and device manufacturing method
DE60235636D1 (de) * 2002-12-17 2010-04-22 Integrated Circuit Testing it dieser Linse, und Herstellungsverfahren für diese Linse
US6849858B2 (en) * 2003-07-01 2005-02-01 International Business Machines Corporation Apparatus and method for forming alignment layers
JP3968338B2 (ja) * 2003-10-08 2007-08-29 株式会社東芝 荷電ビーム露光装置
US7315032B2 (en) * 2004-05-18 2008-01-01 Asml Netherlands B.V. Lithographic apparatus and a device manufacturing method
US7105845B2 (en) * 2004-07-02 2006-09-12 Kent State University Liquid crystal alignment using electron beam exposure
US7391034B1 (en) * 2005-03-16 2008-06-24 Kla-Tencor Technologies Corporation Electron imaging beam with reduced space charge defocusing
US7317506B2 (en) * 2005-03-29 2008-01-08 Asml Netherlands B.V. Variable illumination source
US7548302B2 (en) * 2005-03-29 2009-06-16 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7733100B2 (en) * 2005-08-26 2010-06-08 Dcg Systems, Inc. System and method for modulation mapping
US7394069B1 (en) 2005-08-30 2008-07-01 Kla-Tencor Technologies Corporation Large-field scanning of charged particles
US7747977B1 (en) * 2005-09-15 2010-06-29 D2S, Inc. Method and system for stencil design for particle beam writing
US7626181B2 (en) * 2005-12-09 2009-12-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7405402B1 (en) * 2006-02-21 2008-07-29 Kla-Tencor Technologies Corporation Method and apparatus for aberration-insensitive electron beam imaging
US20070200276A1 (en) * 2006-02-24 2007-08-30 Micron Technology, Inc. Method for rapid printing of near-field and imprint lithographic features
WO2007115597A1 (en) * 2006-04-07 2007-10-18 Carl Zeiss Smt Ag Microlithography projection optical system, tool and method of production
US7697115B2 (en) * 2006-06-23 2010-04-13 Asml Holding N.V. Resonant scanning mirror
US20080116390A1 (en) * 2006-11-17 2008-05-22 Pyramid Technical Consultants, Inc. Delivery of a Charged Particle Beam
KR20080101098A (ko) * 2007-05-15 2008-11-21 전자빔기술센터 주식회사 초소형 전자 칼럼용 자기장 디플렉터
US20080297740A1 (en) * 2007-05-29 2008-12-04 Phong Huynh Projection system and method of use thereof
SG10201401887YA (en) 2009-05-01 2014-06-27 Dcg Systems Inc Systems and method for laser voltage imaging state mapping
NL1037639C2 (en) * 2010-01-21 2011-07-25 Mapper Lithography Ip Bv Lithography system with lens rotation.
US10008360B2 (en) * 2015-01-26 2018-06-26 Hermes Microvision Inc. Objective lens system for fast scanning large FOV
DE102015202172B4 (de) 2015-02-06 2017-01-19 Carl Zeiss Microscopy Gmbh Teilchenstrahlsystem und Verfahren zur teilchenoptischen Untersuchung eines Objekts
EP3286778A4 (en) * 2015-04-21 2019-04-03 Intel Corporation PRECISE ALIGNMENT SYSTEM FOR ELECTRON BEAM EXPOSURE SYSTEM
DE102018202421B3 (de) 2018-02-16 2019-07-11 Carl Zeiss Microscopy Gmbh Vielstrahl-Teilchenstrahlsystem
DE102018202428B3 (de) 2018-02-16 2019-05-09 Carl Zeiss Microscopy Gmbh Vielstrahl-Teilchenmikroskop
CN112055886A (zh) 2018-02-27 2020-12-08 卡尔蔡司MultiSEM有限责任公司 带电粒子多束系统及方法
US10811215B2 (en) 2018-05-21 2020-10-20 Carl Zeiss Multisem Gmbh Charged particle beam system
DE102018007455B4 (de) 2018-09-21 2020-07-09 Carl Zeiss Multisem Gmbh Verfahren zum Detektorabgleich bei der Abbildung von Objekten mittels eines Mehrstrahl-Teilchenmikroskops, System sowie Computerprogrammprodukt
DE102018007652B4 (de) 2018-09-27 2021-03-25 Carl Zeiss Multisem Gmbh Teilchenstrahl-System sowie Verfahren zur Stromregulierung von Einzel-Teilchenstrahlen
DE102018124044B3 (de) 2018-09-28 2020-02-06 Carl Zeiss Microscopy Gmbh Verfahren zum Betreiben eines Vielstrahl-Teilchenstrahlmikroskops und Vielstrahl-Teilchenstrahlsystem
CN111477530B (zh) 2019-01-24 2023-05-05 卡尔蔡司MultiSEM有限责任公司 利用多束粒子显微镜对3d样本成像的方法
TWI743626B (zh) 2019-01-24 2021-10-21 德商卡爾蔡司多重掃描電子顯微鏡有限公司 包含多束粒子顯微鏡的系統、對3d樣本逐層成像之方法及電腦程式產品

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998009313A1 (de) * 1996-08-26 1998-03-05 Rainer Spehr Elektronenoptische linsenanordnung mit spaltförmigem öffnungsquerschnitt
JP2000040486A (ja) * 1998-07-15 2000-02-08 Asm Lithography Bv リトグラフ装置
WO2000014766A1 (en) * 1998-09-09 2000-03-16 Koninklijke Philips Electronics N.V. Projection lithography device utilizing charged particles
JP2003512699A (ja) * 1999-09-18 2003-04-02 ツェーエーオーエス コレクテッド エレクトロン オプチカル システムズ ゲーエムベーハー 大きく転位することができる軸を備える電子光学レンズの配列

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2919381A (en) * 1956-07-25 1959-12-29 Farrand Optical Co Inc Electron lens
NL7812540A (nl) * 1978-12-27 1980-07-01 Philips Nv Kathodestraalbuis.
US4376249A (en) * 1980-11-06 1983-03-08 International Business Machines Corporation Variable axis electron beam projection system
DE3138896A1 (de) * 1981-09-30 1983-04-14 Siemens AG, 1000 Berlin und 8000 München Elektronenoptisches system mit vario-formstrahl zur erzeugung und messung von mikrostrukturen
NL8602196A (nl) * 1986-08-29 1988-03-16 Philips Nv Geladen deeltjes bestralingsapparaat met optisch vervormbaar bundel begrenzend diafragma.
AT393925B (de) * 1987-06-02 1992-01-10 Ims Ionen Mikrofab Syst Anordnung zur durchfuehrung eines verfahrens zum positionieren der abbildung der auf einer maske befindlichen struktur auf ein substrat, und verfahren zum ausrichten von auf einer maske angeordneten markierungen auf markierungen, die auf einem traeger angeordnet sind
DE4105121A1 (de) 1991-02-19 1992-08-20 Siemens Ag Teilchenoptische einheit zur abbildung eines linienfoermigen objektes
JPH0590145A (ja) * 1991-09-25 1993-04-09 Nippon Seiko Kk マルチ荷電子ビーム露光装置のアライメント装置
US5389858A (en) * 1992-07-16 1995-02-14 International Business Machines Corporation Variable axis stigmator
US5466904A (en) * 1993-12-23 1995-11-14 International Business Machines Corporation Electron beam lithography system
US5742062A (en) * 1995-02-13 1998-04-21 Ims Mikrofabrikations Systeme Gmbh Arrangement for masked beam lithography by means of electrically charged particles
DE69738276T2 (de) * 1996-03-04 2008-04-03 Canon K.K. Elektronenstrahl-Belichtungsgerät, Belichtungsverfahren und Verfahren zur Erzeugung eines Objekts
JPH1040848A (ja) * 1996-07-25 1998-02-13 Nikon Corp 荷電粒子線装置
JPH10106471A (ja) * 1996-09-30 1998-04-24 Nikon Corp 荷電粒子線装置及び該装置の使用方法
US6064071A (en) * 1997-04-23 2000-05-16 Nikon Corporation Charged-particle-beam optical systems
DE69931690T2 (de) * 1998-04-08 2007-06-14 Asml Netherlands B.V. Lithographischer Apparat
US6218676B1 (en) * 1998-06-05 2001-04-17 Nikon Corporation Charged-particle-beam image-transfer apparatus exhibiting reduced space-charge effects and device fabrication methods using the same
JP4392879B2 (ja) * 1998-09-28 2010-01-06 キヤノン株式会社 投影露光装置及びデバイスの製造方法
JP2000182931A (ja) * 1998-12-16 2000-06-30 Nikon Corp 露光装置
DE60134922D1 (de) * 2000-08-14 2008-09-04 Elith Llc Lithographischer Apparat

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998009313A1 (de) * 1996-08-26 1998-03-05 Rainer Spehr Elektronenoptische linsenanordnung mit spaltförmigem öffnungsquerschnitt
JP2000040486A (ja) * 1998-07-15 2000-02-08 Asm Lithography Bv リトグラフ装置
WO2000014766A1 (en) * 1998-09-09 2000-03-16 Koninklijke Philips Electronics N.V. Projection lithography device utilizing charged particles
JP2003512699A (ja) * 1999-09-18 2003-04-02 ツェーエーオーエス コレクテッド エレクトロン オプチカル システムズ ゲーエムベーハー 大きく転位することができる軸を備える電子光学レンズの配列

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002313712A (ja) * 2001-04-19 2002-10-25 Nikon Corp 荷電粒子線露光装置
JP2004538632A (ja) * 2001-07-25 2004-12-24 ツェーエーオーエス コレクテッド エレクトロン オプチカル システムズ ゲーエムベーハー 粒子ビームのスリット・レンズの配列
JP4741181B2 (ja) * 2001-07-25 2011-08-03 ツェーエーオーエス コレクテッド エレクトロン オプチカル システムズ ゲーエムベーハー 粒子ビームのスリット・レンズの配列
JP2005039254A (ja) * 2003-07-18 2005-02-10 Ims-Ionen Mikrofabrikations Systeme Gmbh 超高および超低運動イオン・エネルギーによるターゲットのイオン照射
JP4560712B2 (ja) * 2003-07-18 2010-10-13 イーエムエス ナノファブリカツィオン アーゲー 超高および超低運動イオン・エネルギーによるターゲットのイオン照射
JP2011501858A (ja) * 2007-09-26 2011-01-13 イオン・ビーム・アプリケーションズ・エス・アー 粒子ビーム輸送装置及び小さなビームスポットサイズを有する粒子ビームの輸送方法

Also Published As

Publication number Publication date
KR100572253B1 (ko) 2006-04-19
US20030206283A1 (en) 2003-11-06
JP4741115B2 (ja) 2011-08-03
US20020054284A1 (en) 2002-05-09
TW539926B (en) 2003-07-01
US7102732B2 (en) 2006-09-05
KR20020013788A (ko) 2002-02-21
US6633366B2 (en) 2003-10-14
DE60134922D1 (de) 2008-09-04

Similar Documents

Publication Publication Date Title
JP4741115B2 (ja) リソグラフィ投影装置およびデバイス製造方法
US6304320B1 (en) Stage device and a method of manufacturing same, a position controlling method, an exposure device and a method of manufacturing same, and a device and a method of manufacturing same
US7462848B2 (en) Optics for generation of high current density patterned charged particle beams
US5545902A (en) Electron beam lithography system
US6180947B1 (en) Multi-element deflection aberration correction for electron beam lithography
JPS6010721A (ja) レンズ装置
US5635719A (en) Variable curvilinear axis deflection means for particle optical lenses
KR100275835B1 (ko) 다공필터를 사용한 초미세장치의 제조방법 및 장치
US5523580A (en) Reticle having a number of subfields
JP3210613B2 (ja) 曲線軸補正装置
US5757010A (en) Curvilinear variable axis lens correction with centered dipoles
US5708274A (en) Curvilinear variable axis lens correction with crossed coils
US6455863B1 (en) Apparatus and method for forming a charged particle beam of arbitrary shape
US6066853A (en) Electron-optical system exhibiting reduced aberration
US6452193B1 (en) Electron beam exposure apparatus, electron lens, and device manufacturing method
JP3207361B2 (ja) 帯電粒子ビームリソグラフィ方法とその装置
EP1182684B1 (en) Lithographic apparatus
US5847402A (en) Charged particle beam pattern transfer apparatus and method
US6507027B1 (en) Apparatus and methods for charged-particle-beam microlithography exhibiting reduced four-fold aberrations
JP3529997B2 (ja) 荷電粒子ビーム光学素子、荷電粒子ビーム露光装置及びその調整方法
JPS5983336A (ja) 荷電粒子線集束偏向装置
Zhu et al. Comprehensive analysis of electron optical design of SCALPEL-HT/Alpha
JPH10275581A (ja) 荷電粒子光学系
JPH10302696A (ja) 電子線投影レンズ
Xiu et al. Optimized design for the scattering with angular limitation in projection electron-beam lithography based electron projection system

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20070115

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20070115

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20070308

RD05 Notification of revocation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7425

Effective date: 20070313

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070329

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20070308

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070521

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090924

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090928

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091215

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100406

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100701

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20101021

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110217

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110221

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20110225

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110406

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110506

R150 Certificate of patent or registration of utility model

Ref document number: 4741115

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140513

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term