JP2002076334A - Semiconductor device and manufacturing method therefor - Google Patents

Semiconductor device and manufacturing method therefor

Info

Publication number
JP2002076334A
JP2002076334A JP2000261776A JP2000261776A JP2002076334A JP 2002076334 A JP2002076334 A JP 2002076334A JP 2000261776 A JP2000261776 A JP 2000261776A JP 2000261776 A JP2000261776 A JP 2000261776A JP 2002076334 A JP2002076334 A JP 2002076334A
Authority
JP
Japan
Prior art keywords
layer
roughness
region
sige
interface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000261776A
Other languages
Japanese (ja)
Inventor
Nobuyuki Sugii
信之 杉井
Kiyokazu Nakagawa
清和 中川
Shinya Yamaguchi
伸也 山口
Narimoto Boku
成基 朴
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP2000261776A priority Critical patent/JP2002076334A/en
Publication of JP2002076334A publication Critical patent/JP2002076334A/en
Pending legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To provide a semiconductor device having a high speed field effect transistor with low power consumption by using the combination of Si and Ge having the same family element as Si. SOLUTION: The roughness of an interface between the distortion applying layer of SiGe and the distortion semiconductor layer of Si deposited thereon, or an interface between the distortion semiconductor layer of Si and the gate insulating layer on it are reduced to an appropriate value, and MOSFET is formed on the distortion semiconductor layer of Si.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は半導体装置、特に絶
縁ゲートトランジスタを含む半導体集積回路装置および
その製造方法に関する。
The present invention relates to a semiconductor device, and more particularly to a semiconductor integrated circuit device including an insulated gate transistor and a method of manufacturing the same.

【0002】[0002]

【従来の技術】Si−MOS型電界効果トランジスタ
(Si−MOSFET)を用いた半導体集積回路装置で
は、所謂スケーリング則にのっとって、デバイス寸法の
縮小や動作電圧の低減等を行うことにより、消費電力の
低減と高速化を両立してきた。しかしながら、最近に至
りゲート長が0.1マイクロメートル程度まで縮小され
てくると、短チャネル効果の問題やドレイン電圧としき
い値電圧の近接による動作マージンの低下等多くの問題
点が生じてきている。
2. Description of the Related Art In a semiconductor integrated circuit device using a Si-MOS type field effect transistor (Si-MOSFET), power consumption is reduced by reducing device dimensions, operating voltage, etc. according to a so-called scaling rule. Reduction and speeding up. However, recently, when the gate length has been reduced to about 0.1 μm, many problems such as a problem of a short channel effect and a decrease in an operation margin due to the proximity of a drain voltage and a threshold voltage have occurred. .

【0003】また、高速化の指標となる移動度に目を向
けると、上記のさまざまな改良が、実デバイスにおける
Siの移動度をさらに低下させるという皮肉な結果に陥
っている。このように従来のSi−MOSFETではも
はや性能向上がきわめて困難になってきている。
[0003] Looking at mobility as an index of speeding-up, the various improvements described above have the ironic result of further reducing the mobility of Si in an actual device. Thus, it has become extremely difficult to improve the performance of the conventional Si-MOSFET.

【0004】これ以上の性能向上には、半導体材料その
ものの改良で高速化を図る必要性がある。本質的に高速
である所謂化合物半導体を用いることは、ひとつの解で
はあるものの、Si集積回路装置の製造技術との融合性
の点で甚だ困難であり、かつ製造コストが膨大になるた
め現実的な解決策ではない。
In order to further improve the performance, it is necessary to increase the speed by improving the semiconductor material itself. The use of a so-called compound semiconductor, which is essentially high-speed, is one solution, but it is extremely difficult in terms of integration with the manufacturing technology of Si integrated circuit devices, and the production cost is enormous, so it is practical. Not a good solution.

【0005】従って、Si及びこれと同族元素であるG
e等の組合せを用いて、低消費電力で高速な電界効果ト
ランジスタを有する半導体装置を提供することがより現
実的である。
[0005] Therefore, Si and its congener element G
It is more realistic to provide a semiconductor device having a high-speed field-effect transistor with low power consumption by using a combination such as e.

【0006】具体的には、電界効果トランジスタのチャ
ネルが形成されるチャネル形成層に歪印加半導体層によ
り歪を印加せしめ、チャネル中のキャリアの移動度を無
歪のチャネル形成層の材料より大きくすることにより達
成できる。即ち、チャネル形成層の材料がSiの場合、
歪印加によりSiチャネル形成層の面内の格子定数を無
歪のSiより大きくするのである。
Specifically, a strain is applied to a channel forming layer in which a channel of a field-effect transistor is formed by a strain applying semiconductor layer so that the mobility of carriers in the channel is made larger than that of the material of the unstrained channel forming layer. This can be achieved by: That is, when the material of the channel forming layer is Si,
By applying strain, the lattice constant in the plane of the Si channel forming layer is made larger than that of unstrained Si.

【0007】Si或いはGeに歪を印加すると、歪を受
けないSi或いはGeに比べてキャリアの移動度が増大
することについては、M.V.Fischetti a
ndS.E.Laux:J.Appl.Phys.80
(1996)、2234に開示されている。
The fact that when a strain is applied to Si or Ge, the mobility of carriers is increased as compared with Si or Ge which is not subjected to strain is described in M. K .; V. Fischettia
ndS. E. FIG. Laux: J. Appl. Phys. 80
(1996), 2234.

【0008】[0008]

【発明が解決しようとする課題】Si層に歪を与える方
法としては、Si基板上に十分な厚みのSi(1-x)Ge
(x)のSiGe混晶膜を成長させ、更にその上にSi薄
膜を成長させる方法がある。
As a method of giving a strain to a Si layer, a sufficiently thick Si (1-x) Ge is formed on a Si substrate.
There is a method of growing a SiGe mixed crystal film of (x) and further growing a Si thin film thereon.

【0009】十分な厚みのSi(1-x)Ge(x)混晶膜を成
長する際に、膜内に転移が発生すると同時にSi(1-x)
Ge(x)混晶膜の成長面内格子定数が増大し、バルクS
i(1-x)Ge(x)と同程度になる。即ち、Si基板とSi
(1-x)Ge(x)膜との格子不整合が緩和される。こうして
成長された格子緩和Si(1-x)Ge(x)膜の上にSi膜を
成長するとそのSi膜は面内で2軸の引張歪を受けるこ
とになる。
When a Si (1-x) Ge (x) mixed crystal film having a sufficient thickness is grown, a transition occurs in the film and the Si (1-x)
The lattice constant in the growth plane of the Ge (x) mixed crystal film is increased, and the bulk S
It is about the same as i (1-x) Ge (x). That is, the Si substrate and the Si
Lattice mismatch with the (1-x) Ge (x) film is reduced. When a Si film is grown on the lattice-relaxed Si (1-x) Ge (x) film thus grown, the Si film is subjected to in-plane biaxial tensile strain.

【0010】ところが、格子不整合の緩和の為にSi(1
-x)Ge(x)混晶膜に転移が入ることは同時に膜表面の平
坦性を著しく悪化させる結果に陥る。このように平坦性
の悪化した表面に歪Si層を成長してMOSFETを作
製しても、キャリアの散乱が増大するために歪による移
動度増大の効果が相殺されてしまうし、高性能素子製造
に必須な微細なリソグラフィーにも悪影響を与えてしま
う。
However, in order to reduce lattice mismatch, Si (1
The transition of the -x) Ge (x) mixed crystal film also results in a marked deterioration in the flatness of the film surface. Even if a MOSFET is manufactured by growing a strained Si layer on the surface having deteriorated flatness as described above, the effect of increasing the mobility due to the strain is canceled out due to an increase in carrier scattering, and a high-performance element is manufactured. It also has an adverse effect on fine lithography, which is essential for lithography.

【0011】本発明が解決する第1の課題はデバイスの
性能悪化を小さく留め、微細リソグラフィー工程を行う
に必要な歪Si層を含む半導体装置を提供することにあ
る。
A first object of the present invention is to provide a semiconductor device including a strained Si layer necessary for performing a fine lithography process while keeping device performance from deteriorating.

【0012】第2に、歪Si層を含む半導体素子を製造
する上で解決すべき課題は、製造時に歪Si層に与える
熱負荷をできるだけ低減させることである。半導体装置
の主流である相補型電界効果トランジスタ回路装置の製
造工程では、ウェル形成工程および素子分離工程におい
て、多大な熱負荷が与えられる。従来用いられてきた単
結晶Si基板においては問題のない熱負荷も、歪Si層
とSiGe歪印加層を含む半導体基板においては、Si
Ge歪印加層からのGeの拡散や歪Si層の歪緩和とい
った問題が生じうる。従って、この熱負荷の影響を低減
することが本発明の解決する第2の課題である。
Second, a problem to be solved in manufacturing a semiconductor device including a strained Si layer is to reduce the thermal load applied to the strained Si layer during manufacturing as much as possible. In a manufacturing process of a complementary field effect transistor circuit device which is a mainstream of a semiconductor device, a large heat load is applied in a well forming process and an element isolation process. The heat load which does not cause any problem in the conventionally used single-crystal Si substrate can be applied to the semiconductor substrate including the strained Si layer and the SiGe strain applying layer.
Problems such as diffusion of Ge from the Ge strain applying layer and strain relaxation of the strained Si layer may occur. Therefore, reducing the effect of the heat load is a second problem to be solved by the present invention.

【0013】また、短チャネル電界効果トランジスタで
良好な特性を得るためにはチャネル領域深さ方向の不純
物プロファイルが精密に制御されることが必要である。
短チャネル化に伴って生じるパンチスルー電流を抑制す
るにはチャネル部の不純物濃度を高める必要があるが、
これは同時にチャネルの実効移動度を低下させ特性向上
の障害になる。そのため、チャネルが形成されるゲート
絶縁膜界面付近の不純物濃度は低く、それより深い部分
の不純物濃度は高くするといった、3次元的な不純物プ
ロファイルの制御が重要になる。
In order to obtain good characteristics with a short channel field effect transistor, it is necessary to precisely control an impurity profile in a channel region depth direction.
It is necessary to increase the impurity concentration of the channel part in order to suppress the punch-through current generated with the shortening of the channel.
This lowers the effective mobility of the channel at the same time, which is an obstacle to improving the characteristics. Therefore, it is important to control the three-dimensional impurity profile such that the impurity concentration near the gate insulating film interface where the channel is formed is low, and the impurity concentration deeper than that is high.

【0014】イオン注入法により三族元素と五族元素を
異なる深さに注入する方法が考えられるが、従来用いら
れてきた単結晶Si基板においてはこの方法で不純物プ
ロファイルの制御が比較的簡単に行えたが、歪Si層と
SiGe歪印加層を含む半導体基板においては、SiG
e歪印加層のドーパントの異常拡散により不純物プロフ
ァイルの制御が困難になる。この問題を回避することが
本発明の解決する第3の課題である。
A method of implanting Group III elements and Group V elements at different depths by an ion implantation method can be considered. In a conventionally used single-crystal Si substrate, the impurity profile can be relatively easily controlled by this method. However, in the semiconductor substrate including the strained Si layer and the SiGe strain applying layer, SiG
Abnormal diffusion of the dopant in the e-strain applying layer makes it difficult to control the impurity profile. To avoid this problem is a third problem to be solved by the present invention.

【0015】本発明は上記1乃至3の課題を解決するこ
とを目的としてなされたものであり、短チャネル効果の
問題を改良した高速動作可能な絶縁ゲートトランジスタ
及びそれを用いた半導体集積回路装置を提供するもので
ある。
SUMMARY OF THE INVENTION The present invention has been made to solve the above-mentioned problems 1 to 3, and has an object to provide an insulated gate transistor capable of operating at high speed in which the problem of the short channel effect is improved and a semiconductor integrated circuit device using the same. To provide.

【0016】また、本発明の他の目的はかかる半導体装
置を再現性よく大量生産するに適した製造方法をも提供
することである。
Another object of the present invention is to provide a manufacturing method suitable for mass-producing such semiconductor devices with good reproducibility.

【0017】[0017]

【課題を解決するための手段】本発明は、上記したSi
(1-x)Ge(x)混晶膜面の平坦性が素子特性に及ぼす影響
に着目してなされたものである。
According to the present invention, there is provided the above-described Si substrate.
This is made by paying attention to the influence of the flatness of the (1-x) Ge (x) mixed crystal film surface on the device characteristics.

【0018】上記第1の課題を解決するために、本発明
者等は前記層間の各界面の粗度とデバイス特性の相関に
つき試作検討を繰り返した結果,その界面を粗度パワー
分布特性(これは、粗度の2次元パワースペクトル密度
に相当する。)が所定の大きさ以下になるよう平坦化す
ることの必要性を見出した。
In order to solve the first problem, the present inventors have repeatedly conducted trial production studies on the correlation between the roughness of each interface between the layers and the device characteristics. Is equivalent to the two-dimensional power spectral density of the roughness).

【0019】特に、SiGe上の前記歪Si層とゲート
絶縁膜との間の界面の平坦性の粗度の影響が大であり、
この界面即ちSi層の平坦度の粗度パワー分布特性が所
定の大きさ以下になるよう平坦化することの必要性を見
出した。また、前記歪Si層の厚みが50ナノメートル
(nm)以下と薄い場合には前記SiGe歪印加層と歪
Si層との間の界面の粗度も同様に著しく影響を与える
ことが見出された。
In particular, the influence of the roughness of the flatness of the interface between the strained Si layer on SiGe and the gate insulating film is great,
It has been found that it is necessary to flatten the interface, that is, the roughness power distribution characteristic of the flatness of the Si layer so as to be equal to or smaller than a predetermined value. When the thickness of the strained Si layer is as thin as 50 nanometers (nm) or less, the roughness of the interface between the SiGe strain applying layer and the strained Si layer also has a significant effect. Was.

【0020】粗度パワーの波長(これは表面の凹凸の周
期に相当する)に対する分布を見たときに、ある波長に
おいて山(ピーク)を持ち、その周辺で緩やかに低下す
る分布を持つ。また、最大の粗度パワーを示す波長は試
料の作成条件や表面研磨の条件に依存するが、具体的に
は、少なくともSi層とゲート絶縁膜との間の界面の平
坦性が、波長成分が0.1ナノメートル(nm)から1
0マイクロメートル(μm)の範囲内における粗度パワ
ーを0.1平方ナノメートル(平方nm)以下、望まし
くは0.02平方ナノメートル(平方nm)以下、とす
ることによって良好な素子特性が得られることを見出し
た。更にまた、Si層とゲート絶縁膜との間の界面及び
SiGe歪印加層とSi層との間の界面の両方の平坦性
を上記数値の範囲にすれば、更に極めて良好な素子特性
が得られることも見出した。
When the distribution of the roughness power with respect to the wavelength (corresponding to the period of the irregularities on the surface) is observed, the distribution has a peak at a certain wavelength and a distribution that gradually decreases around the peak. Further, the wavelength showing the maximum roughness power depends on the preparation conditions of the sample and the conditions of the surface polishing. Specifically, at least the flatness of the interface between the Si layer and the gate insulating film has a wavelength component. 0.1 nanometers (nm) to 1
Good device characteristics can be obtained by setting the roughness power in the range of 0 micrometer (μm) to 0.1 square nanometer (square nm) or less, preferably 0.02 square nanometer (square nm) or less. Was found to be. Furthermore, if the flatness of both the interface between the Si layer and the gate insulating film and the interface between the SiGe strain applying layer and the Si layer are within the above ranges, further excellent device characteristics can be obtained. I also found that.

【0021】また、このような条件を満たす前記Si基
板、Si(1-x)Ge(x)混晶膜(xは1より小さい正の
値)の歪印加層、Si歪半導体層の積層構造を有する半
導体装置の製造においてはは、SiGe歪印加層をSi
基板上に成長後に化学機械研磨法(CMP)によってそ
の表面の平坦化を行うことが望ましいことを見出した。
A stacked structure of the Si substrate, the Si (1-x) Ge (x) mixed crystal film (x is a positive value smaller than 1), and the Si strained semiconductor layer satisfying the above conditions. In the manufacture of a semiconductor device having Si, the SiGe strain applying layer is made of Si
It has been found that it is desirable to planarize the surface by chemical mechanical polishing (CMP) after growth on a substrate.

【0022】上記第2の課題を解決するには、熱負荷を
与えるプロセスを前記歪Si層を形成する前に行うよう
に製造工程を構築することが最良であるということを本
発明者等は見出した。その具体的な方法は以下の通りで
ある。
In order to solve the second problem, the present inventors have found that it is best to construct a manufacturing process so that a process for applying a thermal load is performed before forming the strained Si layer. I found it. The specific method is as follows.

【0023】はじめに、前記Si基板上にSi(1-x)G
e(x)歪印加層、Si歪半導体層の順に堆積された半導
体基板を製造する。Si(1-x)Ge(x)歪印加層とSi歪
半導体層は超高真空排気化学気相成長法(UHVCV
D)等の方法を用いて成長させることが望ましい。ま
た、Si歪半導体層はこの場合無くてもかまわないが,
化学的安定性の優れたSi層を最上表面にしておくため
に付けておくことが望ましい。SiGe歪印加層のGe
量を意味するxは0から1の範囲内の任意の値が可能で
あるが歪量の点では0.3〜0.4程度が望ましい。こ
のxは膜厚方向に対して必ずしも一定である必要は無
く、SiGe歪印加層の成長につれてxを大きくするこ
とも歪印加層の貫通転位密度を減少させる効果があり望
ましい。
First, Si (1-x) G is formed on the Si substrate.
A semiconductor substrate on which an e (x) strain applying layer and a Si strained semiconductor layer are deposited in this order is manufactured. The Si (1-x) Ge (x) strain applying layer and the Si strained semiconductor layer are formed by ultra-high vacuum evacuation chemical vapor deposition (UHVCV).
It is desirable to grow using a method such as D). In addition, the Si strained semiconductor layer may be omitted in this case,
It is desirable to attach a Si layer having excellent chemical stability on the top surface. Ge of SiGe strain applying layer
X, which means the amount, can be any value within the range of 0 to 1, but is preferably about 0.3 to 0.4 in terms of the amount of distortion. This x does not necessarily have to be constant in the film thickness direction. Increasing x as the SiGe strain applying layer grows is also desirable because it has the effect of reducing the threading dislocation density of the strain applying layer.

【0024】また、前記半導体基板はこの組み合わせに
限らず、例えば,Si基板とSiGe層との間にSiO
2などの絶縁体層が挿入された所謂SOI構造の歪印加
基板であっても良い。
Further, the semiconductor substrate is not limited to this combination. For example, the semiconductor substrate may be formed between a Si substrate and a SiGe layer.
A strain applying substrate having a so-called SOI structure in which an insulator layer such as 2 is inserted may be used.

【0025】次に、ウェル形成工程を施す。イオン注入
等の方法で上記Si層のN型トランジスタを形成する領
域に三族元素をドーピングさせて導電型をP型とし、P
型トランジスタを形成する領域に五族元素をドーピング
させて導電型をN型とする。堆積するSi層の導電型や
抵抗率によってはどちらかの工程を省略することもあ
る。
Next, a well forming step is performed. The region of the Si layer where the N-type transistor is to be formed is doped with a Group III element by ion implantation or the like to make the conductivity type P-type.
A region for forming the type transistor is doped with a group V element to make the conductivity type N-type. Either step may be omitted depending on the conductivity type and resistivity of the Si layer to be deposited.

【0026】次に、この半導体基板に素子分離工程を施
す。局所的熱酸化法(LOCOS)やトレンチ分離など
の方法が適用可能である。素子分離工程で形成される絶
縁体部分と素子の活性領域との高さの差や段差の形状を
最適にすれば、後の工程でSi層を選択エピタキシャル
成長したときに、端面での結晶核の異常形成やファセッ
ト成長などによりエピタキシャル成長層の品質劣化を防
止でき、トランジスタの特性や素子分離特性を良好に保
つことができる。
Next, an element isolation step is performed on the semiconductor substrate. A method such as local thermal oxidation (LOCOS) or trench isolation can be applied. By optimizing the height difference and the shape of the step between the insulator portion formed in the device isolation step and the active region of the device, the crystal nuclei at the end faces can be formed when the Si layer is selectively epitaxially grown in a later step. The quality of the epitaxially grown layer can be prevented from being deteriorated due to abnormal formation or facet growth, and the characteristics of the transistor and the element isolation characteristics can be kept good.

【0027】次に、ウェル形成工程、素子分離工程を経
た半導体基板にSiをエピタキシャル成長させる。この
Siの成長には、素子分離領域以外の活性領域にのみ選
択的に成長させることが可能なUHVCVD法等を用い
ることが望ましい。
Next, Si is epitaxially grown on the semiconductor substrate after the well forming step and the element separating step. For this Si growth, it is desirable to use a UHVCVD method or the like that can selectively grow only in the active region other than the element isolation region.

【0028】なお、上記ウェル形成工程を経た半導体基
板の第1Si歪半導体層には上記工程による熱負荷によ
りGeが拡散している場合があるので、Siのエピタキ
シャル成長によって第2Si層を形成する前に、第1S
i層の一部あるいは大部分(場合によっては全部)をエ
ッチングにより除去した方が望ましい。また、第2Si
層の成長に先立ってSiGe層を成長することは表面汚
染層を被覆することができ好ましい。
Since Ge may be diffused in the first Si strained semiconductor layer of the semiconductor substrate having undergone the above-described well formation step due to the thermal load in the above-described step, before the second Si layer is formed by epitaxial growth of Si. , 1st S
It is desirable to remove part or most (and in some cases, all) of the i-layer by etching. Also, the second Si
It is preferable to grow the SiGe layer before growing the layer, because the surface contamination layer can be covered.

【0029】上記第2の課題を解決する製造方法を用い
ることにより、上記第3の課題も解決可能になる。即
ち、前記ウェル形成工程においては第1Si層表面の不
純物濃度が10の17乗/立法センチメートル以上にな
るようにイオン注入法と熱処理により不純物添加を行
い、しかる後に、前記Siのエピタキシャル成長過程に
おいて、より低い不純物濃度が必要でしかも不純物プロ
ファイルの精密制御が必要な工程を行って第2Si層を
形成することが望ましい。
By using the manufacturing method which solves the second problem, the third problem can be solved. That is, in the well formation step, impurities are added by ion implantation and heat treatment so that the impurity concentration on the surface of the first Si layer becomes 10 17 / cubic centimeter or more. It is desirable to form the second Si layer by performing a process that requires a lower impurity concentration and requires precise control of the impurity profile.

【0030】或いは、第2Si層を形成するためのSi
のエピタキシャル成長過程の初期に不純物濃度が10の
17乗/立法センチメートル以上になるように不純物添
加を行い、その後に不純物濃度が10の17乗/立法セ
ンチメートル以下になるように不純物添加を行えば良
い。Siと不純物元素のみのエピタキシャル成長である
ので、SiとGe両方を含む場合に比べて精密な不純物
濃度制御が可能になる。なお、Siのエピタキシャル成
長過程の初期に不純物濃度が10の17乗/立法センチ
メートル以上になるように不純物添加を行う工程は場合
によっては省略して直ちに不純物濃度が10の17乗/
立法センチメートル以下になるように不純物添加を行う
工程を行っても良い。
Alternatively, Si for forming the second Si layer
In the early stage of the epitaxial growth process, the impurity is added so that the impurity concentration becomes 10 17 / cubic centimeter or more, and then the impurity is added so that the impurity concentration becomes 10 17 / cubic centimeter or less. good. Since the epitaxial growth is made only of Si and the impurity element, precise control of the impurity concentration becomes possible as compared with the case where both Si and Ge are included. The step of adding impurities so that the impurity concentration becomes 10 17 / cubic centimeter or more at the beginning of the Si epitaxial growth process may be omitted in some cases, and the impurity concentration is immediately increased to 10 17 / cubic centimeter.
A step of adding impurities may be performed so as to be cubic centimeter or less.

【0031】いずれにしても、絶縁ゲートトランジスタ
が形成される最上層のSi層表面部の不純物濃度を10
の17乗/立法センチメートル以下とすることが望まし
い。
In any case, the impurity concentration at the surface of the uppermost Si layer on which the insulated gate transistor is formed is 10
It is desirable that the height be equal to or less than the 17th power / cubic centimeter.

【0032】前記Si(1-x)Ge(x)歪印加層により与え
られる歪が緩和されないようにするために、前記Si歪
半導体層(第1のSi層)およびエピタキシャルSi層
(第2のSi層)の厚みをそれぞれ1〜100ナノメー
トル(nm)の範囲にし、さらには両層の厚みの和が1
〜100ナノメートル(nm)の範囲にすることが望ま
しい。
In order not to relax the strain given by the Si (1-x) Ge (x) strain applying layer, the Si strained semiconductor layer (first Si layer) and the epitaxial Si layer (second The thickness of each layer is in the range of 1 to 100 nanometers (nm), and the sum of the thicknesses of both layers is 1
It is desirable to set the range to 100100 nanometers (nm).

【0033】かかる本発明によれば、基板表面部に形成
された平坦な表面を有するSiGe領域、上記SiGe
領域上に堆積された100nm以下の厚さを有しその表
面部の不純物濃度が10の17乗/立方cm以下のSi
層、及び上記Si層の表面上部に絶縁膜を介して設けら
れたゲート電極からなり、上記ゲート電極下の上記Si
層の表面に800平方センチメートル/Vs以上のキャ
リア移動度を有するチャネルが形成された半導体装置を
実現することができる。
According to the present invention, the SiGe region having a flat surface formed on the surface of the substrate,
Si deposited on the region and having a thickness of 100 nm or less and an impurity concentration of 10 17 / cm 3 or less on the surface thereof
A gate electrode provided on the upper surface of the Si layer with an insulating film interposed therebetween.
A semiconductor device in which a channel having a carrier mobility of 800 square centimeters / Vs or more is formed on the surface of the layer can be realized.

【0034】[0034]

【発明の実施の形態】実施例1 はじめに、本発明の第1の課題を解決するに必要な歪S
i層とゲート絶縁膜との間の界面及び、SiGe歪印加
層と歪Si層との間の界面の粗度とデバイス特性の相関
について実例を挙げて説明する。
DESCRIPTION OF THE PREFERRED EMBODIMENTS Embodiment 1 First, a distortion S required to solve the first object of the present invention is described.
The correlation between the roughness of the interface between the i-layer and the gate insulating film and the roughness between the interface between the SiGe strain applying layer and the strained Si layer and device characteristics will be described with reference to actual examples.

【0035】まず、試験デバイスの作製方法を説明す
る。はじめにSi基板上にSi(1-x)Ge(x)歪印加層を
超高真空排気化学気相成長法(UHVCVD)により成
長する。この歪印加層の成長により荒れた表面を化学機
械研磨(CMP:Chemical Mechanical Polishing)技
術により平坦化する。
First, a method for manufacturing a test device will be described. First, a Si (1-x) Ge (x) strain applying layer is grown on a Si substrate by ultra-high vacuum exhaust chemical vapor deposition (UHVCVD). The surface roughened by the growth of the strain applying layer is flattened by a chemical mechanical polishing (CMP) technique.

【0036】更に、種々の試料を作成するために、得ら
れた一部の試料は化学処理等により再び表面を粗面化
し、歪印加層の表面荒さを所望の値に制御する。次に、
これら表面荒さの異なるSiGe歪印加層上にSi歪半
導体層をUHVCVD法により成長する。再びCMPに
より表面を平坦化し、さらに一部の試料は化学処理によ
り再び粗面化し、Si歪半導体層の表面荒さも所望の値
に制御する。
Further, in order to prepare various samples, the surfaces of some of the obtained samples are roughened again by a chemical treatment or the like, and the surface roughness of the strain applying layer is controlled to a desired value. next,
On the SiGe strain applying layers having different surface roughness, a Si strained semiconductor layer is grown by UHVCVD. The surface is again flattened by CMP, and a part of the sample is roughened again by chemical treatment, and the surface roughness of the Si strained semiconductor layer is controlled to a desired value.

【0037】なお、SiGe歪印加層の厚みは3マイク
ロメートル、Si歪半導体層の厚みは25ナノメートル
とし、SiGe歪印加層のGe含有量xははじめの2マ
イクロメートルの厚さまで0から0.3と膜厚方向に対
して連続的に増加させ、残りの1マイクロメートルの厚
さでは0.3の一定値とした。
The thickness of the SiGe strain applying layer is 3 micrometers, the thickness of the Si strain semiconductor layer is 25 nanometers, and the Ge content x of the SiGe strain applying layer is 0 to 0.1 from the first thickness of 2 micrometers. 3 and continuously increased in the film thickness direction, and was constant at 0.3 for the remaining thickness of 1 micrometer.

【0038】次に、これらの試料にゲート熱酸化による
ゲートSiO2膜の形成、ポリシリコン膜のCVD、ド
ライエッチングによるゲート領域の形成、ソースドレイ
ン領域への不純物イオン注入、層間絶縁膜形成、コンタ
クトホール形成、配線形成の諸プロセスを順次経由させ
て試験用の種々のMOSFETを作製した。
Next, a gate SiO2 film is formed on these samples by gate thermal oxidation, a polysilicon film is formed by CVD, a gate region is formed by dry etching, impurity ions are implanted into source / drain regions, an interlayer insulating film is formed, and a contact hole is formed. Various MOSFETs for testing were fabricated by sequentially passing through various processes of formation and wiring formation.

【0039】SiGe歪印加層とSi歪半導体層との間
の界面の粗度の評価は、Si歪半導体層形成直前の試料
表面荒さを原子間力顕微鏡(AFM)で観察し、ASTM E
42.14 STM/AFM分科委員会勧告に準ずるパワースペクト
ル計算方法により行った。これは Power Spectral Dens
ity (PSD)と称され、画像を対象にその画像のFFT(高
速フーリエ変換)を二乗してそのパワーP(単位;平方
nm)を求めるものである。
In order to evaluate the roughness of the interface between the SiGe strain applying layer and the Si strain semiconductor layer, the surface roughness of the sample immediately before the formation of the Si strain semiconductor layer was observed with an atomic force microscope (AFM), and ASTM E
42.14 The power spectrum was calculated according to the recommendations of the STM / AFM subcommittee. This is Power Spectral Dens
The power P (unit: square nm) is obtained by squaring the FFT (fast Fourier transform) of an image with respect to the image.

【0040】また、Si歪半導体層とゲート絶縁層との
間の界面の粗度の評価は、ゲート熱酸化を行った後にゲ
ート絶縁膜を化学エッチングにより除去し、同じくAF
Mを用いて表面荒さを測定することにより行った。
In order to evaluate the roughness of the interface between the Si strained semiconductor layer and the gate insulating layer, the gate insulating film was removed by chemical etching after performing gate thermal oxidation, and AF
The measurement was performed by measuring the surface roughness using M.

【0041】図1にSiGe歪印加層とSi歪半導体層
界面の表面の凹凸に相当する波長分布に対する粗度のパ
ワーを測定した特性図(パワースペクトルとも称する)
を示す。図中で符号11から14で示されるように4種
類の粗度に制御した。図2にはSi歪半導体層とゲート
絶縁層界面の粗度のパワースペクトルを示す。こちらも
同様に符号21から24で示される4種類の粗度に制御
した。本実施例で示す16種類の試料に対する粗度の組
合わせを表1に示す。
FIG. 1 is a characteristic diagram (also referred to as a power spectrum) obtained by measuring the power of the roughness with respect to the wavelength distribution corresponding to the surface irregularities at the interface between the SiGe strain applying layer and the Si strain semiconductor layer.
Is shown. As shown by reference numerals 11 to 14 in the figure, the roughness was controlled to four types. FIG. 2 shows a power spectrum of the roughness at the interface between the Si strained semiconductor layer and the gate insulating layer. In this case, the roughness was similarly controlled to four types indicated by reference numerals 21 to 24. Table 1 shows combinations of the roughness values for the 16 types of samples shown in this embodiment.

【0042】[0042]

【表1】 [Table 1]

【0043】なお、上記表中で粗度1はSiGe歪印加
層とSi歪半導体層界面の粗度を、粗度2はSi歪半導
体層とゲート絶縁層(SiO2膜)界面の粗度を示すも
のであり、数字11〜14及び21〜24はそれぞれ図
1及び図2中に付した特性曲線の番号を表わしている。
In the above table, roughness 1 indicates the roughness at the interface between the SiGe strain applying layer and the Si strained semiconductor layer, and roughness 2 indicates the roughness at the interface between the Si strained semiconductor layer and the gate insulating layer (SiO 2 film). Numerals 11 to 14 and 21 to 24 represent the numbers of the characteristic curves given in FIGS. 1 and 2, respectively.

【0044】これら異なる界面粗度を持つ試料の電気的
特性を図3に示す。図3の縦軸はMOSFETのドレイ
ン電流特性より算出した室温(27℃)での実効移動度
を示し、横軸はゲート電圧印加に伴って発生する電界強
度を示している。界面におけるキャリアの散乱が少ない
ほど、実効移動度は高い値を示す。
FIG. 3 shows the electrical characteristics of the samples having different interface roughness. The vertical axis in FIG. 3 shows the effective mobility at room temperature (27 ° C.) calculated from the drain current characteristics of the MOSFET, and the horizontal axis shows the electric field intensity generated with the application of the gate voltage. The smaller the carrier scattering at the interface, the higher the effective mobility.

【0045】この図3より、試料A−Dのように両方の
界面の粗度が大きい試料の移動度が最も低く、試料M−
Pのように両方の粗度が小さい試料の移動度が最も高く
なる。歪印加層と歪半導体層界面か歪半導体層と絶縁膜
界面のどちらかが粗である試料E−Lも移動度が低下す
るが、両方とも粗である場合よりも特性が改善されてい
る。
As can be seen from FIG. 3, the mobility of a sample having a large roughness at both interfaces, such as Samples A to D, is the lowest and that of Sample M-D.
The mobility of the sample having both small roughnesses, such as P, is highest. The mobility of the sample EL in which either the interface between the strain applying layer and the strained semiconductor layer or the interface between the strained semiconductor layer and the insulating film is rough also decreases, but the characteristics are improved as compared with the case where both are rough.

【0046】すなわち、どちらの界面もMOSFETの
チャネル移動度を散乱により低下させることがわかる。
本実施例の図ではSi歪半導体層の厚みが25ナノメー
トル(nm)の場合のみを示したが、これ以外の多数の
試料を検討した結果から、Si歪半導体層の厚みが50
ナノメートル(nm)を超えると、SiGe歪印加層と
Si歪半導体層界面の粗度が移動度に与える影響がかな
り小さくなることが明らかになった。また、移動度に与
える影響を小さくするために必要な粗度は、SiGe歪
印加層とSi歪半導体層界面、Si歪半導体層とゲート
絶縁体(SiO2膜)界面のいずれかの界面において、
粗度パワーが0.1nmから10μmの波長範囲にわた
って0.1平方ナノメートル以下、望ましくは0.02
平方ナノメートル以下、であることが明らかになった。
That is, it can be seen that both interfaces lower the channel mobility of the MOSFET due to scattering.
In the drawings of this embodiment, only the case where the thickness of the Si strained semiconductor layer is 25 nanometers (nm) is shown.
When the thickness exceeds nanometers (nm), it has been found that the influence of the roughness of the interface between the SiGe strain applying layer and the Si strain semiconductor layer on the mobility is considerably reduced. Further, the roughness required to reduce the influence on the mobility is determined at any one of the interface between the SiGe strain applying layer and the Si strained semiconductor layer and the interface between the Si strained semiconductor layer and the gate insulator (SiO 2 film).
Roughness power of less than 0.1 square nanometer, preferably 0.02 nm over a wavelength range of 0.1 nm to 10 μm
Less than square nanometers.

【0047】即ち、ゲート絶縁膜とSi層との界面及び
SiGe層とSi層との界面のいずれかを上記範囲内の
粗度パワーを持った平坦度とすることによって、図3中
の試料E−L特性曲線に示すようにゲート絶縁膜への電
界強度が3×10の5乗〜5×10の6乗V/cmの実
用範囲でチャネル部の移動度が400平方センチメート
ル/Vs以上のMOSFETが再現性よく得られる。
That is, by setting any one of the interface between the gate insulating film and the Si layer and the interface between the SiGe layer and the Si layer to a flatness having a roughness power within the above range, the sample E in FIG. As shown by the -L characteristic curve, a MOSFET having a channel region mobility of 400 square centimeters / Vs or more in a practical range where the electric field strength to the gate insulating film is 3 × 10 5 to 5 × 10 6 V / cm. Obtained with good reproducibility.

【0048】また、SiGe層とSi層との界面を予め
上記範囲内の粗度パワーを持った平坦度としておくこと
によって、その上に堆積された100nm以下の薄いS
i層の平坦度即ちゲート絶縁膜とSi層との界面の平坦
度も上記範囲内の粗度パワーとすることが判った。
Further, by setting the interface between the SiGe layer and the Si layer to have a flatness having a roughness power within the above range in advance, a thin S layer of 100 nm or less deposited thereon is formed.
It was also found that the flatness of the i-layer, that is, the flatness of the interface between the gate insulating film and the Si layer was set to a roughness power within the above range.

【0049】また、上記両方の界面の平坦度を上記数値
の範囲内にすることによって、図3中の試料M−P特性
曲線に示すようにゲート絶縁膜への電界強度が3×10
の5乗〜5×10の6乗V/cmの実用範囲でチャネル
部の移動度が800平方センチメートル/Vs以上の極
めて優れたMOSFETが再現性よく得られる。
Further, by setting the flatness of both the interfaces within the above range, the electric field strength to the gate insulating film is 3 × 10 3 as shown by the sample MP characteristic curve in FIG.
An extremely excellent MOSFET having a channel mobility of 800 square centimeters / Vs or more in a practical range of 5 to 5 × 10 6 V / cm can be obtained with good reproducibility.

【0050】上記粗度パワーの数値範囲を図4に示す。
図4でハッチングを施してある領域およびそれ以下(下
側)に試料の粗度があれば、散乱による移動度の低下が
無視できるほど小さくなる。粗度の波長範囲が10マイ
クロメートル以下、0.1ナノメートル以上になってい
る理由は、前者はこれ以上大きな周期のうねりが表面に
あってもデバイスの寸法よりも大きいため、特性にほと
んど影響しないためであり、後者はこれ以上短い周期の
凹凸が表面にあっても電子の波動関数よりかなり小さく
なるために電子波の散乱に影響しないためである。 実施例2 次に、第2および第3の課題を解決するために行った半
導体装置の製造工程の実例を以下に示す。各工程での半
導体装置の断面図を図5の(1)(2)(3)及び図6
の(4)(5)に示す。
FIG. 4 shows the numerical range of the roughness power.
If the sample has roughness in the hatched region and below (the lower side) in FIG. 4, the decrease in mobility due to scattering becomes so small as to be negligible. The reason that the roughness wavelength range is less than 10 micrometers or more than 0.1 nanometer is that the former has a larger period of undulation even on the surface but is larger than the size of the device, so the characteristics are hardly affected. The latter is because the latter does not affect the scattering of electron waves because even if irregularities having a shorter period are present on the surface, they become considerably smaller than the electron wave function. Second Embodiment Next, an example of a semiconductor device manufacturing process performed to solve the second and third problems will be described below. Sectional views of the semiconductor device in each step are shown in FIGS. 5 (1), (2), (3) and FIG.
(4) and (5).

【0051】はじめに、Si基板51上にSi(1-x)G
e(x)歪印加層52をUHVCVD法により成長する。
SiGe歪印加層52の成長によって荒れたその表面を
CMPにより平坦化する。
First, Si (1-x) G is formed on a Si substrate 51.
An e (x) strain applying layer 52 is grown by UHVCVD.
The surface roughened by the growth of the SiGe strain applying layer 52 is planarized by CMP.

【0052】次に、SiGe歪印加層52上に第1のS
i層(歪半導体層)53をUHVCVD法により成長す
る。なお、SiGeの歪印加層52の厚みは3マイクロ
メートル、Siの歪半導体層53の厚みは25ナノメー
トルとし、歪印加層52のGe含有量xは初めの2マイ
クロメートルの厚さでは0から0.3まで膜厚方向に対
して連続的に増加させ、残りの1マイクロメートルの厚
さでは0.3の一定値とした。以上の工程で図5の
(1)に示すように、歪半導体基板が準備される。
Next, the first S is formed on the SiGe strain applying layer 52.
An i-layer (strained semiconductor layer) 53 is grown by UHVCVD. The thickness of the strain applying layer 52 of SiGe is 3 micrometers, the thickness of the strained semiconductor layer 53 of Si is 25 nanometers, and the Ge content x of the strain applying layer 52 is from 0 in the first thickness of 2 micrometers. The thickness was continuously increased to 0.3 in the film thickness direction, and was constant at 0.3 for the remaining thickness of 1 micrometer. Through the above steps, a strained semiconductor substrate is prepared as shown in FIG.

【0053】次に、この半導体基板にウェル形成工程を
施す。フォトリソグラフィーによりP型トランジスタを
形成する領域以外をレジストで覆い、リンをイオン注入
させて導電型をN型とする。同様に、N型トランジスタ
を形成する領域以外をレジストで覆い、ホウ素をイオン
注入させて導電型をP型とする。またこれらの工程で
は、前記第3の課題を解決するために、表面の不純物濃
度が10の17乗毎立法センチメートル以上に高くなる
ようにして、短チャネル素子のパンチスルー発生を抑制
させる。
Next, a well forming step is performed on the semiconductor substrate. A region other than the region where the P-type transistor is to be formed is covered with a resist by photolithography, and phosphorus is ion-implanted to make the conductivity type N-type. Similarly, a region other than the region where the N-type transistor is to be formed is covered with a resist, and boron is ion-implanted to make the conductivity type P-type. In these steps, in order to solve the third problem, the impurity concentration on the surface is increased to 10 17 cubic centimeters or more to suppress the occurrence of punch-through in the short channel element.

【0054】次に、この半導体基板に素子分離領域54
を形成する。素子分離領域54と活性領域55の段差を
制御するためにSi歪半導体層53の表面に熱酸化膜
(SiO2膜)56を形成し、その上に非晶質Si薄膜
57を堆積し、フォトリソグラフィーにより素子分離領
域54の表面以外をレジストで覆った後、反応性イオン
エッチング法により溝50(トレンチ)を掘る。さらに
レジストを除去した状態を図5の(2)に示す。
Next, an element isolation region 54 is formed on the semiconductor substrate.
To form In order to control a step between the element isolation region 54 and the active region 55, a thermal oxide film (SiO 2 film) 56 is formed on the surface of the Si strained semiconductor layer 53, and an amorphous Si thin film 57 is deposited thereon, and photolithography is performed. After covering the surface other than the surface of the element isolation region 54 with a resist, a groove 50 (trench) is dug by reactive ion etching. FIG. 5B shows a state in which the resist is further removed.

【0055】次に、TEOS−CVD法によりSiO2
膜58を上記トレンチに埋め込み、CMPによりそれら
表面の平坦化を行う。この状態を図5の(3)に示す。
Next, the SiO2 was formed by TEOS-CVD.
A film 58 is buried in the trench, and the surfaces thereof are planarized by CMP. This state is shown in FIG.

【0056】更に、非晶質Si薄膜57を反応性イオン
エッチングで除去し、熱酸化膜56を除去し露出した第
1Si層(歪半導体層)53の表面をエッチング乃至清
浄化した後に、UHVCVD法によりSiをエピタキシ
ャル成長させ第2Si層59を形成する。このとき、前
記第3の課題を解決するために、この第2Si層59の
少なくとも表面領域部分の不純物濃度を10の17乗/
立法センチメートル以下になるように制御する。この状
態を図6の(4)に示す。
Further, the amorphous Si thin film 57 is removed by reactive ion etching, the thermal oxide film 56 is removed, and the exposed surface of the first Si layer (strained semiconductor layer) 53 is etched or cleaned. To form a second Si layer 59 by epitaxial growth. At this time, in order to solve the third problem, the impurity concentration of at least the surface region of the second Si layer 59 is increased to 10 17 /
Control to be less than cubic centimeter. This state is shown in FIG.

【0057】次に、P型トランジスタ領域、N型トラン
ジスタ領域それぞれ独立に、しきい値電圧調整用、パン
チスルー抑制用のイオン注入を適宜行う。
Next, ion implantation for adjusting the threshold voltage and suppressing punch-through is performed as appropriate independently of the P-type transistor region and the N-type transistor region.

【0058】以降は、通常のCMOSトランジスタ製造
プロセスにより、ゲート熱酸化膜(SiO2膜)65の
形成、ポリシリコン膜のCVDとドライエッチングによ
るゲート電極60の形成、不純物イオン注入によるソー
ス・ドレイン領域61の形成、層間絶縁膜62の形成、
コンタクトホールの形成、ソース・ドレイン・ゲート電
極配線63の形成を行い、本発明に係わる半導体装置が
完成する。その完成状態の断面図を図6の(5)に示
す。
Thereafter, by a normal CMOS transistor manufacturing process, a gate thermal oxide film (SiO 2 film) 65 is formed, a gate electrode 60 is formed by CVD and dry etching of a polysilicon film, and source / drain regions 61 are formed by impurity ion implantation. Formation, formation of an interlayer insulating film 62,
By forming a contact hole and forming a source / drain / gate electrode wiring 63, a semiconductor device according to the present invention is completed. A cross-sectional view of the completed state is shown in FIG.

【0059】以上のプロセスを経て完成したトランジス
タは、SiGeの歪印加層52によりその上のSiのチ
ャネル形成領域53に歪が印加されていること、適切な
CMPによりそれらの界面の粗度即ち平坦度が適切に制
御されていること、即ち、SiGe歪印加層とSi歪半
導体層との界面とSi歪半導体層とゲート絶縁体(Si
O2膜)との界面の少なくとも一方の界面において、粗
度パワーが0.1nmから10μmの波長範囲にわたっ
て0.1平方ナノメートル以下、望ましくは0.02平
方ナノメートル以下、としたこと、ウェル形成や素子分
離工程の後にSiエピタキシャル成長層59(この層は
その表面の上部にゲート電極が形成されるのでトランジ
スタの活性領域乃至チャンネル形成領域として機能す
る)を不純物濃度を制御して形成して短チャネル効果と
不純物による移動度の低下を抑制したことによって、同
様のプロセスを施した通常のSi基板による素子に比べ
て2倍以上の電流駆動能力と高い動作速度を実現するこ
とができる。
In the transistor completed through the above process, the strain is applied to the Si channel formation region 53 thereon by the strain applying layer 52 of SiGe, and the roughness of their interface, that is, the flatness is obtained by appropriate CMP. That is, the degree is appropriately controlled, that is, the interface between the SiGe strain applying layer and the Si strain semiconductor layer, the Si strain semiconductor layer and the gate insulator (Si
At least one of the interfaces with the O2 film) has a roughness power of 0.1 square nanometers or less, preferably 0.02 square nanometers or less over a wavelength range of 0.1 nm to 10 μm. After the element isolation step, a Si epitaxial growth layer 59 (this layer functions as an active region or a channel formation region of a transistor since a gate electrode is formed on the upper surface thereof) is formed by controlling the impurity concentration. By suppressing the effect and the decrease in mobility due to impurities, it is possible to realize a current driving capability and a high operation speed which are twice or more as high as those of a device using a normal Si substrate subjected to the same process.

【0060】このような本発明を適用して半導体集積回
路を製造することによって、高速化、高集積化、高性能
化が図れるのでその工業的価値は極めて高い。
By manufacturing a semiconductor integrated circuit by applying the present invention, it is possible to achieve high speed, high integration, and high performance, and therefore, its industrial value is extremely high.

【0061】以上の実施例で説明したように、基板とし
てSiウエーハを使用してすれば通常のICやLSIの
製造工程と本発明の製造工程とを適宜組合わせることに
よって、通常のICやLSIと一体化したより高性能な
LSIを実現することができる。
As described in the above embodiments, when a Si wafer is used as a substrate, a normal IC or LSI manufacturing process is appropriately combined with the manufacturing process of the present invention to obtain a normal IC or LSI. And a higher-performance LSI integrated with the LSI.

【0062】また、前記したことから理解されるように
本発明によれば、P型チャネルのMOSFETであって
もN型チャネルのMOSFETであってもそのチャネル
部のキャリア移動度が400平方cm/Vs以上のも
の、更には800平方cm/Vs以上のものを再現性よ
く作ることができるので、特に低消費電力での高速動作
が要求されるCMOSタイプの半導体集積回路装置を実
現することができる。
As can be understood from the above, according to the present invention, the carrier mobility of the channel portion of the P-channel MOSFET or the N-channel MOSFET is 400 square cm / cm 2. Vs or more, and moreover 800 cm / Vs or more, can be manufactured with good reproducibility, so that a CMOS type semiconductor integrated circuit device that requires particularly high speed operation with low power consumption can be realized. .

【0063】また、その場合Pチャンエル型、Nチャン
ネル型の両MOSFETの移動度を上記所定の値に揃え
ることも可能となるので、高性能で複雑な機能を果たす
CMOSLSIの回路設計もし易くなり、キャリア移動
度特性の揃ったCMOSLSIが可能となる。
In this case, the mobility of both the P-channel type and the N-channel type MOSFETs can be adjusted to the above-mentioned predetermined value. Therefore, it is easy to design a circuit of a CMOS LSI performing a high-performance and complicated function. A CMOS LSI with uniform carrier mobility characteristics can be realized.

【0064】[0064]

【発明の効果】本発明によれば、Siの歪半導体を導入
することによる界面粗面化に伴う散乱を抑制し、高速か
つ低消費電力の相補型電界効果トランジスタ及びそれで
構成した特性の優れた半導体集積回路装置を実現するこ
とができる。
According to the present invention, the complementary field effect transistor of high speed and low power consumption, which suppresses the scattering caused by the roughening of the interface due to the introduction of the strained semiconductor of Si, and has excellent characteristics constituted by the transistor. A semiconductor integrated circuit device can be realized.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明に係わるSiGe歪印加層とSi歪半導
体層との間の界面の粗度のパワースペクトル特性図であ
る。
FIG. 1 is a power spectrum characteristic diagram of roughness at an interface between a SiGe strain applying layer and a Si strain semiconductor layer according to the present invention.

【図2】本発明に係わるSi歪半導体層とゲート絶縁層
との間の界面の粗度のパワースペクトル特性図である。
FIG. 2 is a power spectrum characteristic diagram of roughness at an interface between a Si strained semiconductor layer and a gate insulating layer according to the present invention.

【図3】本発明の実施例1での異なる界面粗度を有する
種々の試料の移動度特性を示す特性図である。
FIG. 3 is a characteristic diagram showing mobility characteristics of various samples having different interface roughness in Example 1 of the present invention.

【図4】本発明の実施例1での良好な移動度特性を得る
に必要な、SiGe歪印加層とSi歪半導体層界面、及
びSi歪半導体層とゲート絶縁層界面の粗度の領域を説
明するための特性図である。
FIG. 4 is a graph showing the regions of the roughness of the interface between the SiGe strain applying layer and the Si strain semiconductor layer and the interface between the Si strain semiconductor layer and the gate insulating layer, which are necessary for obtaining good mobility characteristics in Example 1 of the present invention. FIG. 4 is a characteristic diagram for explaining.

【図5】本発明の実施例2に示した電界効果トランジス
タの製造工程を示す断面図である。
FIG. 5 is a cross-sectional view showing a step of manufacturing the field-effect transistor shown in Embodiment 2 of the present invention.

【図6】本発明の実施例2に示した電界効果トランジス
タの製造工程を示す断面図である。
FIG. 6 is a cross-sectional view showing a step of manufacturing the field-effect transistor shown in Embodiment 2 of the present invention.

【符号の説明】[Explanation of symbols]

50…トレンチ、51…Si基板、52…SiGe歪印
加層、53…第1Si層(歪半導体層)、54…素子分
離領域、55…活性領域、56…熱酸化膜、57…非晶
質Si薄膜、58…SiO2トレンチ埋め込み膜、59
…第2Si層(Siエピタキシャル成長層)、61…ソ
ース・ドレイン半導体領域、60…ゲート電極、65…
ゲート絶縁膜。
Reference numeral 50: trench, 51: Si substrate, 52: SiGe strain applying layer, 53: first Si layer (strained semiconductor layer), 54: element isolation region, 55: active region, 56: thermal oxide film, 57: amorphous Si Thin film, 58: SiO2 trench filling film, 59
... Second Si layer (Si epitaxial growth layer), 61 ... Source / drain semiconductor region, 60 ... Gate electrode, 65 ...
Gate insulating film.

───────────────────────────────────────────────────── フロントページの続き (72)発明者 山口 伸也 東京都国分寺市東恋ヶ窪一丁目280番地 株式会社日立製作所中央研究所内 (72)発明者 朴 成基 東京都国分寺市東恋ヶ窪一丁目280番地 株式会社日立製作所中央研究所内 Fターム(参考) 5F040 DA01 DA02 DB03 DC01 EC07 EE06 EK05 EM10 FA03 FC05 FC10 FC21 5F045 AA07 AB01 AB02 AF03 CA05 DA52 GH10 HA12 HA15 5F110 AA01 AA09 BB04 CC02 DD05 DD13 EE09 EE45 FF02 FF23 GG01 GG02 GG19 GG24 GG44 GG52 HJ13 NN02 NN62 NN65 ──────────────────────────────────────────────────続 き Continuing from the front page (72) Inventor Shinya Yamaguchi 1-280 Higashi-Koigabo, Kokubunji-shi, Tokyo Inside the Central Research Laboratory of Hitachi, Ltd. F-term in the Central Research Laboratory of the Works (reference) NN02 NN62 NN65

Claims (5)

【特許請求の範囲】[Claims] 【請求項1】基板表面部に形成されたSiGe領域、上
記SiGe領域上に形成された100nm以下の厚さの
Si層、及び上記Si層の表面に絶縁膜を介して設けら
れたゲート電極からなり、上記Si層と上記絶縁膜との
間の界面が少なくとも上記ゲート電極下の領域にわたっ
て0.1平方ナノメートル以下の粗度パワー分布特性を
もって平坦化されていることを特徴とする半導体装置。
An SiGe region formed on a surface portion of a substrate, a Si layer having a thickness of 100 nm or less formed on the SiGe region, and a gate electrode provided on a surface of the Si layer via an insulating film. Wherein the interface between the Si layer and the insulating film is planarized with a roughness power distribution characteristic of 0.1 square nanometers or less over at least a region under the gate electrode.
【請求項2】上記Si層と上記絶縁膜との間の界面が少
なくとも上記ゲート電極下の領域にわたって0.02平
方ナノメートル以下の粗度パワー分布特性をもって平坦
化されていることを特徴とする請求項1記載の半導体装
置。
2. An interface between the Si layer and the insulating film is flattened with a roughness power distribution characteristic of 0.02 square nanometers or less over at least a region under the gate electrode. The semiconductor device according to claim 1.
【請求項3】基板表面部に設けられたSiGe領域の表
面を化学機械研磨によって平坦化し、上記平坦化された
SiGe領域上にSi層を堆積し、しかる後上記Si層
表面部に絶縁ゲート型トランジスタを形成することを特
徴とする半導体装置の製造方法。
3. The surface of a SiGe region provided on a surface of a substrate is flattened by chemical mechanical polishing, and a Si layer is deposited on the flattened SiGe region. A method for manufacturing a semiconductor device, comprising forming a transistor.
【請求項4】基板表面に設けられたSiGe領域の上に
厚さが100ナノメータ以下の第1Si層を堆積し、上
記堆積された第1Si層及びSiGe領域に部分的に絶
縁物からなる回路素子分離領域を形成し、しかる後上記
第1Si層の上部に厚さが100ナノメータ以下の第2
Si層を堆積し、上記第2Si層に絶縁ゲートトランジ
スタを形成することを特徴とする半導体集積回路装置の
製造方法。
4. A circuit element comprising: depositing a first Si layer having a thickness of 100 nm or less on a SiGe region provided on a substrate surface, and partially depositing an insulator on the deposited first Si layer and the SiGe region. An isolation region is formed, and then a second layer having a thickness of 100 nm or less is formed on the first Si layer.
A method for manufacturing a semiconductor integrated circuit device, comprising: depositing a Si layer and forming an insulated gate transistor on the second Si layer.
【請求項5】基板表面部に形成されたSiGe領域、上
記SiGe領域上に堆積された100nm以下の厚さを
有しその表面部の不純物濃度が10の17乗/立方cm
以下のSi層、及び上記Si層の表面上部に絶縁膜を介
して設けられたゲート電極からなり、上記ゲート電極下
の上記Si層の表面に800平方センチメートル/Vs
以上のキャリア移動度を有するチャネルが形成されてな
ることを特徴とする半導体装置。
5. An SiGe region formed on a surface of a substrate, having a thickness of 100 nm or less deposited on the SiGe region and having an impurity concentration of 10 17 / cm 3 on the surface.
The following Si layer and a gate electrode provided above the surface of the Si layer with an insulating film interposed therebetween, and the surface of the Si layer below the gate electrode has a surface area of 800 cm 2 / Vs
A semiconductor device in which a channel having the above carrier mobility is formed.
JP2000261776A 2000-08-30 2000-08-30 Semiconductor device and manufacturing method therefor Pending JP2002076334A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2000261776A JP2002076334A (en) 2000-08-30 2000-08-30 Semiconductor device and manufacturing method therefor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000261776A JP2002076334A (en) 2000-08-30 2000-08-30 Semiconductor device and manufacturing method therefor

Publications (1)

Publication Number Publication Date
JP2002076334A true JP2002076334A (en) 2002-03-15

Family

ID=18749564

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000261776A Pending JP2002076334A (en) 2000-08-30 2000-08-30 Semiconductor device and manufacturing method therefor

Country Status (1)

Country Link
JP (1) JP2002076334A (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6649480B2 (en) 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6703144B2 (en) 2000-01-20 2004-03-09 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
US6703688B1 (en) 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6713326B2 (en) 2000-08-16 2004-03-30 Masachusetts Institute Of Technology Process for producing semiconductor article using graded epitaxial growth
US6724008B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6723661B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6927138B2 (en) 2002-10-31 2005-08-09 Sharp Kabushiki Kaisha Method of semiconductor device fabrication
JP2007518272A (en) * 2004-01-12 2007-07-05 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Method for manufacturing strained FINFET channel
JP2008504677A (en) * 2004-06-24 2008-02-14 インターナショナル・ビジネス・マシーンズ・コーポレーション Improved strained silicon CMOS device and method
US7829442B2 (en) 2002-08-23 2010-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor heterostructures having reduced dislocation pile-ups and related methods
US7838392B2 (en) 2002-06-07 2010-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming III-V semiconductor device structures
US7906776B2 (en) 2001-09-24 2011-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. RF circuits including transistors having strained material layers
US8129821B2 (en) 2002-06-25 2012-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Reacted conductive gate electrodes
US8183627B2 (en) 2004-12-01 2012-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid fin field-effect transistor structures and related methods

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6703144B2 (en) 2000-01-20 2004-03-09 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
US6737670B2 (en) 2000-08-16 2004-05-18 Massachusetts Institute Of Technology Semiconductor substrate structure
US6713326B2 (en) 2000-08-16 2004-03-30 Masachusetts Institute Of Technology Process for producing semiconductor article using graded epitaxial growth
US6649480B2 (en) 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6703688B1 (en) 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6724008B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6723661B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US7906776B2 (en) 2001-09-24 2011-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. RF circuits including transistors having strained material layers
US7838392B2 (en) 2002-06-07 2010-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming III-V semiconductor device structures
US8129821B2 (en) 2002-06-25 2012-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Reacted conductive gate electrodes
US7829442B2 (en) 2002-08-23 2010-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor heterostructures having reduced dislocation pile-ups and related methods
US6927138B2 (en) 2002-10-31 2005-08-09 Sharp Kabushiki Kaisha Method of semiconductor device fabrication
JP2007518272A (en) * 2004-01-12 2007-07-05 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Method for manufacturing strained FINFET channel
JP2008504677A (en) * 2004-06-24 2008-02-14 インターナショナル・ビジネス・マシーンズ・コーポレーション Improved strained silicon CMOS device and method
US8183627B2 (en) 2004-12-01 2012-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid fin field-effect transistor structures and related methods

Similar Documents

Publication Publication Date Title
US11587928B2 (en) Method to induce strain in finFET channels from an adjacent region
US9768299B2 (en) Method to form strained channel in thin box SOI structures by elastic strain relaxation of the substrate
KR100447492B1 (en) Semiconductor device and semiconductor substrate
KR101053133B1 (en) Strained silicone with elastic edge relaxation
US7211458B2 (en) Methods of fabricating strained semiconductor-on-insulator field-effect transistors and related devices
US7109516B2 (en) Strained-semiconductor-on-insulator finFET device structures
US8119472B2 (en) Silicon device on Si:C SOI and SiGe and method of manufacture
KR100773009B1 (en) Fet channel having a strained lattice structure along multiple surfaces
KR100392166B1 (en) Semiconductor device and method for manufacturing the same
US7442967B2 (en) Strained channel complementary field-effect transistors
US7247912B2 (en) Structures and methods for making strained MOSFETs
US7198995B2 (en) Strained finFETs and method of manufacture
US20130260518A1 (en) Process to improve transistor drive current through the use of strain
WO2005098963A1 (en) A bulk non-planar transistor having a strained channel with enhanced mobility and methods of fabrication
US20090130826A1 (en) Method of Forming a Semiconductor Device Having a Strained Silicon Layer on a Silicon-Germanium Layer
JP2002076334A (en) Semiconductor device and manufacturing method therefor
US7863141B2 (en) Integration for buried epitaxial stressor
US8674444B2 (en) Structure and method of forming a transistor with asymmetric channel and source/drain regions
CN115763256A (en) Preparation method of semiconductor structure and semiconductor structure
JP2004055943A (en) Semiconductor device and manufacturing method therefor
JP3600174B2 (en) Semiconductor device manufacturing method and semiconductor device
CN107546177B (en) Direct band gap Ge channel CMOS integrated device and preparation method thereof
CN115692308A (en) Preparation method of semiconductor structure and semiconductor structure

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050303

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070517

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080819

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20081224