JP2001518710A - Semiconductor processing apparatus having a linear conveyor system - Google Patents

Semiconductor processing apparatus having a linear conveyor system

Info

Publication number
JP2001518710A
JP2001518710A JP2000514323A JP2000514323A JP2001518710A JP 2001518710 A JP2001518710 A JP 2001518710A JP 2000514323 A JP2000514323 A JP 2000514323A JP 2000514323 A JP2000514323 A JP 2000514323A JP 2001518710 A JP2001518710 A JP 2001518710A
Authority
JP
Japan
Prior art keywords
wafer
transport
semiconductor wafer
semiconductor
transfer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000514323A
Other languages
Japanese (ja)
Inventor
ハンソン,カイル
デイツクス,マーク
ウツドラフ,ダニエル・ジエイ
シユミツト,ウエイン・ジエイ
コイル,ケビン・ダブリユー
Original Assignee
セミトウール・インコーポレーテツド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US08/990,107 external-priority patent/US6672820B1/en
Application filed by セミトウール・インコーポレーテツド filed Critical セミトウール・インコーポレーテツド
Publication of JP2001518710A publication Critical patent/JP2001518710A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers

Abstract

(57)【要約】 処理ツール(10)内の半導体ウエーハをマニピュレートする(manipulating)ための輸送システムを述べる。該システムはウエーハ移送ユニットが第1の位置と第2の位置の間を移動する時ウエーハ移送ユニット(61)を支持するため該処理ツール(10)内に配置された輸送ユニットガイド(66)を含んでいる。該輸送ユニットガイド(66)は枠(65)、該枠(65)上に設置された横ガイドレール(63)、及び該横ガイドレール(63)の近くで該輸送ユニットガイド(66)上に配備された1連の磁気的セグメント(71,74)を備えている。該ウエーハ移送ユニット(62)は該横ガイドレール(63)に並進可能に取り付けられたトラム(84)と該半導体ウエーハをマニピュレートするためのウエーハ移送アーム組立体(86)を含んでいる。該移送ユニット(62)を該ガイドレール(63)に沿って動かすために該磁気的セグメント(71,74)と協力関係を有するよう該トラム上に電磁石が設置されている。該移送ユニット(62)の位置と移送アーム組立体(86)を制御するためにアクチュエータが使用され該移送ユニット(62)の位置と移送アーム組立体(86)を測定する(determining)ためにセンサ(91)が使用される。制御器(101)は該ウエーハ移送ユニット(62)から遠くに配置され該センサ(91)に応答して該アクチュエータを使用して該移送ユニット(62)と移送アーム組立体(86)の運動を導く。該アクチュエータと、センサとそして制御器との間には通信リンクが確立されている。好ましくは、該通信リンクは光フアイバーのリンクであるのがよい。 (57) Abstract A transport system for manipulating semiconductor wafers in a processing tool (10) is described. The system includes a transport unit guide (66) disposed within the processing tool (10) to support the wafer transport unit (61) as the wafer transport unit moves between the first and second positions. Contains. The transport unit guide (66) includes a frame (65), a horizontal guide rail (63) installed on the frame (65), and the transport unit guide (66) near the horizontal guide rail (63). It has a series of deployed magnetic segments (71, 74). The wafer transfer unit (62) includes a tram (84) translatably mounted on the lateral guide rail (63) and a wafer transfer arm assembly (86) for manipulating the semiconductor wafer. An electromagnet is mounted on the tram to cooperate with the magnetic segments (71, 74) to move the transfer unit (62) along the guide rail (63). An actuator is used to control the position of the transfer unit (62) and the transfer arm assembly (86), and a sensor is used to determine the position of the transfer unit (62) and the transfer arm assembly (86). (91) is used. A controller (101) is located remotely from the wafer transfer unit (62) and uses the actuator to control the movement of the transfer unit (62) and the transfer arm assembly (86) in response to the sensor (91). Lead. A communication link has been established between the actuator, the sensors, and the controller. Preferably, the communication link is an optical fiber link.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【関連出願の引用】[Citation of related application]

本出願は引用によりここに組み入れられるユー.エス.エス.エヌ.(U.S.S.
N.)_{コーポレートドケット番号(Corporate Docket No.)第ピー(P)96 −0018号}及びユー.エス.エス.エヌ.(U.S.S.N.)_{コーポレートド
ケット番号(Corporate Docket No.)第ピー(P)96−0011}号の一部継 続出願である。
This application is incorporated herein by reference. S. S. N. (USS
N.) _ {Corporate Docket No. # P-96-0018} and U. S. S. N. (USSN) _ {Corporate Docket No. (P) 96-0011} is a continuation-in-part application.

【0002】[0002]

【合衆国をスポンサーとする研究又は開発についての記述】[Description of research or development sponsored by the United States]

適用なし。 Not applicable.

【0003】[0003]

【発明の技術的背景】TECHNICAL BACKGROUND OF THE INVENTION

半導体ウエーハからの半導体集積回路及び他の半導体品の生産では、該集積回
路上の種々の素子を相互に電気的に接続する相互接続メタライゼーションとして
役立つ多数の金属層を該ウエーハ上に供給する必要がある場合が多い。従来は、
この相互接続用にアルミニウムが使用されて来たが、しかしながら、銅のメタラ
イゼーションが好ましいことが現在認識されている。
In the production of semiconductor integrated circuits and other semiconductor articles from semiconductor wafers, it is necessary to provide a large number of metal layers on the wafer that serve as interconnect metallizations that interconnect the various components on the integrated circuit. Often there is. conventionally,
Aluminum has been used for this interconnect; however, it is now recognized that copper metallization is preferred.

【0004】 半導体ウエーハ上へ銅を付けることは、特に、大きな技術的挑戦であると判明
した。現時点では信頼性のある費用効果的な仕方で半導体素子上に銅層を形成す
ることの実用的問題のために銅のメタライゼーションは商業的な実現を達成出来
ていない。これは、部分的には、適切な製造温度での銅の反応性イオンエッチン
グ(reactive ion etching)又は他の選択的除去を実行することの比較的難しさ
に起因する。銅の該選択的除去はパターン化された層を形成するためにそして該
ウエーハ又は他のウエーハの隣接層間に電気的に導電性の相互接続を供給するた
めには望ましい。
[0004] Applying copper onto semiconductor wafers has proven to be a major technical challenge. At present, commercialization of copper metallization has not been achieved due to the practical problems of forming a copper layer on a semiconductor device in a reliable and cost-effective manner. This is due, in part, to the relative difficulty of performing reactive ion etching or other selective removal of copper at appropriate manufacturing temperatures. The selective removal of copper is desirable to form a patterned layer and to provide an electrically conductive interconnect between adjacent layers of the wafer or another wafer.

【0005】 反応性イオンエッチングが効率よく使用出来ないので、該産業では、銅の該パ
ターンが中に望まれるホール、より一般的にはビアス(vias)と呼称されが、ト
レンチ(trnches)及び他の凹部(recesses)が使用されるダマスクの(damasce
ne)電気メッキ工程を使用することによりパターン化された銅の層の形成の問題
を克服しようと追求して来た。該ダマスク工程では、該ウエーハは次の金属電気
メッキ過程中で電流の導電に使用される金属のシード層(seed layer)が最初に
備え付けられる。該シード層は非常に薄い金属層でありそれは幾つかの工程の1
つ以上を使用して付けることが出来る。例えば、金属の該シード層は1000オ
ングストロームの桁の厚さの層を作るために物理的蒸着又は化学的蒸着工程を使
用して配置出来る。該シード層は銅、金、ニッケル、パラジウム、及び大抵の又
は全ての他の金属で有利に形成される。該シード層はビアス、トレンチ又は凹ん
でいる他の素子形状の存在の絡んだ表面上に形成される。露出面のこの絡んだ性
質は該シード層を均一な仕方で形成するのに一層困難をもたらす。該シード層の
不均一性は次の電気メッキ工程中で該ウエーハの露出面から来る電流の変動を来
たし得る。これは今度は該シード層上に次に電気メッキされる銅層の不均一性に
導くことになる。この様な不均一性は形成される最終半導体素子での変形(defo
rmity)や不良(failure)を引き起こす可能性がある。
[0005] Because reactive ion etching cannot be used efficiently, the industry has referred to the holes in which the pattern of copper is desired, more commonly referred to as vias, but as trenches and others. The recesses (recesses) used in the damask (damasce
ne) have sought to overcome the problem of forming a patterned copper layer by using an electroplating process. In the damascene process, the wafer is first provided with a metal seed layer that is used to conduct current during a subsequent metal electroplating process. The seed layer is a very thin metal layer, which is one of several steps.
Can be attached using more than one. For example, the seed layer of metal can be deposited using a physical or chemical vapor deposition process to create a layer on the order of 1000 angstroms. The seed layer is advantageously formed of copper, gold, nickel, palladium, and most or all other metals. The seed layer is formed on entangled surfaces in the presence of vias, trenches or other recessed device features. This entangled nature of the exposed surface makes it more difficult to form the seed layer in a uniform manner. The non-uniformity of the seed layer can cause fluctuations in the current coming from the exposed surface of the wafer during the next electroplating step. This, in turn, will lead to non-uniformities in the copper layer that is subsequently electroplated on the seed layer. Such non-uniformity may cause deformation (defo) in the final semiconductor device to be formed.
rmity) or failure (failure).

【0006】 ダマスク工程では、該シード層上に電気メッキされる該銅層はブランケット層
(blanket layer)の形である。該ブランケット層は、該トレンチやビヤスを充 たしこれらの形状の上に或る量伸びる銅層を完全に供給する目標を持って、上に
被さる層を形成する程度にメッキされる。これらのブランケット層は典型的には
10,000−15,000オングストローム(1−1.5マイクロメートル)
の桁の厚さで形成される。
In a damascene process, the copper layer electroplated on the seed layer is in the form of a blanket layer. The blanket layer is plated to the extent that it forms an overlying layer with the goal of filling the trench or bias and providing a complete copper layer extending over these features. These blanket layers are typically 10,000-15,000 angstroms (1-1.5 micrometers).
Of the order of magnitude.

【0007】 該ダマスク工程は又該ビヤス、トレンチ又は他の凹部の外側にある過剰な金属
材料の除去を含んでいる。該金属が除去されて形成されつつある半導体集積回路
内の最終のパターン化された金属層を提供する。該過剰メッキ材料は、例えば、
化学的機械的平面化法を使用して除去出来る。化学的機械的平面化法は該電気メ
ッキ過程で付けられた金属層の望ましくない部分を除去するために化学的除去剤
と該露出金属面を研削し磨く研磨材との組み合わせ作用を使用する処理過程であ
る。
[0007] The damascene process also includes the removal of excess metal material outside the vias, trenches or other recesses. The metal is removed to provide a final patterned metal layer in the semiconductor integrated circuit being formed. The excess plating material is, for example,
It can be removed using chemical mechanical planarization. Chemical mechanical planarization is a process that uses the combined action of a chemical remover and an abrasive to grind and polish the exposed metal surface to remove unwanted portions of the metal layer applied during the electroplating process. It is a process.

【0008】 該銅の電気メッキ工程のオートメーションは捕らえ難かったが当該技術には、
均一でありそして効率よく費用効果のある仕方で製造出来る半導体品上の銅層を
作ることが出来る改良された半導体メッキシステムの必要性が存在する。特に、
有効で信頼性高く自動化される銅メッキシステムを提供する実質的な必要性が存
在する。
[0008] Automation of the copper electroplating process was elusive, but the art includes:
There is a need for an improved semiconductor plating system that can produce a copper layer on a semiconductor article that is uniform and can be efficiently and cost effectively manufactured. In particular,
There is a substantial need to provide an effective, reliable and automated copper plating system.

【0009】[0009]

【発明の概要】Summary of the Invention

処理ツール内で半導体ウエーハをマニピュレートする(manipulateing)ため の輸送システムを述べる。該システムは第1の位置と第2の位置との間をウエー
ハ移送ユニットが移動する時該ウエーハ移送ユニットを支持するために該処理ツ
ール内に配置された輸送ユニットガイドを含んでいる。該輸送ユニットガイドは
枠(frame)と、該枠上に設置された横ガイドレールと、そして該横ガイドレー ルに近接して該輸送ユニットガイド上に配備された1連に磁気的セグメントとを
含んでいる。該ウエーハ移送ユニットは該横ガイドレールに並進可能に取り付け
られたトラムと該半導体ウエーハをマニピュレートするためのウエーハ移送アー
ム組立体とを含んでいる。該ガイドレールに沿って該移送ユニットを動かすため
に該磁気的セグメントと協力関係を有して電磁石が該トラム上に設置されている
。該移送ユニットと該移送アーム組立体との位置を制御するためにはアクチュエ
ータが使用され、該移送ユニットと該移送アーム組立体との位置を測定する(de
termining)ためにはセンサが使用される。制御器は該ウエーハ移送ユニットか ら遠くに配置され該センサに応答して該アクチュエータを使用して該移送ユニッ
トと移送アーム組立体の運動を差し向ける。該アクチュエータと、センサとそし
て制御器との間に通信リンクが確立される。好ましくは、該通信リンクは光フア
イバーのリンクがよい。
Describes a transport system for manipulating semiconductor wafers within a processing tool. The system includes a transport unit guide disposed within the processing tool to support the wafer transfer unit as the wafer transfer unit moves between a first position and a second position. The transport unit guide comprises a frame, lateral guide rails mounted on the frame, and a series of magnetic segments disposed on the transport unit guide proximate to the lateral guide rail. Contains. The wafer transfer unit includes a tram translatably mounted on the lateral guide rail and a wafer transfer arm assembly for manipulating the semiconductor wafer. An electromagnet is mounted on the tram in cooperation with the magnetic segment to move the transfer unit along the guide rail. An actuator is used to control the position of the transfer unit and the transfer arm assembly to measure the position of the transfer unit and the transfer arm assembly (de)
Sensors are used for termining). A controller is located remote from the wafer transfer unit and directs movement of the transfer unit and transfer arm assembly using the actuator in response to the sensor. A communication link is established between the actuator, the sensor, and the controller. Preferably, the communication link is an optical fiber link.

【0010】[0010]

【発明の実施例の詳細な説明】 図1を参照すると、半導体ウエーハ処理ツール10の本発明の好ましい実施例
が示されている。該処理ツール10はインターフエース部分12と処理部分14
とを含んでいる。一般的にWと呼称される、複数の半導体ウエーハを含む半導体
ウエーハカセット16は該インターフエース部分12を介して該処理ツール10
内に積載されるか又はそこから降ろされる。特に、該ウエーハカセット16は該
処理ツール10の前部の外部に面している壁の中の第1ポート32の様な少なく
とも1つのポートを通して積載されるか降ろされるのが好ましい。アクセスを改
善するために追加の第2ポート33が該処理ツール10のインターフエース部分
12内に備えられてもよくそしてポート32は入力として使用されてもよくポー
ト33は出力として使用されてもよい。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS Referring to FIG. 1, a preferred embodiment of the present invention for a semiconductor wafer processing tool 10 is shown. The processing tool 10 includes an interface portion 12 and a processing portion 14.
And A semiconductor wafer cassette 16 including a plurality of semiconductor wafers, generally called W, is connected to the processing tool 10 through the interface portion 12.
Loaded into or unloaded from. In particular, the wafer cassette 16 is preferably loaded or unloaded through at least one port, such as a first port 32, in the exterior facing wall of the processing tool 10. An additional second port 33 may be provided in the interface portion 12 of the processing tool 10 to improve access, and port 32 may be used as input and port 33 may be used as output. .

【0011】 それぞれの動力を与えられたドア35,36がアクセスポート32,33をカ
バーするために使用されそれにより該処理ツール10の内部をクリーンルームか
ら絶縁する。各ドア35,36は2つの部分を含んでいる。ポート32,33を
開きその中へのアクセスを可能にするために上側部分と下側部分は該処理ツール
10の前面内へとそれぞれ上方及び下方へ動く。
Each powered door 35, 36 is used to cover the access port 32, 33, thereby isolating the interior of the processing tool 10 from the clean room. Each door 35, 36 includes two parts. The upper and lower portions move up and down, respectively, into the front surface of the processing tool 10 to open the ports 32, 33 and allow access therein.

【0012】 ウエーハカセット16は複数の半導体ウエーハを輸送するために使用されるの
が典型的である。該ウエーハカセット16は、処理ツール10の中へ又はそこか
ら外への半導体ウエーハの輸送中は、安定性のために立ったすなわち垂直位置で
中の該半導体ウエーハを供給するよう配向されているのが好ましい。
The wafer cassette 16 is typically used for transporting a plurality of semiconductor wafers. The wafer cassette 16 is oriented to supply the semiconductor wafer in a standing or vertical position during transport of the semiconductor wafer into or out of the processing tool 10. Is preferred.

【0013】 該処理ツール10の前部の外に面する面は、ウエーハカセット16の挿入及び
取り出し中に該処理ツール10内へ導入される有害なコンタミナントの数を最小
化するよう有利にクリールームに接合する。加えて、複数のウエーハカセット1
6は、該クリーンルーム環境へのポート32,33の開口及び該処理ツール10
の露出を最小化するために、処理ツール10内への導入とそこからの除去を1回
で行ってもよい。
The outwardly facing surface of the processing tool 10 is advantageously cleaned to minimize the number of harmful contaminants introduced into the processing tool 10 during insertion and removal of the wafer cassette 16. Join to the room. In addition, a plurality of wafer cassettes 1
6 is the opening of ports 32, 33 to the clean room environment and the processing tool 10;
May be introduced into the processing tool 10 and removed therefrom in a single pass to minimize exposure of the tool.

【0014】 該インターフエース部分12は該処理ツール10の処理部分14と接合してい
る。該処理部分14は、種々の半導体処理過程を実行するための複数の半導体ウ
エーハ処理モジュールを含んでいる。特に、図1に示す該処理ツール10の該実
施例は処理部分14の第1の横面を形成するメッキモジュール20を含んでいる
。該ツール10の処理部分14は、該メッキモジュール20の反対側のプリウエ
ット(pre-wet)モジュール22及びレジストストリップ(resist strip)モジ ュール24の様な、追加的モジュールを含むのが有利である。
The interface portion 12 is joined to a processing portion 14 of the processing tool 10. The processing section 14 includes a plurality of semiconductor wafer processing modules for performing various semiconductor processing steps. In particular, the embodiment of the processing tool 10 shown in FIG. 1 includes a plating module 20 that forms a first lateral surface of the processing portion 14. The processing portion 14 of the tool 10 advantageously includes additional modules, such as a pre-wet module 22 and a resist strip module 24 opposite the plating module 20. .

【0015】 代わって、追加的処理機能を実行するための他のモジュールも又該処理ツール
10内に含まれてもよい。該処理ツール10の処理モジュールにより実行される
特定の処理は異なる又は同様な性質であってもよい。種々の液体や気体の処理過
程が種々の順序で使用されることが可能である。該処理ツール10は、1連の複
雑な処理が種々の処理溶液について設定された種々の処理モジュールで直列に行
われることを可能にする点で特に有利である。全ての処理が人の扱いを要せずそ
して非常に良く制御された作業空間11で有利に達成出来て、かくして人的操作
者の扱い時間と該半導体ウエーハの汚損の機会を少なくする。
Alternatively, other modules for performing additional processing functions may also be included in the processing tool 10. The particular processing performed by the processing modules of the processing tool 10 may be of different or similar nature. Various liquid and gas treatment processes can be used in various orders. The processing tool 10 is particularly advantageous in that it allows a series of complex processes to be performed in series with different processing modules set for different processing solutions. All processing requires no human handling and can be advantageously achieved in a very well-controlled workspace 11, thus reducing the handling time of human operators and the chance of fouling of the semiconductor wafer.

【0016】 該処理ツール10の処理モジュールはモジュール型(modular)で、互換性が あり、単独型のユニット(stand-alone units)であるのが好ましい。該処理ツ ール10で実行される処理機能は該処理ツール10が据え付けられた後変更され
ても良く柔軟性を高めそして処理方法での変更の余地を与える。追加的なウエー
ハ処理モジュールが該処理ツール10に追加されても良く或いは現在の処理モジ
ュール19を置き換えてもよい。
The processing modules of the processing tool 10 are preferably modular, interchangeable, and stand-alone units. The processing functions performed by the processing tool 10 may be changed after the processing tool 10 is installed, increasing flexibility and providing room for change in the processing method. Additional wafer processing modules may be added to the processing tool 10 or may replace the current processing module 19.

【0017】 本発明の処理ツール10は好ましくは該処理ツール10の横側と接合された後
部閉鎖面(rear closure surface)18を含むのがよい。図1に示す様に、該処
理部分14の処理モジュールに直ぐ相対して空気供給部26が備えられるのが有
利である。該インターフエース部分12,該処理部分14の横側、閉鎖面18、
及び空気供給部26は該処理ツール10内で囲まれた作業空間11を提供するの
が好ましい。該空気供給部26は、該処理ツール10内にクリーンな空気を供給
するために該フイルターされた空気源(図示せず)と結合されたダクトを含んで
もよい。更に特定すると、該空気供給部26は作業空間11内にクリーンな空気
を導入するために該処理モジュール19の中間に複数のベントを含んでもよい。
The processing tool 10 of the present invention preferably includes a rear closure surface 18 joined to the lateral side of the processing tool 10. Advantageously, as shown in FIG. 1, an air supply 26 is provided immediately opposite the processing module of the processing section 14. The interface portion 12, the side of the processing portion 14, the closing surface 18,
The air supply 26 preferably provides a work space 11 enclosed within the processing tool 10. The air supply 26 may include a duct coupled to the filtered air source (not shown) to supply clean air into the processing tool 10. More specifically, the air supply 26 may include a plurality of vents intermediate the processing module 19 to introduce clean air into the workspace 11.

【0018】 図16を参照すると、循環されたクリーンな空気とその中のコンタミナント(
contaminants)とを取り除くためにウエーハ輸送ユニットガイド66の枠65に
隣接して排気ダクト(exhaust ducts)58,59が備えられている。排気ダク ト58,59はそれを通して供給されるクリーンな空気を引くために該処理モジ
ュール19の各々と結合されてもよい。特に、クリーンな空気は空気供給部26
を経由して該処理ツール10の作業空間11に供給される。該空気は排気ダクト
58,59の出力と結合された排気フアン(図示せず)によりそのシェルフ(sh
elf)又は処理デッキ(process deck)内に形成された複数のベント57を経由 して該ウエーハ輸送ユニット62,64の近くと該処理モジュール19内へ引か
れる。該処理ツール10内の各処理モジュール19はダクト58,59と直接結
合されてもよい。該空気は該後部閉鎖面18又は該処理ツール10の面の底部を
通して該処理ツール10のダクト58,59から引き出されてもよい。囲まれた
作業空間と提供し該作業空間内の環境を制御することは該処理ツール10内のコ
ンタミナントの存在を大いに減少させる。
Referring to FIG. 16, circulated clean air and contaminants therein (
Exhaust ducts 58, 59 are provided adjacent to the frame 65 of the wafer transport unit guide 66 to remove contaminants. Exhaust ducts 58, 59 may be coupled with each of the processing modules 19 to draw clean air supplied therethrough. In particular, clean air is supplied to the air supply unit 26.
Is supplied to the work space 11 of the processing tool 10 via the The air is exhausted to its shelf by an exhaust fan (not shown) coupled to the output of exhaust ducts 58,59.
elf) or via a plurality of vents 57 formed in a process deck, near the wafer transport units 62 and 64 and into the processing module 19. Each processing module 19 in the processing tool 10 may be directly connected to the ducts 58,59. The air may be drawn from the ducts 58, 59 of the processing tool 10 through the rear closure surface 18 or the bottom of the surface of the processing tool 10. Providing an enclosed workspace and controlling the environment within the workspace greatly reduces the presence of contaminants within the processing tool 10.

【0019】 該処理モジュールの各々は該処理ツール10の横側を形成するそれぞれのモジ
ュールの外側パネルを通して有利にアクセスされる。処理ツール10の該横側は
グレールーム環境(gray room environment)に隣接していてもよい。グレール ームは該クリーンルームに比較して汚染に対してより少ない警戒度を有する。こ
の構成の使用はプラントコストを下げる一方ルーチン(routine)の保守を要す る該処理ツール10の各ウエーハモジュールの該処理部品と電子機器へのアクセ
スを可能にする。
Each of the processing modules is advantageously accessed through an outer panel of a respective module that forms a side of the processing tool 10. The lateral side of the processing tool 10 may be adjacent to a gray room environment. Gray rooms have less vigilance for contamination compared to the clean room. Use of this configuration allows access to the processing components and electronics of each wafer module of the processing tool 10 that requires routine maintenance while reducing plant costs.

【0020】 ユーザーインターフエース30は、図1に示す様に、該処理ツールの外に面す
る前面に備えられてもよい。該ユーザーインターフエース30は該処理ツール1
0内の種々の制御機能をもたらすためにデイスプレースクリーンへ指接触の出来
るタッチスクリーン型陰極線管制御デイスプレーであるのが有利である。又該処
理ツール10の操作が該処理ツール10の周りの代替え位置からももたらされる
ように該処理ツール10の後部又は個別処理モジュール内に追加のユーザーイン
ターフエース30が備えられてもよい。更に、操作者に該処理ツール10の周り
を動きそしてその中の該処理部品の操作を見ることを可能にするために携帯式の
ユーザーインターフエース30が備えられてもよい。該ユーザーインターフエー
ス30は該処理モジュール19と半導体ウエーハ輸送ユニット62、64へ指定
された機能や操作を教えるために使用されてもよい。
A user interface 30 may be provided on the front side facing out of the processing tool, as shown in FIG. The user interface 30 is the processing tool 1
Advantageously, it is a touch screen type cathode ray tube control display that allows finger contact with the display screen to provide various control functions within zero. An additional user interface 30 may be provided at the rear of the processing tool 10 or within a separate processing module so that operation of the processing tool 10 may also be provided from an alternate location around the processing tool 10. Further, a portable user interface 30 may be provided to allow an operator to move around the processing tool 10 and view the operation of the processing components therein. The user interface 30 may be used to teach designated functions and operations to the processing module 19 and semiconductor wafer transport units 62, 64.

【0021】 該処理ツール10内の各モジュール20,22,24は好ましくは該グレール
ームからの処理ツール10の操作の目視検査を可能にする窓34を含むのがよい
。更に、各処理モジュール20,22,24の頂部表面内にベント(vents)3 7が備えられるのが有利である。処理モジュールの電子機器は循環する空気がこ
の様な電子機器により発生される熱を消散出来るようにする該ベント37に隣接
して配置されるのが好ましい。
Each module 20, 22, 24 in the processing tool 10 preferably includes a window 34 that allows for a visual inspection of the operation of the processing tool 10 from the gray room. In addition, vents 37 are advantageously provided in the top surface of each processing module 20,22,24. The electronics of the processing module are preferably located adjacent to the vent 37 which allows the circulating air to dissipate the heat generated by such electronics.

【0022】 処理ツール10の1実施例の該インターフエース部分12と処理部分14との
中の作業空間11が図2で詳細に示されている。
The workspace 11 within the interface portion 12 and the processing portion 14 of one embodiment of the processing tool 10 is shown in detail in FIG.

【0023】 該インターフエース部分12は該処理ツール10内でウエーハカセット16を
マニピュレートするための2つのインターフエースモジュール38,39を含ん
でいる。該インターフエースモジュール38,39は該アクセスポート32,3
3を通してウエーハカセット16を受けそして中の半導体ウエーハの次の処理の
ために該ウエーハカセット16を貯蔵する。加えて、該インターフエースモジュ
ール38,39は、該それぞれのウエーハカセット16内の該半導体ウエーハの
処理が完了すると該処理ツール10からの除去のために該ウエーハカセットを貯
蔵する。
The interface section 12 includes two interface modules 38, 39 for manipulating the wafer cassette 16 in the processing tool 10. The interface modules 38 and 39 are connected to the access ports 32 and 3 respectively.
The wafer cassette 16 is received through 3 and the wafer cassette 16 is stored for further processing of the semiconductor wafer therein. In addition, the interface modules 38 and 39 store the wafer cassettes for removal from the processing tool 10 when processing of the semiconductor wafers in the respective wafer cassettes 16 is completed.

【0024】 各インターフエースモジュール38,39はウエーハカセットターンスタイル
(wafer cassette turnstile)40,41とウエーハカセットエレベータ42,
43を含んでいてもよい。一般に該ウエーハカセットターンスタイル40,41
は安定な垂直配向から該半導体ウエーハへのアクセスが改善される水平配向へと
該ウエーハカセット16を入れ替える。各ウエーハカセットエレベータ42,4
3はウエーハカセット16を保持するためにそれぞれのウエーハカセット支持部
47,48を有する。各ウエーハカセットエレベータ42,43はその上に静止
するウエーハカセット16を移送位置か引き出し位置か何れかに位置付けるため
に使用される。該ウエーハインターフエースモジュール38,39の操作は下記
で詳細に説明する。
Each of the interface modules 38 and 39 includes a wafer cassette turnstile 40, 41 and a wafer cassette elevator 42,
43 may be included. Generally, the wafer cassette turn styles 40, 41
Replaces the wafer cassette 16 from a stable vertical orientation to a horizontal orientation where access to the semiconductor wafer is improved. Each wafer cassette elevator 42, 4
3 has respective wafer cassette support portions 47 and 48 for holding the wafer cassette 16. Each of the wafer cassette elevators 42 and 43 is used to position the wafer cassette 16 resting thereon at either the transfer position or the unloading position. The operation of the wafer interface modules 38, 39 will be described in detail below.

【0025】 本発明の好ましい実施例では、第1のウエーハインターフエースモジュール3
8は、未処理の半導体ウエーハを該処理ツール10内に受け入れるために入力ウ
エーハカセットインターフエースとして機能してもよい。第2のウエーハインタ
ーフエースモジュール39は該処理ツール10からの除去用に、処理された半導
体ウエーハを保持するための出力ウエーハカセットインターフエースとして機能
してもよい。該処理ツール10内のウエーハ輸送ユニット62,64は、どちら
かのウエーハインターフエースモジュール38,39により保持されたウエーハ
カセット16にアクセスする。この様な配備は該処理ツール10を通しての半導
体ウエーハの移送を容易化する。
In a preferred embodiment of the present invention, the first wafer interface module 3
8 may function as an input wafer cassette interface for receiving unprocessed semiconductor wafers into the processing tool 10. The second wafer interface module 39 may function as an output wafer cassette interface for holding processed semiconductor wafers for removal from the processing tool 10. The wafer transport units 62 and 64 in the processing tool 10 access the wafer cassette 16 held by one of the wafer interface modules 38 and 39. Such an arrangement facilitates the transfer of semiconductor wafers through the processing tool 10.

【0026】 半導体ウエーハコンベア60は図2では処理モジュール20,22,24とイ
ンターフエースモジュール38,39の間に示されている。該ウエーハコンベア
60は、該ウエーハインターフエースモジュール38,39と該ウエーハ処理モ
ジュール19との各々の間で個別半導体ウエーハWを移送するためにウエーハ輸
送ユニット62,64を含んでいる。
The semiconductor wafer conveyor 60 is shown in FIG. 2 between the processing modules 20, 22 and 24 and the interface modules 38 and 39. The wafer conveyor 60 includes wafer transport units 62 and 64 for transferring individual semiconductor wafers W between each of the wafer interface modules 38 and 39 and the wafer processing module 19.

【0027】 ウエーハコンベア60は、該処理ツール10内の該ウエーハ輸送ユニット62
,64用に複数のパス(paths)68,70を形成する、長いレールの様な、輸 送ユニットガイド66を含んでいる。第1のパス68上のウエーハ輸送ユニット
62は輸送ガイド66に沿った該輸送ユニット62,64の運動中に第2のパス
70上に位置付けされたウエーハ輸送ユニット64を過ぎてもよい。該処理ツー
ル10は、該ウエーハ処理モジュール20,22,24とウエーハインターフエ
ースモジュール38,39の間の半導体ウエーハWの移送を容易化するために追
加のウエーハ輸送ユニットを含んでもよい。
The wafer conveyor 60 is connected to the wafer transport unit 62 in the processing tool 10.
, 64, including a transport unit guide 66, such as a long rail, forming a plurality of paths 68,70. The wafer transport unit 62 on the first pass 68 may pass by the wafer transport unit 64 located on the second pass 70 during the movement of the transport units 62, 64 along the transport guide 66. The processing tool 10 may include additional wafer transport units to facilitate transfer of semiconductor wafers W between the wafer processing modules 20, 22, 24 and the wafer interface modules 38, 39.

【0028】 更に特定すると、該第2のアームエクステンション(arm extension)88が 真空支持部(vacuum support)89を介して半導体ウエーハWを支持してもよい
。該適当なウエーハ輸送ユニット62,64は輸送ユニットガイド66に沿って
移動することによりウエーハ支持部401に接近する。ガイド66に沿った適当
な位置に到達した後、該第1エクステンション87と第2エクステンション88
とは該ウエーハ支持部401に接近するために回転する。該第2エクステンショ
ン88は該ウエーハ支持部401上に位置付けされ次いで該ウエーハ支持部40
1上の係合フインガー組立体409に向かって下降する。該真空支持部89から
真空が除去され、そして該処理モジュール内のフインガー組立体がその中に位置
付けされた該半導体ウエーハWを掴む。第2エクステンション88は下降し該ウ
エーハ係合フインガーにより保持された該半導体ウエーハの下から除去される。
More specifically, the second arm extension 88 may support the semiconductor wafer W via a vacuum support 89. The appropriate wafer transport units 62 and 64 approach the wafer support 401 by moving along the transport unit guide 66. After reaching an appropriate position along the guide 66, the first extension 87 and the second extension 88
Rotates to approach the wafer support 401. The second extension 88 is positioned on the wafer support 401 and then the wafer support 40
1 down to the engaging finger assembly 409. The vacuum is removed from the vacuum support 89, and the finger assembly in the processing module grips the semiconductor wafer W positioned therein. The second extension 88 descends and is removed from under the semiconductor wafer held by the wafer engaging finger.

【0029】 該適当な処理モジュール20,22,24内の該半導体ウエーハの処理の完了
に続いて、ウエーハ輸送ユニット62,64は該ウエーハを回収しそして該ウエ
ーハをもう1つの処理モジュール20,22,24へ発送するか或いは該ウエー
ハを貯蔵用か該処理ツール10からの除去用にウエーハカセット16へ戻す。
Following completion of the processing of the semiconductor wafer in the appropriate processing module 20,22,24, a wafer transport unit 62,64 retrieves the wafer and removes the wafer from another processing module 20,22. , 24 or return the wafer to a wafer cassette 16 for storage or removal from the processing tool 10.

【0030】 該ウエーハ輸送ユニット62,64の各々は、該ウエーハカセット16から半
導体ウエーハの回収のためか或いはその中へ半導体ウエーハを堆積するために該
コンベア60に隣接するウエーハカセット16にアクセスする。特に、図2にウ
エーハカセット16からエレベータ42の上へ半導体ウエーハWを引くウエーハ
輸送ユニット62が示されている。更に特定すると、該第2エクステンション8
8とそれに接続された真空支持部89は該引き出し位置に位置付けされたウエー
ハカセット16内に挿入される。第2エクステンション88と真空支持部89は
ウエーハカセット16により保持された該底部半導体ウエーハWの下面の下に入
る。一旦支持部89が除去されつつある該半導体ウエーハWの中央の下に位置付
けされると真空支持部89を経由して真空が印加される。該第2エクステンショ
ン88,真空支持部89及びそれに取付られた半導体ウエーハWは移送アームエ
レベータ90を介して僅かに持ち上げられる。最後に、第1エクステンション8
7と第2エクステンション88とは、該半導体ウエーハWを該ウエーハカセット
16から取り除くために回転される。該ウエーハ輸送ユニット62,64はその
後、該半導体ウエーハWを処理用にウエーハ処理モジュール19へ発送する。
Each of the wafer transport units 62, 64 accesses a wafer cassette 16 adjacent to the conveyor 60 for collecting semiconductor wafers from the wafer cassette 16 or depositing semiconductor wafers therein. In particular, FIG. 2 shows a wafer transport unit 62 that pulls a semiconductor wafer W from the wafer cassette 16 onto the elevator 42. More specifically, the second extension 8
8 and the vacuum support 89 connected thereto are inserted into the wafer cassette 16 positioned at the pull-out position. The second extension 88 and the vacuum support 89 enter under the lower surface of the bottom semiconductor wafer W held by the wafer cassette 16. Once the support 89 is positioned below the center of the semiconductor wafer W being removed, vacuum is applied via the vacuum support 89. The second extension 88, the vacuum support 89, and the semiconductor wafer W attached thereto are slightly lifted via the transfer arm elevator 90. Finally, the first extension 8
7 and the second extension 88 are rotated to remove the semiconductor wafer W from the wafer cassette 16. The wafer transport units 62 and 64 then send the semiconductor wafer W to the wafer processing module 19 for processing.

【0031】 その後、ウエーハ輸送ユニット62は、該半導体ウエーハの処理用に該半導体
ウエーハをウエーハ処理用支持部401上に堆積するためにパス68に沿って適
当な処理モジュール20,22,24に隣接する位置へ進む。
Thereafter, the wafer transport unit 62 adjoins the appropriate processing modules 20, 22, 24 along a path 68 to deposit the semiconductor wafer on the wafer processing support 401 for processing the semiconductor wafer. Proceed to the position you want.

【0032】 インターフエースモジュール 図3−図8を参照すると、該インターフエースモジュール38の操作が詳細に
示されている。下記説明はウエーハインターフエースモジュール38に限定して
いるが各インターフエースモジュール38,39が実質的に同じ仕方で操作する
限りではウエーハインターフエースモジュール39にも適用可能である。
Interface Module Referring to FIGS. 3-8, the operation of the interface module 38 is shown in detail. The following description is limited to the wafer interface module 38, but is applicable to the wafer interface module 39 as long as each interface module 38, 39 operates in substantially the same manner.

【0033】 好ましくは、第1ウエーハインターフエースモジュール38と第2ウエーハイ
ンターフエースモジュール39は、該処理ツール10のそれぞれの半導体ウエー
ハカセット16入力モジュール及び出力モジュールとして機能する。交互に、両
モジュールは入力と出力との双方として機能することも出来る。更に特定すると
、未処理の半導体ウエーハを保持するウエーハカセット16はポート32を経由
して該処理ツール10内に持ち込まれ、そして該半導体ウエーハが処理用に該ウ
エーハカセット16から除去されるべき時まで該第1ウエーハインターフエース
モジュール38内に1時的に貯蔵される。処理された半導体ウエーハは1時的貯
蔵及び/又は該処理ツール10からの除去のためにウエーハ輸送ユニット62,
64を介して該第2ウエーハインターフエースモジュール39内のウエーハカセ
ット16へ発送される。
Preferably, the first wafer interface module 38 and the second wafer interface module 39 function as respective semiconductor wafer cassette 16 input modules and output modules of the processing tool 10. Alternately, both modules can function as both inputs and outputs. More specifically, a wafer cassette 16 holding unprocessed semiconductor wafers is brought into the processing tool 10 via port 32 and until the semiconductor wafers are to be removed from the wafer cassette 16 for processing. It is temporarily stored in the first wafer interface module 38. The processed semiconductor wafers can be temporarily stored and / or removed from the processing tool 10 by a wafer transport unit 62,
The wafer is sent to the wafer cassette 16 in the second wafer interface module 39 via the second wafer interface module 64.

【0034】 該ウエーハインターフエースモジュール38,39は、その間での半導体ウエ
ーハの移送のために該処理ツール10内の該ウエーハ輸送ユニット62,64の
各々により直接アクセスされてもよい。各ウエーハ輸送ユニット62,64によ
りアクセス可能な複数のウエーハカセットインターフエースモジュール38,3
9を備えることは本発明の処理ツール10を通しての半導体ウエーハWの輸送を
容易化する。
The wafer interface modules 38, 39 may be directly accessed by each of the wafer transport units 62, 64 in the processing tool 10 for transporting semiconductor wafers therebetween. A plurality of wafer cassette interface modules 38, 3 accessible by each wafer transport unit 62, 64
The provision of 9 facilitates the transport of the semiconductor wafer W through the processing tool 10 of the present invention.

【0035】 各ウエーハインターフエースモジュール38,39は好ましくはウエーハカセ
ットターンスタイル40とそれに隣接したウエーハカセットエレベータ42を含
むのがよい。該アクセスポート32,33はそれぞれのウエーハカセットターン
スタイル40,41に隣接している。ウエーハカセット16は該処理ツール10
内に持ち込まれるか又はそこからポート32,33を経由して取り除かれてもよ
い。
Each wafer interface module 38, 39 preferably includes a wafer cassette turn style 40 and a wafer cassette elevator 42 adjacent thereto. The access ports 32, 33 are adjacent to the respective wafer cassette turn styles 40, 41. The wafer cassette 16 contains the processing tool 10.
Or may be removed therefrom via ports 32,33.

【0036】 ウエーハカセット16は該処理ツール10内への発送の前にカセットトレー5
0上に垂直位置で置かれるのが好ましい。カセットトレー50は図9で詳細に示
される。ウエーハカセット16とその中の該半導体ウエーハの垂直な位置は、輸
送用に該ウエーハカセット16内に該半導体ウエーハを保持するのに安定な配向
をもたらす。
The wafer cassette 16 is placed in the cassette tray 5 before being sent out into the processing tool 10.
It is preferably placed in a vertical position on zero. Cassette tray 50 is shown in detail in FIG. The vertical position of the wafer cassette 16 and the semiconductor wafer therein provides a stable orientation for holding the semiconductor wafer in the wafer cassette 16 for transport.

【0037】 各ウエーハカセットターンスタイル40,41は各々がウエーハカセット16
を保持するよう構成された2つのサドル45,46を含むのが好ましい。2つの
サドル45,46を備えることはそれぞれのアクセスドア35,36の1回の開
口中に2つのウエーハカセット16が該処理ツール10内へ置かれるかそこから
除去されることを可能にしてそれにより該処理ツール10内の該作業空間11の
該クリーンルーム環境への露出を最小化する。
Each of the wafer cassette turn styles 40 and 41 is formed of a wafer cassette 16.
It is preferred to include two saddles 45, 46 configured to hold Providing two saddles 45,46 allows two wafer cassettes 16 to be placed into or removed from the processing tool 10 during a single opening of each access door 35,36. This minimizes exposure of the work space 11 within the processing tool 10 to the clean room environment.

【0038】 各サドル45,46は該カセットトレー50と係合可能な2つのフオークを有
している。サドル45,46は、該ウエーハカセット16を水平又は垂直配向に
位置付けるために該ウエーハカセットターンスタイルシャフト49内のモータに
より動力を与えられる。該ウエーハカセット16とその中の半導体ウエーハは該
アクセスポート32,33の通過のためには垂直に配向され、そしてその中のウ
エーハの該ウエーハ輸送ユニット62,64へのアクセスをもたらす移送又は引
き出し位置では水平に配向されるのが好ましい。
Each saddle 45, 46 has two forks that can engage with the cassette tray 50. The saddles 45, 46 are powered by a motor in the wafer cassette turn style shaft 49 to position the wafer cassette 16 in a horizontal or vertical orientation. The wafer cassette 16 and the semiconductor wafer therein are oriented vertically for passage through the access ports 32, 33, and the transfer or withdrawal position that provides access to the wafer transport units 62, 64 therein. Is preferably oriented horizontally.

【0039】 図3でウエーハカセットターンスタイル40により保持され、ウエーハカセッ
ト15としても引用される、該ウエーハカセット16は保持位置(hold positio
n)にある{ここでは積載位置(load position)としても引用される}。該保持
位置でのウエーハカセット16内の該半導体ウエーハは次の処理用に貯蔵される
。代わりに、該保持位置のウエーハカセット16内の該半導体ウエーハはアクセ
スポート32,33を通しての該処理ツール10からの次の除去のために貯蔵さ
れてもよい。
In FIG. 3, the wafer cassette 16 is held by a wafer cassette turn style 40 and is also referred to as a wafer cassette 15.
n) {here also referred to as the load position}. The semiconductor wafer in the wafer cassette 16 at the holding position is stored for the next processing. Alternatively, the semiconductor wafer in the wafer cassette 16 in the holding position may be stored for subsequent removal from the processing tool 10 through access ports 32,33.

【0040】 図3を参照すると、該ウエーハカセットエレベータ42により支持され、ウエ
ーハカセット17としても引用される、該ウエーハカセット16は引き出し(ex
traction position)又は交換位置(exchange position)にある。半導体ウエー
ハはウエーハ輸送ユニット62,64を介して該引き出し位置内に位置付けされ
たウエーハカセット16から取り除かれるか又はそこへ入れられか何れかである
Referring to FIG. 3, the wafer cassette 16 supported by the wafer cassette elevator 42 and also referred to as the wafer cassette 17 is pulled out (ex.
in a traction position or an exchange position. Semiconductor wafers are either removed from or placed into wafer cassettes 16 located in the draw-out position via wafer transport units 62, 64.

【0041】 該ウエーハカセットターンスタイル41とウエーハカセットエレベータ42は
、その中に処理された半導体ウエーハを有するウエーハカセット17を該引き出
し位置から該処理ツール10からの除去用の保持位置へ移送するためにウエーハ
カセット15,17を交換する。加えて、この様な交換はその中の未処理半導体
ウエーハを有するウエーハカセット15を該保持位置からウエーハ輸送ユニット
62,64にその中の半導体ウエーハへのアクセスをもたらす該引き出し位置へ
移送する。
The wafer cassette turn style 41 and the wafer cassette elevator 42 are used to transfer the wafer cassette 17 having the semiconductor wafer processed therein from the extraction position to a holding position for removal from the processing tool 10. Replace the wafer cassettes 15 and 17. In addition, such an exchange transfers a wafer cassette 15 having unprocessed semiconductor wafers therein from the holding position to the withdrawal position which provides wafer transport units 62, 64 access to the semiconductor wafers therein.

【0042】 ウエーハカセット15,17の交換は図4−図8を参照して説明される。特定
すると、サドル46がウエーハカセットエレベータ42の動力を与えられたシャ
フト44の下に位置付けされる。シャフト44はウエーハカセット16を保持す
るために動力を与えられたウエーハカセット支持部47と結合される。シャフト
44とそれに取付られたウエーハカセット支持部47が図4に示すように下降さ
れそしてシャフト44はサドル46のフオークの間を通過する。
The replacement of the wafer cassettes 15 and 17 will be described with reference to FIGS. Specifically, a saddle 46 is positioned beneath the powered shaft 44 of the wafer cassette elevator 42. The shaft 44 is coupled to a powered wafer cassette support 47 to hold the wafer cassette 16. The shaft 44 and the wafer cassette support 47 attached thereto are lowered as shown in FIG. 4 and the shaft 44 passes between the forks of the saddle 46.

【0043】 図5を参照すると、シャフト44内のモータがウエーハカセット支持部47を
シャフト44を通る軸線の周りに回転させその上の該ウエーハカセット17をウ
エーハカセットターンスタイル40に保持された該ウエーハカセット15と反対
の関係にして供給する。ウエーハカセットターンスタイル40の双方のサドル4
5,46は次に図6に示す様に水平の配向にチルトされる。ウエーハカセットエ
レベータ42のシャフト44は次いで下降されそしてウエーハカセット17は図
7に描かれたサドル46と係合関係に持ち込まれる。シャフト44とウエーハカ
セット支持部47はウエーハカセット16の回転を解消するために追加量だけ下
降される。図8を参照すると、ウエーハカセットターンスタイル40はウエーハ
カセット15,17を入れ替えるために180度回転する。
Referring to FIG. 5, the motor in the shaft 44 rotates the wafer cassette support 47 about an axis passing through the shaft 44, and the wafer cassette 17 on the wafer cassette 17 is held by the wafer cassette turn style 40. It is supplied in the opposite relationship to the cassette 15. Both saddles 4 of wafer cassette turn style 40
5, 46 are then tilted to a horizontal orientation as shown in FIG. The shaft 44 of the wafer cassette elevator 42 is then lowered and the wafer cassette 17 is brought into engagement with the saddle 46 depicted in FIG. The shaft 44 and the wafer cassette support 47 are lowered by an additional amount to cancel the rotation of the wafer cassette 16. Referring to FIG. 8, the wafer cassette turn style 40 is rotated by 180 degrees in order to exchange the wafer cassettes 15 and 17.

【0044】 その中に処理された半導体ウエーハを有するウエーハカセット17は今や該処
理ツール10からの除去のためにポート32を経由してアクセス可能である。そ
の中に未処理の半導体を有するウエーハカセット15はウエーハカセット支持部
47と係合するよう今度は位置付けられている。図3−図8で示す該移送処理過
程は該ウエーハカセット15をウエーハ輸送ユニット62,64への該半導体ウ
エーハのアクセスを提供する該引き出し位置内へ引き上げるよう逆転されてもよ
い。
The wafer cassette 17 with the processed semiconductor wafers therein is now accessible via the port 32 for removal from the processing tool 10. The wafer cassette 15 with the unprocessed semiconductor therein is now positioned to engage with the wafer cassette support 47. The transfer process shown in FIGS. 3-8 may be reversed to lift the wafer cassette 15 into the withdrawal position which provides access of the semiconductor wafer to wafer transport units 62, 64.

【0045】 図10は該装置10がモジュール化される1つの仕方を図解している。図解さ
れている様に、該装置10は入力/出力組立体800,左及び右処理モジュール
805,810,ウエーハコンベアシステム60,頂部排気組立体820、そし
て端部パネル825から成っている。図解されている様に、左及び右処理モジュ
ール805,810は、入り口830と出口835を有する処理室を形成するよ
うに該ウエーハ搬送システム60の周りに相互に取付られてもよい。複数のこれ
らの処理モジュールはかくして端と端とが接する構成で(in an end-to-end con
figuration )取付られてもよくそれにより各ウエーハに実質的に多数の処理を 又は、代わって、同時に多数のウエーハの処理を実行出来る伸長された処理室を
提供する。この様な場合、1つの装置10の該ウエーハ搬送システム60は1つ
以上の、前又は次の搬送システム60のウエーハ搬送システム60と共同するよ
うプログラムされる。
FIG. 10 illustrates one way the device 10 can be modularized. As illustrated, the apparatus 10 comprises an input / output assembly 800, left and right processing modules 805, 810, a wafer conveyor system 60, a top exhaust assembly 820, and an end panel 825. As illustrated, the left and right processing modules 805, 810 may be mutually attached around the wafer transfer system 60 to form a processing chamber having an inlet 830 and an outlet 835. A plurality of these processing modules are thus configured end-to-end (in an end-to-end con
figuration) providing an elongated processing chamber which may be mounted thereby allowing each wafer to perform substantially multiple processes, or alternatively, process multiple wafers simultaneously. In such a case, the wafer transport system 60 of one apparatus 10 is programmed to cooperate with the wafer transport system 60 of one or more, previous or next transport systems 60.

【0046】 図11は該装置10の中で処理ヘッドを配列する1つの仕方を図解している。
この実施例では、左側の処理モジュール805は電気化学的析出(electrochemi
cal deposition)の後の各ウエーハのすすぎ(rinsing)と乾燥に専用化された 3つの処理ヘッドと電気化学的析出の前での該ウエーハのウエット化(wetting )の実施用の2つの処理ヘッドとから成っている。一般に(generically)、左 側の処理モジュール805は電気化学的銅析出に対して該ウエーハの前処理(pr
e-processing)及び後処理(post-processing)で使用される処理ヘッドを有す るサポートモジュール(support module)を構成する。該右側のモジュール81
0は一般に、メッキ用モジュールを構成しそして電気化学的銅析出に専用化され
た5つの反応器ヘッド(reactor head)を含んでいる。図11の実施例では、そ
れが該装置で処理される時各ウエーハの厚さ固有の配向を保証するように(to e
nsure thickness proper orientation)ウエーハ整合ステーション850が備え
付けられている。ウエーハの整合は各ウエーハ上の位置合わせマーク等(regist
ration mark or the like)の検出に基づいている。
FIG. 11 illustrates one way of arranging the processing heads in the apparatus 10.
In this embodiment, the processing module 805 on the left is an electrochemical
three processing heads dedicated to rinsing and drying each wafer after cal deposition) and two processing heads for performing the wetting of the wafer prior to electrochemical deposition; Consists of Generically, the left processing module 805 pre-treats the wafer against electrochemical copper deposition (pr
Configure a support module with a processing head used for e-processing and post-processing. The right module 81
O generally comprises five reactor heads that make up the plating module and are dedicated to electrochemical copper deposition. In the embodiment of FIG. 11, to ensure a unique orientation of the thickness of each wafer when it is processed in the apparatus (to e
nsure thickness proper orientation) A wafer alignment station 850 is provided. The alignment of wafers can be done by using the registration mark on each wafer (regist
ration mark or the like).

【0047】 図12と13はそれぞれ左側及び右側処理モジュール805と810の実施例
を図解している。これらの図で、それぞれのハウジングの外部部分は取り除かれ
それにより種々のシステム部品が露出している。好ましくは、電力供給部、制御
器等の様な電子部品は該処理モジュール805,810の各々の上部部分内に配
置され、一方移動する部品等は該処理モジュールの各々の下部部分内に配置され
るのがよい。
FIGS. 12 and 13 illustrate embodiments of the left and right processing modules 805 and 810, respectively. In these figures, the outer portions of each housing have been removed, thereby exposing various system components. Preferably, electronic components such as power supplies, controllers, etc. are located in the upper portion of each of the processing modules 805, 810, while moving components, etc., are located in the lower portion of each of the processing modules. Good.

【0048】 図14はそのパネルが取り除かれている該入力モジュール800の装置10の
内部から見た斜視図である。図15は装置10の外部に関して該入力モジュール
800の同様な図を提供している。図解された実施例では、該ウエーハ整合ステ
ーション850とウエーハ整合制御器860が該入力モジュール800内に備え
られている。該ウエーハ搬送システム60を制御するため使用されるロボット制
御器865もその中に配置される。該ウエーハのトラック(track)をそれらが処 理されるように保つために、該入力モジュール800は該ウエーハが各カセット
内にあることを検出する1つ以上のウエーハマッピング(wafer mapping )セン
サ870を備え付けられている。該入力モジュール内800の他の部品にはシス
テム制御コンピユータ875と4軸制御器(four-axis controller)880が含
まれる。該システム制御コンピユータ875は一般に該装置10の全操作を協調
させる責任を負っている。
FIG. 14 is a perspective view from the inside of the device 10 of the input module 800 with its panel removed. FIG. 15 provides a similar view of the input module 800 with respect to the exterior of the device 10. In the illustrated embodiment, the wafer alignment station 850 and wafer alignment controller 860 are provided in the input module 800. A robot controller 865 used to control the wafer transfer system 60 is also located therein. To keep the tracks of the wafer as they are processed, the input module 800 includes one or more wafer mapping sensors 870 that detect that the wafer is in each cassette. It is provided. Other components of the input module 800 include a system control computer 875 and a four-axis controller 880. The system control computer 875 is generally responsible for coordinating all operations of the device 10.

【0049】 半導体ウエーハコンベア 該処理ツール10は該処理ツール10を通して半導体ウエーハを輸送するため
に半導体ウエーハコンベア60を含んでいる。好ましくは、半導体ウエーハコン
ベア60はその間を半導体ウエーハを移送するために処理ツール10内の各ウエ
ーハカセットインターフエースモジュール38,39と各ウエーハ処理モジュー
ル19へアクセスしてもよい。これは両側からの処理モジュールを含んでいる。
Semiconductor Wafer Conveyor The processing tool 10 includes a semiconductor wafer conveyor 60 for transporting semiconductor wafers through the processing tool 10. Preferably, the semiconductor wafer conveyor 60 may access each wafer cassette interface module 38, 39 and each wafer processing module 19 in the processing tool 10 to transfer the semiconductor wafer therebetween. This includes processing modules from both sides.

【0050】 ウエーハコンベアシステム60の1実施例が図16に描かれている。該ウエー
ハコンベア60は枠(frame)65に設置された長いスパイン(spine)又はレー
ルを有するのが好ましいウエーハ輸送ユニットガイド66を備えている。代わり
に、輸送ユニットガイド66は該ウエーハ輸送ユニット62,64をその上でガ
イドするためにトラック(track)又は他のどんな形状で形成されてもよい。ウ エーハコンベア60の長さは種々であってもよく各インターフエースモジュール
38,39及び処理モジュール20,22,24への該ウエーハ輸送ユニット6
2,64のアクセスを可能とするように構成されてもよい。
One embodiment of the wafer conveyor system 60 is depicted in FIG. The wafer conveyor 60 includes a wafer transport unit guide 66, which preferably has a long spine or rail mounted on a frame 65. Alternatively, the transport unit guide 66 may be formed in a track or any other shape to guide the wafer transport units 62, 64 thereon. The length of the wafer conveyor 60 may vary, and the wafer transport unit 6 to each interface module 38,39 and processing module 20,22,24.
It may be configured to allow 2, 64 accesses.

【0051】 ウエーハ輸送ユニットガイド66はそれと結合されたウエーハ輸送ユニット6
2,64の移動パス68,70を形成する。図16を参照すると、輸送ユニット
ガイド66のスパインはその相対する側に設置されたガイドレール63,64を
含んでいる。各半導体ウエーハ輸送ユニット62,64は好ましくはそれぞれの
ガイドレール63,64と係合するのがよい。各ガイドレールは1つ以上の輸送
ユニット62,64を載せる(mount)ことが出来る。それに対する該輸送ユニ ット62,64の安定性を提供しガイド66を摩耗から守るためにエクステンシ
ョン69,75がガイド66の相対する側に固定されてもよい。各ウエーハ輸送
ユニット62,64はガイド66のそれぞれのエクステンション69,75に沿
って乗るよう構成されたローラ77を含んでいる。
The wafer transport unit guide 66 is connected to the wafer transport unit 6
2, 64 moving paths 68, 70 are formed. Referring to FIG. 16, the spine of the transport unit guide 66 includes guide rails 63 and 64 installed on opposite sides thereof. Each semiconductor wafer transport unit 62, 64 is preferably engaged with a respective guide rail 63, 64. Each guide rail can mount one or more transport units 62,64. Extensions 69, 75 may be secured to opposing sides of the guide 66 to provide stability for the transport units 62, 64 thereto and to protect the guide 66 from wear. Each wafer transport unit 62, 64 includes a roller 77 configured to ride along a respective extension 69, 75 of a guide 66.

【0052】 ウエーハコンベア60は処理ツール10内のインターフエースモジュール38
,39と処理モジュール20,22,24の配置に依る交互の構成で形成されて
もよいことは理解されるべきである。ダクト58,59は、各ウエーハ処理モジ
ュール19からのエクステンション及び該処理ツール10の作業空間11からの
循環空気を除去する排気フアンと流体的連通関係にあるのが好ましい。
The wafer conveyor 60 is connected to the interface module 38 in the processing tool 10.
, 39 and the processing modules 20, 22, 24 may be formed in an alternating configuration. The ducts 58, 59 are preferably in fluid communication with an exhaust fan that removes extensions from each wafer processing module 19 and circulating air from the work space 11 of the processing tool 10.

【0053】 各ウエーハ輸送ユニット62,64は適当なドライバーによりそれぞれのパス
68,70に沿って動力を与えられている。更に特定すると、ウエーハ輸送ユニ
ット62,64の該輸送ユニットガイド66に沿っての制御可能な軸方向運動を
提供するために輸送ユニットガイド66のそれぞれの側にドライブオペレータ7
1,74が設置されている。
Each wafer transport unit 62, 64 is powered along a respective path 68, 70 by a suitable driver. More specifically, a drive operator 7 on each side of the transport unit guide 66 to provide controllable axial movement of the wafer transport units 62, 64 along the transport unit guide 66.
1,74 are installed.

【0054】 該ドライブオペレータ71,74は、ガイド66に沿ってのウエーハ輸送ユニ
ット62,64の精密な位置付けを提供するための磁気的リニアモータ(linear
magnetic motor)であってもよい。特に、ドライブオペレータ71,74は好 ましくはブラシレス直流リニアモータであるのがよい。この様な好ましいドライ
ブオペレータ71,74は該輸送ユニットガイド66に沿って該ユニットを推進
するために該ウエーハ輸送ユニット62,64上に設置されたそれぞれの電磁石
79と磁気的に相互作用する1連の或る角度に置かれた磁気的セグメント(a se
ries of angled magnetic segments)を使用している。
The drive operators 71, 74 provide a magnetic linear motor for providing precise positioning of the wafer transport units 62, 64 along guides 66.
magnetic motor). In particular, the drive operators 71 and 74 are preferably brushless DC linear motors. Such a preferred drive operator 71, 74 has a series of magnetically interacting electromagnets 79 mounted on the wafer transport units 62, 64 to propel the unit along the transport unit guide 66. A magnetic segment (a se
ries of angled magnetic segments).

【0055】 ケーブルガード72,73が、通信用及び電力用ケーブルをその中で保護する
ためにそれぞれのウエーハ輸送ユニット62,64と枠65とに結合されている
。ケーブルガード72,73は、輸送ユニットガイド66に沿ったウエーハ輸送
ユニット62、64の全範囲の運動を可能にするために複数の相互接続されたセ
グメントを含んでいる。
Cable guards 72, 73 are coupled to the respective wafer transport units 62, 64 and frame 65 to protect communication and power cables therein. The cable guards 72, 73 include a plurality of interconnected segments to allow for full range movement of the wafer transport units 62, 64 along the transport unit guide 66.

【0056】 図17に示す様に、第1のウエーハ輸送ユニット62はガイド66のスパイン
の第1の側に結合されている。各ウエーハ輸送ユニット62,64はリニアガイ
ドレール63,64との係合のためにリニアベアリング76を含んでいる。更に
、該ウエーハ輸送ユニット62,64は各々が、該ガイド66のスパイン上に形
成されたエクステンション69と係合しそして安定性をもたらすために水平ロー
ラ77を含んでいるのが好ましい。
As shown in FIG. 17, a first wafer transport unit 62 is coupled to a first side of the spine of a guide 66. Each wafer transport unit 62, 64 includes a linear bearing 76 for engagement with a linear guide rail 63, 64. In addition, the wafer transport units 62, 64 preferably each include a horizontal roller 77 to engage and provide stability with extensions 69 formed on the spines of the guide 66.

【0057】 加えて図17はドライブアクチュエータ71と磁気的に相互作用する位置に設
置された該第1のウエーハ輸送ユニット62の電磁石79を示している。ドライ
ブアクチュエータ71と電磁石79は該輸送ユニットガイド66に沿う該ウエー
ハ輸送ユニット62,64の軸方向運動と方向制御とをもたらしている。
FIG. 17 additionally shows the electromagnet 79 of the first wafer transport unit 62 installed at a position that magnetically interacts with the drive actuator 71. Drive actuator 71 and electromagnet 79 provide axial movement and directional control of the wafer transport units 62, 64 along the transport unit guide 66.

【0058】 半導体ウエーハ輸送ユニット ウエーハコンベア60の半導体ウエーハ輸送ユニット62,64の好ましい実
施例を図18と図19を参照して説明する。
Semiconductor Wafer Transport Unit A preferred embodiment of the semiconductor wafer transport units 62 and 64 of the wafer conveyor 60 will be described with reference to FIGS.

【0059】 一般に、各ウエーハ輸送ユニット62,64は、該輸送ユニットガイド66の
それぞれの側に結合された移動可能なキャリッジ(movable carriage)すなわち
トラム(tram)84と、半導体ウエーハWを支持するために該トラム84に移動
可能に連結されたウエーハ移送アーム組立体86と、そしてトラム84に対して
該移送アーム組立体86の上昇を調節するためのウエーハ移送アームエレベータ
90とを含んでいる。
In general, each wafer transport unit 62, 64 supports a movable carriage or tram 84 coupled to a respective side of the transport unit guide 66 and a semiconductor wafer W. A wafer transfer arm assembly 86 movably connected to the tram 84; and a wafer transfer arm elevator 90 for adjusting the elevation of the transfer arm assembly 86 relative to the tram 84.

【0060】 図18を参照すると、カバー85はトラム84の該輸送ユニットガイド66か
ら遠くへ面する部分を囲んでいる。トラム84は、輸送ユニットガイド66に設
置されたそれぞれのガイドレール63,64と係合するためにリニアベアリング
76を含んでいる。リニアベアリング76は該輸送ユニットガイド66と固定し
た関係で該トラム84を保持しそして該トラム84のそれに沿った軸方向運動を
可能にしている。ローラ77は、ガイドレール63,64の周りのトラム84の
回転を防止しそしてウエーハ輸送ユニット62の安定性を供給するためにそれぞ
れのエクステンション69と係合している。該電磁石79も、それぞれの輸送ユ
ニット62,64のドライブアクチュエータ71,74と磁気的に相互作用する
様な位置で該トラム84と連結されるよう図示されている。
Referring to FIG. 18, a cover 85 surrounds the portion of the tram 84 facing away from the transport unit guide 66. Tram 84 includes linear bearings 76 for engaging respective guide rails 63, 64 mounted on transport unit guide 66. Linear bearings 76 hold the tram 84 in fixed relation to the transport unit guide 66 and allow axial movement of the tram 84 along it. Rollers 77 are engaged with respective extensions 69 to prevent rotation of the tram 84 about the guide rails 63, 64 and to provide stability of the wafer transport unit 62. The electromagnet 79 is also shown coupled to the tram 84 at a location that magnetically interacts with the drive actuators 71, 74 of the respective transport units 62, 64.

【0061】 ウエーハ移送アーム組立体86はトラム84の頂部の上に伸びている。該ウエ
ーハ移送アーム組立体86はシャフト83とその第1の端部で結合された第1の
アームエクステンション87を含んでもよい。第2のアームエクステンション8
8は該第1のエクステンション87の第2の端部と有利に結合されている。該第
1のアームエクステンション87はシャフト83の周りに360度回転しそして
第2のアームエクステンション88は第1及び第2アームエクステンション87
,88を結ぶシャフトを通して通過する軸線82の周りに360度回転してもよ
い。
The wafer transfer arm assembly 86 extends above the top of the tram 84. The wafer transfer arm assembly 86 may include a shaft 83 and a first arm extension 87 coupled at a first end thereof. Second arm extension 8
8 is advantageously connected to the second end of the first extension 87. The first arm extension 87 rotates 360 degrees about the shaft 83 and the second arm extension 88 comprises the first and second arm extensions 87.
, 88 may be rotated 360 degrees about an axis 82 passing through the shaft connecting them.

【0062】 好ましくは第2のエクステンション88は、ウエーハコンベア60に沿っての
その輸送中に半導体ウエーハWを支持するためにウエーハ支持部89をその遠位
の端部に備えているのがよい。好ましくは該移送アーム組立体86は、真空をそ
れに印加しそして半導体ウエーハWをその上に保持するために該ウエーハ支持部
89と結合された室を含むのがよい。
Preferably, the second extension 88 is provided with a wafer support 89 at its distal end for supporting the semiconductor wafer W during its transport along the wafer conveyor 60. Preferably, the transfer arm assembly 86 includes a chamber coupled to the wafer support 89 for applying a vacuum thereto and holding a semiconductor wafer W thereon.

【0063】 移送アーム組立体86の調整可能な上昇と、第1のアームエクステンション8
7のシャフト83の軸線周りの回転と、そして第2のエクステンション88の軸
線82の周りの回転とを備えることは該移送アーム86が全ての処理モジュール
19の各半導体ウエーハホルダー810と該処理ツール10内のインターフエー
スモジュール38,39により保持された該ウエーハカセット16の各々にアク
セスすることを可能にする。この様なアクセスは該半導体ウエーハ輸送ユニット
62,64が半導体ウエーハをその間で移送することを可能にする。
Adjustable lifting of the transfer arm assembly 86 and the first arm extension 8
7 with rotation about the axis of the shaft 83 and rotation of the second extension 88 about the axis 82, so that the transfer arm 86 has the respective semiconductor wafer holders 810 of all the processing modules 19 and the processing tool 10. Allows access to each of the wafer cassettes 16 held by the interface modules 38, 39 therein. Such access allows the semiconductor wafer transport units 62, 64 to transfer semiconductor wafers therebetween.

【0064】 該カバー85は、トラム84及び移送アーム組立体86と結合されたウエーハ
移送アームエレベータ90を表すために、図19で示す該ウエーハ輸送ユニット
からは取り外されている。移送アームエレベータ90は、該ウエーハ支持部89
とウエーハホルダー810の1つと該ウエーハカセット16との間を半導体ウエ
ーハを移送する過程中で該移送アーム組立体86の該トラム84に対する垂直位
置を調整する。
The cover 85 has been removed from the wafer transport unit shown in FIG. 19 to represent a wafer transport arm elevator 90 coupled with a tram 84 and a transport arm assembly 86. The transfer arm elevator 90 is connected to the wafer support 89
The vertical position of the transfer arm assembly 86 with respect to the tram 84 is adjusted during the process of transferring the semiconductor wafer between the wafer cassette 16 and one of the wafer holders 810 and the wafer cassette 16.

【0065】 該輸送ユニットガイド66に沿っての各ウエーハ輸送ユニット62,64のト
ラム84のパス位置は図19のシ−シーデー(CCD)アレー91の様な、位置を 示すアレーを使用して精密に制御される。該処理ツール10の1実施例では、処
理モジュール19内の各半導体ウエーハホルダー810は、光ビームを該輸送ユ
ニットガイド66に向かって向けるために図2に示す様に該処理モジュール19
の表面上に設置された対応する光又は他のビームの放射器(emitter)81を有 する。該光放射器81は連続的なビームを表しても或いは代わりにウエーハ輸送
ユニット62,64がそれぞれのウエーハホルダー810に近付く時にビームを
発生するよう構成されてもよい。
The path position of the tram 84 of each of the wafer transport units 62 and 64 along the transport unit guide 66 is precisely determined using a position indicating array, such as a CCD array 91 shown in FIG. Is controlled. In one embodiment of the processing tool 10, each semiconductor wafer holder 810 in the processing module 19 is adapted to direct a light beam toward the transport unit guide 66 as shown in FIG.
Have a corresponding light or other beam emitter 81 mounted on the surface of the light source. The light emitter 81 may represent a continuous beam or, alternatively, may be configured to generate a beam as the wafer transport units 62, 64 approach their respective wafer holders 810.

【0066】 該移送アーム組立体86は光放射器81により発生された該レーザビームを受
けるよう位置付けられたシーシーデー(CCD)アレー91を含んでいる。シャフ ト83上の位置表示アレー91は輸送ユニットガイド66に沿ったトラム84の
位置を測定する(determine)ために該光ビームの存在を検出する。該ウエーハ 輸送ユニット位置表示部の位置精度は好ましくは約0.1ミリメートルより小さ
い(0.003インチより小さい)範囲にあるのがよい。
The transfer arm assembly 86 includes a CCD (CCD) array 91 positioned to receive the laser beam generated by the light emitter 81. A position indicating array 91 on the shaft 83 detects the presence of the light beam to determine the position of the tram 84 along the transport unit guide 66. The position accuracy of the wafer transport unit position indicator is preferably in the range of less than about 0.1 millimeter (less than 0.003 inches).

【0067】 ウエーハ輸送ユニット562bの第2の実施例が図20−25に示されており
そして同様に、該輸送ユニットガイド66のそれぞれの側に結合された移動可能
なキャリッジすなわちトラム584と、半導体ウエーハWを支持するために該ト
ラムに移動可能に連結されたウエーハ移送アーム組立体586と、そしてトラム
584に対して該移送アーム組立体586の上昇を調整するためのウエーハ移送
アームエレベータ590とを備え付けられている。カバー585はトラム584
の部分を囲んでいる。トラム584は輸送ユニットガイド66に設置されたそれ
ぞれのガイドレール63,64と係合するためにリニアベアリング576を含ん
でいる。リニアベアリング576は該トラム584を該輸送ユニットガイド66
に対して固定した関係に保持しそして該トラム584のそれに沿った軸方向運動
を可能にしている。電磁石579はアクチュエータ71,74を駆動するために
該ガイド66と磁気的に相互作用する。
A second embodiment of a wafer transport unit 562 b is shown in FIGS. 20-25 and likewise, a movable carriage or tram 584 coupled to each side of the transport unit guide 66 and a semiconductor A wafer transfer arm assembly 586 movably connected to the tram for supporting a wafer W; and a wafer transfer arm elevator 590 for adjusting the elevation of the transfer arm assembly 586 relative to the tram 584. It is provided. Cover 585 is tram 584
The part is surrounded. Tram 584 includes linear bearings 576 for engaging respective guide rails 63, 64 mounted on transport unit guide 66. The linear bearing 576 connects the tram 584 to the transport unit guide 66.
To maintain a fixed relationship with respect to and allow axial movement of the tram 584 along it. An electromagnet 579 magnetically interacts with the guide 66 to drive the actuators 71,74.

【0068】 ウエーハ移送アーム組立体586はトラム584の頂部の上に伸びている。該
ウエーハ移送アーム組立体586はその第1の端部でシャフト583と結合され
た第1のア−ムエクステンション587を含んでいる。該半導体ウエーハWを支
持するためにウエーハ支持部589を有する、第2のアームエクステンション5
88は該第1のエクステンション587の第2の端部と結合されているのが有利
である。該第1のアームエクステンション587はシャフト583の周りに36
0度回転しそして第2のアームエクステンション588は第1及び第2アームエ
クステンション587,588を連結するシャフトを通過する軸線582の周り
に360度回転してもよい。
A wafer transfer arm assembly 586 extends above the top of tram 584. The wafer transfer arm assembly 586 includes a first arm extension 587 coupled at a first end thereof to a shaft 583. A second arm extension 5 having a wafer support 589 for supporting the semiconductor wafer W;
88 is advantageously coupled to the second end of the first extension 587. The first arm extension 587 has a 36
The second arm extension 588 may rotate 360 degrees about an axis 582 passing through a shaft connecting the first and second arm extensions 587, 588.

【0069】 第1の実施例に於ける様に、移送アーム組立体586の調節可能な上昇と、シ
ャフト583の軸線の周りの第1のアームエクステンション587の回転と、そ
して軸線582の周りの第2のエクステンション588の回転とを備えることは
該半導体ウエーハ輸送ユニット562a、562bにそれら間を半導体ウエーハ
を移送することを可能にする。
As in the first embodiment, an adjustable elevation of the transfer arm assembly 586, a rotation of the first arm extension 587 about the axis of the shaft 583, and a second rotation about the axis 582. Having two rotations of the extension 588 allows the semiconductor wafer transport units 562a, 562b to transport semiconductor wafers therebetween.

【0070】 図21に示す様に、カバー585が該ウエーハ輸送ユニット562bから取り
除かれ、トラム584と結合されたウエーハ移送アームエレベータ590と移送
アーム組立体586が現れている。移送アームエレベータ590は半導体ウエー
ハの移送中、該トラム584に対する該移送アーム組立体586の垂直位置を調
整する。
As shown in FIG. 21, cover 585 has been removed from wafer transport unit 562 b, revealing wafer transfer arm elevator 590 and transfer arm assembly 586 coupled to tram 584. Transfer arm elevator 590 adjusts the vertical position of transfer arm assembly 586 relative to tram 584 during transfer of the semiconductor wafer.

【0071】 該ウエーハ輸送ユニット562a、562bの第2の実施例では、光フアイバ
ーのフイラメント(a fiber optic filament)の様な、光フアイバー通信路が、
該ウエーハ輸送ユニット562a、562bの各々上のDA変換器基板540を
通る該ウエーハ輸送ユニットへのワイヤ72,73に取って代わっている。ワイ
ヤハーネスに相対しての光フアイバーの使用は該輸送ユニット562a,562
bの慣性質量を低下させそして信頼性を改善する。好ましくは、この様な通信は
該移送ユニットと該システム制御器875間で行われるのがよい。
In a second embodiment of the wafer transport units 562a, 562b, an optical fiber communication path, such as a fiber optic filament, is provided.
It has replaced the wires 72, 73 to the wafer transport unit through the DA converter substrate 540 on each of the wafer transport units 562a, 562b. The use of fiber optics relative to the wire harness is based on the transport units 562a, 562.
reduce the inertial mass of b and improve reliability. Preferably, such communication occurs between the transfer unit and the system controller 875.

【0072】 該輸送ユニットガイド66に沿った各ウエーハ輸送ユニット562a、562
bの該トラム584のパスと操作位置は、3軸空間での、該トラム584と、移
送アーム組立体586と、そして第2エクステンション588との位置に関する
位置情報を供給するエンコ−ダの組み合わせを使用して精密に制御される。その
位置が591で示される絶対エンコーダは該エレベータ590内に配置される。
1つの絶対エンコ−ダ、テーピーオーダブリュー(TPOW)は592で示され、該
シャフト583のベースモータ593内に配置されている。1つの絶対エンコ−
ダ、テーピーオーダブリュー(TPOW)が594で示され、該シャフト583内に
配置される。その位置が595で示されるリスト絶対エンコーダ(wrist absolu
te encoder)は、移送アーム組立体586の遠位の端部に配置される。エルボー
(elbow absolute encoder)絶対エンコーダ、テーピーオーダブリューアイエス
エイ(TPOWISA)、597は該シャフト583のベースに備え付けられる。リフ ト絶対エンコーダ596は該ベースモータ593に沿って配置される。リニアエ
ンコーダ598,ヘッドレールエンコーダ599,及びトラックシーデーデー(
CCD)アレー絶対エンコーダ541はトラム584のベースのベースプレート2 03上に配置され、後者は図2に示され上記で説明した様に該処理モジュール1
9の表面上に設置された該ビーム放射器81を検出するために配置されている。
上記は精密で信頼性のある位置精度を可能にする。
Each wafer transport unit 562a, 562 along the transport unit guide 66
The path and operating position of the tram 584 in FIG. 3B is a combination of an encoder that provides position information in triaxial space regarding the position of the tram 584, the transfer arm assembly 586, and the second extension 588. Using precisely controlled. An absolute encoder whose position is indicated by 591 is located in the elevator 590.
One absolute encoder, the tape order brew (TPOW), is shown at 592 and is located within the base motor 593 of the shaft 583. One absolute encoder
A TPOW is shown at 594 and is located within the shaft 583. A wrist absolute encoder whose position is indicated by 595 (wrist absolu
The te encoder is located at the distal end of the transfer arm assembly 586. An elbow absolute encoder, TPOWISA, 597 is mounted on the base of the shaft 583. The lift absolute encoder 596 is arranged along the base motor 593. Linear encoder 598, head rail encoder 599, and track seed data (
A CCD) array absolute encoder 541 is located on the base plate 203 of the base of the tram 584, the latter of which is shown in FIG.
9 to detect the beam radiator 81 installed on the surface.
The above allows for precise and reliable positioning accuracy.

【0073】 該ウエーハ輸送ユニットは図22に示すように設置される。図解されている様
に、ウエーハコンベア560は、枠565に設置された長いスパインすなわちレ
ールを含むウエーハ輸送ユニットガイド566を備えている。ウエーハ輸送ユニ
ットガイド566はウエーハ輸送ユニット544a、544bの運動のパス56
8、570を形成している。輸送ユニットガイド566のスパインはその相対す
る側上に設置された上側ガイドレール563a,564aと下側ガイドレール5
63b、564bを含んでいる。各半導体ウエーハ輸送ユニット544a、54
4bは好ましくはそれぞれの上側ガイドレール563a、564aと下側ガイド
レール563b、564bの各々と係合するのがよい。上側及び下側ガイドレー
ルの対の各々は1つ以上の輸送ユニット544a、544bを搭載することが出
来る。
The wafer transport unit is installed as shown in FIG. As illustrated, the wafer conveyor 560 includes a wafer transport unit guide 566 including long spines or rails mounted on a frame 565. Wafer transport unit guide 566 provides a path 56 for movement of wafer transport units 544a, 544b.
8, 570 are formed. The spines of the transport unit guide 566 are composed of upper guide rails 563a and 564a and lower guide rails 5 installed on opposite sides thereof.
63b and 564b. Each semiconductor wafer transport unit 544a, 54
4b preferably engages each of the upper guide rails 563a, 564a and the lower guide rails 563b, 564b. Each pair of upper and lower guide rails can carry one or more transport units 544a, 544b.

【0074】 又各ウエーハ輸送ユニット544a、544bは、該輸送ユニットガイド56
6に沿ったウエーハ輸送ユニット544a,544bの制御可能な軸方向運動を
提供するために輸送ユニットガイド566のそれぞれの側に設置されたドライブ
オペレータ571,574により該それぞれのパス568,570に沿う動力を
与えられる。該ドライブオペレータ571,574は、ガイド566に沿ったウ
エーハ輸送ユニット544a、544bの精密な位置決めを提供するための磁気
的リニアモータであってもよく、そして又該輸送ユニットガイド566に沿って
該ユニットを推進するために該ウエーハ輸送ユニット544a、544bの各々
上に設置されたそれぞれの電磁石579と磁気的に相互作用する1連の或る角度
に置かれた磁気的セグメントを使用する好ましくはブラシレスの直流リニアモー
タであってもよい。
Each of the wafer transport units 544 a and 544 b is connected to the transport unit guide 56.
6. Power along the respective paths 568, 570 by drive operators 571, 574 located on each side of the transport unit guide 566 to provide controllable axial movement of the wafer transport units 544a, 544b along line 6. Is given. The drive operators 571, 574 may be magnetic linear motors to provide precise positioning of the wafer transport units 544a, 544b along guides 566, and may also include units along the transport unit guides 566. Using a series of angled magnetic segments that magnetically interact with respective electromagnets 579 located on each of the wafer transport units 544a, 544b to drive the It may be a DC linear motor.

【0075】 光フアイバケーブルガード572,573は該それぞれのウエーハ輸送ユニッ
ト544a、544bとの通信を提供しそしてその中に配置された光フアイバケ
ーブルを保護する。ケーブルガード572,573は、輸送ユニットガイド56
6に沿ったウエーハ輸送ユニット544a、544bの全範囲の運動を可能にす
る複数の相互連結されたセグメントを含んでもよい。
The fiber optic cable guards 572, 573 provide communication with the respective wafer transport units 544a, 544b and protect the fiber optic cables disposed therein. The cable guards 572 and 573 are connected to the transport unit guide 56.
6 may include a plurality of interconnected segments that allow for full range movement of the wafer transport units 544a, 544b.

【0076】 図22に示す様に、ウエーハ輸送ユニット544a、544bはガイド566
の該スパインの各側に沿って結合されている。各ウエーハ輸送ユニット544a
、544bは、それぞれ上側リニアガイドレール563a、564aと係合する
ために上側リニアベアリング576aを含んでいる。更に、各ウエーハ輸送ユニ
ット544a、544bは下側リニアガイドレール563b、564bと係合す
る下側リニアベアリング576bを含んでおり、安定性と該レール上への重量負
荷のより良い等分布を提供する。
As shown in FIG. 22, the wafer transport units 544 a and 544 b are provided with guides 566.
Along each side of the spine. Each wafer transport unit 544a
, 544b include upper linear bearings 576a for engaging upper linear guide rails 563a, 564a, respectively. Further, each wafer transport unit 544a, 544b includes a lower linear bearing 576b that engages a lower linear guide rail 563b, 564b to provide stability and a better equal distribution of the weight load on the rail. .

【0077】 図22−24を参照すると、該上側及び下側リニアベアリング576a、57
6bもトラム584の頂部上に伸びる該ウエーハ移送アーム組立体586の垂直
軸がそれにより調節される手段を供給する。該処理ツール10内でのウエーハの
移送中に該移送アーム組立体586が該絶対水平平面に出来るだけ近い平面内で
回転することが重要である。この目的で、該輸送ユニット544aのベースプレ
ート203に設置された、図25に示す、該移送アーム組立体の下側エルボーハ
ウジング210はチルト調節作用を備えている。
Referring to FIGS. 22-24, the upper and lower linear bearings 576a, 57
6b also provides a means by which the vertical axis of the wafer transfer arm assembly 586 extending above the top of the tram 584 is adjusted. It is important that during transfer of a wafer within the processing tool 10, the transfer arm assembly 586 rotate in a plane as close as possible to the absolute horizontal plane. To this end, the lower elbow housing 210 of the transfer arm assembly, shown in FIG. 25, mounted on the base plate 203 of the transport unit 544a has a tilt adjustment effect.

【0078】 該下側エルボーハウジング210は、図21,23及び24で見られる様に、
上側設置スクリュー212と下側設置スクリュー214によってベースプレート
211に設置されている。該ベースプレート211は今度は、図25でより良く
見える様に、該移送アーム組立体586を上げ又は下げるために該エレベータモ
ータ590に締結されている。図26で見られる様に、該下側エルボーハウジン
グ210上の対応するが、なお僅かにより小さい横溝(lateral groove)218
と係合する該ベースプレート211上の突き出たピボット(embossed pivot)2
16が該上側設置スクリュー212の間に横に位置付けされている。ベースプレ
ート211と該下側エルボーハウジング210の間で約0.95度のチルト動作
が可能なように両者間の隙間を供給するよう該ピボット216は、該横溝218
に対し、寸法を与えられるのが好ましい。1つ以上のレベル合わせスクリュー2
20及び該上側及び下側設置スクリュー212,214とを組み合わせて該下側
エルボーハウジング210と、該取り付けられた移送アーム組立体586の角度
的配向は、該処理ツール10内のウエーハの移送中に該絶対水平平面内で出来る
だけ近い該移送アーム組立体586の回転を提供するように調節され固定される
ことが可能である。
The lower elbow housing 210 can be seen in FIGS.
It is installed on the base plate 211 by an upper installation screw 212 and a lower installation screw 214. The base plate 211 is now fastened to the elevator motor 590 to raise or lower the transfer arm assembly 586, as better visible in FIG. As seen in FIG. 26, a corresponding, but slightly smaller, lateral groove 218 on the lower elbow housing 210
Embossed pivot 2 on the base plate 211 which engages with
16 are positioned laterally between the upper mounting screws 212. The pivot 216 is provided with the lateral groove 218 so as to provide a gap between the base plate 211 and the lower elbow housing 210 so that a tilt operation of about 0.95 degrees is possible between the base plate 211 and the lower elbow housing 210.
Is preferably given a dimension. One or more leveling screws 2
20 and the upper and lower mounting screws 212, 214 in combination, the lower elbow housing 210, and the angular orientation of the attached transfer arm assembly 586, during transfer of a wafer in the processing tool 10. The transfer arm assembly 586 can be adjusted and secured to provide rotation of the transfer arm assembly 586 as close as possible in the absolute horizontal plane.

【0079】 又、該ガイド566に沿った該ウエーハ輸送ユニット544a、544bのス
ムーズな操作には該下側リニアベアリングガイド576bの従動的な(complian
t)取り付けが重要である。下側ギアリング(gearing)ガイド576bで、好ま
しくは約2.54ミリメートル(0.100インチ)の浮き(float)を許容す る、この様な従動的な取り付けを備えることは従動的な締結技術の使用により得
られる。フロートピン221が、該フロートピンの付近に位置付けされた、好ま
しくはバイトン(VITON)の、Oリング223を伴って、設置スクリュー222 の付近に位置付けされる。図28に示す様に、該ベースプレート203の肩付き
深座ぐり孔(shouldered counterbore)224内で下側ベアリングガイド576
bのタップ加工(tapped)孔227内へ据え付けられた時、該スクリュー222
は該フロートピン221のフランジに対し向かい、該ピンは今度は該Oリング2
23に向かう。該Oリング223は次いで該深座ぐり孔の該肩226に対向する
。しかしながら、例え該スクリュー222が締め付けられても、該ガイド566
の全体の上でスムーズな運動を容易化するために該下側ベアリングガイド576
bと該ベースプレート203との間で相対運動が可能である。
In addition, the smooth operation of the wafer transport units 544a, 544b along the guide 566 is achieved by the driven operation of the lower linear bearing guide 576b.
t) Mounting is important. Providing such a passive attachment with the lower gearing guide 576b, preferably allowing a float of about 0.100 inches, is a passive fastening technique. Obtained by the use of A float pin 221 is positioned near the mounting screw 222, with an O-ring 223, preferably VITON, positioned near the float pin. As shown in FIG. 28, a lower bearing guide 576 is provided in a shouldered counterbore 224 of the base plate 203.
b when installed in the tapped hole 227 of FIG.
Faces the flange of the float pin 221 and the pin is now
Head to 23. The O-ring 223 then faces the shoulder 226 of the counterbore. However, even if the screw 222 is tightened, the guide 566
The lower bearing guide 576 to facilitate smooth movement over the entire
A relative movement between b and the base plate 203 is possible.

【0080】 制御システム 図26を参照すると、該半導体ウエーハ処理ツール10の制御システム100
の1実施例が示されている。図解されている様に、該制御システム100は一般
に該処理ツール10の該全体の機能を制御及び/又はモニターするための少なく
とも1つのグランドマスター制御器101を具備している。
Control System Referring to FIG. 26, a control system 100 of the semiconductor wafer processing tool 10 is described.
Is shown. As illustrated, the control system 100 generally includes at least one grandmaster controller 101 for controlling and / or monitoring the overall function of the processing tool 10.

【0081】 該制御システム100は好ましくは階層式の構成で(in hierarchial configu
ration)配備されるのがよい。該グランドマスター制御器101は図26に示す
様に複数のサブシステム制御ユニットと電気的に結合されたプロセサーを備えて
いる。該制御サブシステムは好ましくは該対応する装置(すなわち、ウエーハコ
ンベア60,処理モジュール20,22,24、インターフエースモジュール3
8,39等)の部品の操作を制御しモニターするのがよい。該制御サブシステム
は好ましくは、それぞれのグランドマスター制御器101,102からのソフト
ウエアコードの様な命令コマンド(instructional command)又は動作命令(ope
ration instruction)を受信するよう構成されるのがよい。該制御サブシステム
110,113−119は好ましくはそれぞれのグランドマスター制御器101
,102に処理及びステイタス情報(status information)を提供するのがよい
The control system 100 is preferably in a hierarchical configuration (in hierarchial configu
ration) should be deployed. The grand master controller 101 has a processor electrically connected to a plurality of subsystem control units as shown in FIG. The control subsystem preferably includes the corresponding equipment (ie, wafer conveyor 60, processing modules 20, 22, 24, interface module 3).
8, 39, etc.) should be controlled and monitored. The control subsystem is preferably an instructional command such as software code from each respective grandmaster controller 101, 102 or an operating instruction (ope).
ration instruction). The control subsystems 110, 113-119 preferably have respective grandmaster controllers 101.
, 102 may be provided with processing and status information.

【0082】 更に特定すると、該グランドマスター制御器101は該半導体ウエーハインタ
ーフエースモジュール38.39の各々を制御するインターフエースモジュール
制御器110と結合されている。更に、グランドマスター制御器101は、該ウ
エーハコンベア60の操作を制御するためにコンベア制御器113とそして該処
理ツール10内の半導体ウエーハ処理モジュール20,22と対応する複数の処
理モジュール制御器114,115と接続されている。本開示の該処理ツール1
0の制御システム100は、追加的処理モジュール制御器119を介して追加的
ウエーハ処理モジュールの様な、追加的サブシステムをモニター又は操作するた
めに図26に示す追加的グランドマスター制御器102を含んでもよい。好まし
くは4つの制御サブシステムが各グランドマスター制御器101,102に接続
されるのがよい。該グランドマスター制御器101,102は好ましくは一緒に
接続され各々が相手に処理データを転送するのがよい。
More specifically, the grand master controller 101 is coupled to an interface module controller 110 that controls each of the semiconductor wafer interface modules 38.39. Further, the grand master controller 101 includes a conveyor controller 113 for controlling the operation of the wafer conveyor 60, and a plurality of processing module controllers 114 corresponding to the semiconductor wafer processing modules 20, 22 in the processing tool 10. 115. The processing tool 1 of the present disclosure
0 control system 100 includes an additional grandmaster controller 102 shown in FIG. 26 to monitor or operate additional subsystems, such as additional wafer processing modules via an additional processing module controller 119. May be. Preferably, four control subsystems are connected to each grandmaster controller 101,102. The grandmaster controllers 101, 102 are preferably connected together and each transfer processing data to the other.

【0083】 各グランドマスター制御器101,102はデータを受信しそれらをそれぞれ
のモジュール化された制御サブシステム110−119へ送信する。該制御シス
テム100の好ましい実施例では、双方向性のメモリーマップドデバイス(bidi
rectional memory mapped device)が該グランドマスター制御器とそれに接続さ
れた各モジュール化されたサブシステムの間に備え付けられている。特に、メモ
リーマップドデバイス160、161,162は該グランドマスター制御器10
1と、それぞれのインターフエースモジュール制御器110内、ウエーハコンベ
ア制御器113内、そして処理モジュール制御器114内のマスター制御器13
0,131,132との間に備え付けられている。
Each grandmaster controller 101, 102 receives data and sends them to their respective modularized control subsystems 110-119. In a preferred embodiment of the control system 100, a bidirectional memory mapped device (bidi
A recombination memory mapped device is provided between the grandmaster controller and each modularized subsystem connected to it. In particular, the memory-mapped devices 160, 161, 162
1 and the master controller 13 in each interface module controller 110, the wafer conveyor controller 113, and the processing module controller 114.
0, 131, and 132.

【0084】 該制御システム100内の各メモリーマップドデバイス150,160−16
2は好ましくは同期的にデータを記憶するためにサイプレス社(Cypress)より 供給されるデュアルポートラム(dual port RAM)がよい。特に、グランドマス ター制御器101はマスター制御器130に対応するメモリー位置にデータを書
きそしてマスター制御器130は同時に該データを読んでもよい。代わりに、グ
ランドマスター制御器101は該マスター制御器130により書かれつつあるマ
ップドメモリーデバイス(mapped memory device)からのデータを読んでもよい
。メモリーマップドデバイス160−161を使用することはプロセサー速度で
のデータ転送を提供する。メモリーマップドデバイス150は好ましくはユーザ
ーインターフエース30と該グランドマスター制御器101,102の間にそれ
ら間のデータ転送用として備えられのがよい。
Each memory-mapped device 150, 160-16 in the control system 100
2 is preferably a dual port RAM supplied by Cypress to store data synchronously. In particular, the ground master controller 101 may write data to a memory location corresponding to the master controller 130 and the master controller 130 may read the data at the same time. Alternatively, the grandmaster controller 101 may read data from a mapped memory device that is being written by the master controller 130. Using memory mapped devices 160-161 provides for data transfer at processor speed. A memory-mapped device 150 is preferably provided between the user interface 30 and the grandmaster controllers 101, 102 for transferring data therebetween.

【0085】 好ましくはユーザーインターフエース30は該グランドマスター制御器101
,102の各々と接続されるのがよい。該ユーザーインターフエース30は、オ
ペレータ(operator)に該処理ツール10の処理及びステイタスの情報を供給す
るために該処理ツール10の外部(exterior)に或いは遠隔地に設置されるのが
有利である。加えて、オペレータはユーザーインターフエース30を経由して該
処理ツール10用に制御順序(control sequences)と処理指令(processing di
rectives)を入力してもよい。該ユーザーインターフエース30は該処理ツール
10内の汎用コンピユータにより支援(supported)されるのが好ましい。好ま しくは該汎用コンピユータは486型の100MHzのクロック速度のプロセサ
ーを含むのがよいが、他のプロセサーが使用されてもよい。
Preferably, the user interface 30 is connected to the grandmaster controller 101.
, 102 are connected. The user interface 30 is advantageously located external to the processing tool 10 or at a remote location to provide information on the processing and status of the processing tool 10 to an operator. In addition, the operator can control sequences and processing instructions for the processing tool 10 via the user interface 30.
rectives). Preferably, the user interface 30 is supported by a general purpose computer in the processing tool 10. Preferably, the general-purpose computer includes a type 486, 100 MHz clock speed processor, although other processors may be used.

【0086】 インターフエースモジュール制御器110、ウエーハコンベア制御器113そ
して各処理モジュール制御器114−119を含む、各モジュール化された制御
サブシステムは好ましくはマスター/スレーブ配備で構成されるのがよい。該モ
ジュール化された制御サブシステム110,113−119はウエーハインター
フエースモジュール38,39、ウエーハコンベア60,又は該処理モジュール
20,22,24の各々の様な該それぞれのモジュール内に収容されるのが好ま
しい。該グランドマスター制御器101とそれに接続される対応するマスター制
御器130,131,132は好ましくは該汎用コンピユータが支援するユーザ
ーインターフエース30内に設置されたプリント回路基板又はアイエスエイ(IS
A)基板上で実現されるのがよい。各グランドマスター制御器101,102は モトローラ社(Motorola)から供給される68イーシー000(68EC000)プロ セサーを含みそして各マスター制御器130と制御システム100内のスレーブ
制御器はインテル社(Intel)により供給される80251プロセサーを含むの が好ましい。
Each modularized control subsystem, including interface module controller 110, wafer conveyor controller 113, and each processing module controller 114-119, is preferably configured in a master / slave arrangement. The modularized control subsystems 110, 113-119 are housed in respective modules such as wafer interface modules 38, 39, wafer conveyor 60, or each of the processing modules 20, 22, 24. Is preferred. The grand master controller 101 and the corresponding master controllers 130, 131, 132 connected thereto are preferably a printed circuit board or IS (IS) installed in the user interface 30 supported by the general purpose computer.
A) It is preferably implemented on a substrate. Each grandmaster controller 101, 102 includes a 68EC000 processor supplied by Motorola, and each master controller 130 and slave controller in control system 100 are provided by Intel. It preferably includes the supplied 80251 processor.

【0087】 図27−30に示す様に各マスター制御器130,131、132はデータリ
ンク126,127,129を経由してそのそれぞれのスレーブ制御器と接続さ
れている。各データリンク126,127,129は好ましくはヒューレットパ
ッカード社(Hewlett Packard)により供給されるオプチリンク(Optilink)の 様な光学式データ媒体(optical data medium)を含むのがよい。しかしながら 、データリンク126,127,129は代わりのデータ転送媒体を含んでもよ
い。
As shown in FIGS. 27-30, each master controller 130, 131, 132 is connected to its respective slave controller via data links 126, 127, 129. Each data link 126, 127, 129 preferably includes an optical data medium such as an Optilink supplied by Hewlett Packard. However, data links 126, 127, 129 may include alternative data transfer media.

【0088】 図27を参照すると、該インターフエースモジュール制御器110用の該マス
ター/スレーブ制御サブシステムが図解されている。各マスターと関連するスレ
ーブとの構成は好ましくは該処理ツール10内の1つのモジュール(すなわち、
インターフエース、コンベア、処理)と対応しているのが好ましい。しかしなが
ら、1つのマスターが複数のモジュールを制御又はモニターしてもよい。図27
に描かれそして該インターフエースモジュール制御器110に対応する該マスタ
ー/スレーブ構成は追加的に他のモジュール化された制御サブシステム113,
114,115に適用してもよい。
Referring to FIG. 27, the master / slave control subsystem for the interface module controller 110 is illustrated. The configuration of each master and associated slave is preferably one module within the processing tool 10 (ie,
(Interface, conveyor, processing). However, one master may control or monitor multiple modules. FIG.
The master / slave configuration depicted in and corresponding to the interface module controller 110 additionally includes another modularized control subsystem 113,
114 and 115 may be applied.

【0089】 該グランドマスター制御器101はメモリーマップドデバイス160を経由し
て該対応するインターフエースモジュール制御器110内のマスター制御器13
0に接続される。該マスター制御器130は複数のスレーブ制御器140,14
1,142に接続される。16のスレーブ制御器が1つのマスター制御器130
−132に接属されるのが好ましくそして各スレーブ制御器は1つのモータ又は
処理部品(process component)又は複数のモータと処理部品を制御及びモニタ ーするよう構成されてもよい。
The grand master controller 101 is connected to the master controller 13 in the corresponding interface module controller 110 via the memory mapped device 160.
Connected to 0. The master controller 130 includes a plurality of slave controllers 140 and 14.
1, 142. Sixteen slave controllers become one master controller 130
Preferably, the slave controller is connected to -132 and each slave controller may be configured to control and monitor one motor or process component or multiple motors and process components.

【0090】 該処理ツール10の該制御システム100は好ましくはフラッシメモリーを使
用するのがよい。特定すると、該制御システム100内の各マスター制御器13
0−132とスレーブ制御器140−147を操作するための該動作命令又はプ
ログラムコードは該対応するグランドマスター制御器101のメモリー内に記憶
されるのが有利である。電力が立ち上げられると、該グランドマスター制御器1
01,102は対応するマスター制御器130−132をポールし(poll)そし
て各マスター制御器130−132を操作する適当な動作命令プログラムをダウ
ンロード(doownload)する。同様に、各マスター制御器130−132は識別 用にそれぞれのスレーブ制御器140−147をポールする。その後、該マスタ
ー制御器130−132は該グランドマスター制御器101,102から該マス
ター制御器130−132を経由して該それぞれのスレーブ制御器140−14
7への該適当なプログラムのダウンロードを始動する。
The control system 100 of the processing tool 10 preferably uses a flash memory. When specified, each master controller 13 in the control system 100
The operating instructions or program codes for operating the 0-132 and slave controllers 140-147 are advantageously stored in the memory of the corresponding grand master controller 101. When the power is turned on, the grand master controller 1
01, 102 polls the corresponding master controller 130-132 and downloads the appropriate operating instruction program to operate each master controller 130-132. Similarly, each master controller 130-132 polls its respective slave controller 140-147 for identification. Thereafter, the master controllers 130-132 may be transmitted from the grand master controllers 101, 102 via the master controllers 130-132 to the respective slave controllers 140-14.
7 to start downloading the appropriate program.

【0091】 各スレーブ制御器は、対応する処理モジュール19,インターフエースモジュ
ール38,39そしてウエーハコンベア60内の1つのモータ又は複数のモータ
を制御又はモニターするよう構成されてもよい。加えて、各スレーブ制御器14
0−147はそれぞれのモジュール19内の処理部品184をモニター及び制御
するよう構成されてもよい。図36に示すスレーブ制御器145の様な、何れの
1つのスレーブ制御器もサーボモータと処理部品184を制御及び/又はモニタ
ーするよう構成されてもよい。
Each slave controller may be configured to control or monitor one or more motors in the corresponding processing module 19, interface modules 38, 39 and wafer conveyor 60. In addition, each slave controller 14
0-147 may be configured to monitor and control the processing components 184 in each module 19. Any one slave controller, such as slave controller 145 shown in FIG. 36, may be configured to control and / or monitor the servo motor and processing component 184.

【0092】 各スレーブ制御器は複数のポートインターフエースと接続されたスレーブプロ
セサーを含んでいる。各ポートインターフエースはサーボモータと処理部品18
4を制御及び/又はモニターするため使用されてもよい。例えば、1つのポート
がウエーハ移送ユニット62a、62bを操作するよう構成されたサーボ制御器
カード176と接続されてもよい。該スレーブプロセサー171は該ポートとサ
ーボ制御器176とを経由して該ウエーハ移送ユニット62a、62bを操作す
る。特定すると、該スレーブプロセサー171はサーボ制御器176を通して該
ウエーハ移送ユニット62a、62b内のサーボモータを操作し該モータの状態
をモニターする。
[0092] Each slave controller includes a slave processor connected to a plurality of port interfaces. Each port interface is composed of a servo motor and processing components 18
4 may be used for controlling and / or monitoring. For example, one port may be connected to a servo controller card 176 configured to operate the wafer transfer units 62a, 62b. The slave processor 171 operates the wafer transfer units 62a and 62b via the port and the servo controller 176. Specifically, the slave processor 171 operates the servo motors in the wafer transfer units 62a and 62b through the servo controller 176 and monitors the state of the motors.

【0093】 代わって、種々のスレーブ制御器140、141はインターフエースモジュー
ル38の様な、1つの処理ツール装置内の種々の部品を操作してもよい。特定す
ると、該インターフエースモジュール制御器110と該インターフエースモジュ
ール38の部品とが図32に描かれている。スレーブ制御器140はターンスタ
イルモータ185を動作させそしてインクレメンタルエンコーダ190を介して
該ターンスタイル40の位置をモニターする。スレーブ制御器140は好ましく
はサーボ制御カード(図35に示す)を経由して該ターンスタイルモータ185
とターンスタイルエンコーダ190と接続されるのがよい。スレーブ制御器14
1は、サーボ制御カードを経由してサドルモータ186を制御しサドルエンコー
ダ191をモニターすることにより該ターンスタイル40のサドル45を操作し
モニターする。
Alternatively, the various slave controllers 140, 141 may operate various components within one processing tool device, such as the interface module 38. Specifically, the interface module controller 110 and the components of the interface module 38 are depicted in FIG. The slave controller 140 operates the turnstyle motor 185 and monitors the position of the turnstyle 40 via the incremental encoder 190. The slave controller 140 preferably connects to the turn style motor 185 via a servo control card (shown in FIG. 35).
And the turn style encoder 190. Slave controller 14
1 operates and monitors the saddle 45 of the turn style 40 by controlling the saddle motor 186 via the servo control card and monitoring the saddle encoder 191.

【0094】 スレーブプロセサーのポートは、それぞれの処理モジュール19内の処理部品
を制御しモニターするためにインターフエース制御器カード180に接続されて
もよい。例えば、流れセンサ657は処理流体の発送流量情報を該処理モジュー
ル内の処理用ボール(processing bowl)へ供給する。該インターフエース制御 器180は該流れセンサ657又は他の処理部品により供給される該データを対
応するスレーブプロセサー172により解析されるようなフオームに翻訳するよ
う構成されている。更に、該インターフエース制御器180は該対応するスレー
ブプロセサー172からの命令(command)に応じて流れ制御器658の様な、 処理部品を操作する。
The ports of the slave processors may be connected to an interface controller card 180 to control and monitor the processing components in each processing module 19. For example, flow sensor 657 provides processing fluid delivery flow rate information to a processing bowl within the processing module. The interface controller 180 is configured to translate the data provided by the flow sensor 657 or other processing component into a form that is analyzed by a corresponding slave processor 172. Further, the interface controller 180 operates a processing component, such as the flow controller 658, in response to a command from the corresponding slave processor 172.

【0095】 1つのスレーブ制御器140−147は、1つのスレーブ制御器からの種々の
部品モータ及び処理部品の制御及びモニターの能力を可能にするために該スレー
ブプロセサー170−172のそれぞれのポートに接続された1つ以上のサーボ
制御器と1つ以上のインターフエース制御器を含んでいてもよい。
One slave controller 140-147 is connected to each port of the slave processors 170-172 to enable the ability to control and monitor various component motors and processing components from one slave controller. It may include one or more servo controllers and one or more interface controllers connected.

【0096】 代わって、サーボ制御器とインターフエース制御器は各々が処理と操作の速度
を改善するために基板搭載のプロセサーを含んでもよい。エンコーダ又は処理部
品により該サーボ制御器又はインターフエース制御器へ供給されたデータは基板
搭載プロセサーにより直ちに処理されるが該プロセサーは又該データに応じてそ
れぞれのサ−ボモータ又は処理部品を制御する。この様な構成では、該スレーブ
プロセサーは該データを該インターフエースプロセサー又はサーボ制御器プロセ
サーから該それぞれのマスター制御器及びグランドマスター制御器へ転送しても
よい。
[0096] Alternatively, the servo controller and the interface controller may each include a board-mounted processor to improve the speed of processing and operation. The data supplied by the encoder or processing components to the servo controller or interface controller is immediately processed by the on-board processor, which also controls the respective servomotors or processing components in response to the data. In such an arrangement, the slave processor may transfer the data from the interface processor or servo controller processor to the respective master and grand master controllers.

【0097】 コンベア制御サブシステム 該ウエーハコンベア60とその中の該ウエーハ輸送ユニット62a、62b又
は562a、562b又は544a、544bの操作を制御しモニターするため
の該コンベア制御サブシステム113が図29に示されている。一般に、コンベ
ア制御部113のスレーブ制御器143は、該ガイド66に沿ってのウエーハ輸
送ユニット62aの制御可能な移動とモニター用にドライブアクチュエータ71
と接続されている。更に、スレーブ制御器143は該ウエーハ輸送ユニット62
a又は562a又は544aの移送アーム組立体86を操作しそれによる半導体
ウエーハの移送を操作する。同様に、スレーブ制御器144はウエーハ輸送ユニ
ット62b又は562b又は544b及びドライブアクチュエータ74を操作す
るよう構成されている。
Conveyor Control Subsystem The conveyor control subsystem 113 for controlling and monitoring the operation of the wafer conveyor 60 and the wafer transport units 62a, 62b or 562a, 562b or 544a, 544b therein is shown in FIG. Have been. Generally, the slave controller 143 of the conveyor controller 113 includes a drive actuator 71 for controllable movement and monitoring of the wafer transport unit 62a along the guide 66.
Is connected to Further, the slave controller 143 controls the wafer transport unit 62
a or 562a or 544a to operate the transfer arm assembly 86 and thereby transfer the semiconductor wafer. Similarly, slave controller 144 is configured to operate wafer transport unit 62b or 562b or 544b and drive actuator 74.

【0098】 スレーブ制御器143の光検出器91、ドライブアクチュエータ71,リニア
エンコーダ196そしてウエーハ輸送ユニット62aとのインターフエーシング
(interfacing)を図36に詳細に示す。スレーブ制御器143の該スレーブプ ロセサー171はサーボ制御器176と接続されているのが好ましい。スレーブ
プロセサー171はサーボ制御器176を経由してドライブアクチュエータ71
を操作することによりウエーハ輸送ユニット62aの直線状の位置(linear pos
ition)を制御してもよい。光検出器91はガイド66に沿った該ウエーハ輸送 ユニット62aの直線状の位置の情報(linear position information)を提供 する。加えて、リニアエンコーダ196は又ガイド66に沿ったウエーハ輸送ユ
ニット62の位置を精密にモニターするために使用されてもよい。
The interfacing between the photodetector 91 of the slave controller 143, the drive actuator 71, the linear encoder 196, and the wafer transport unit 62a is shown in detail in FIG. The slave processor 171 of the slave controller 143 is preferably connected to the servo controller 176. The slave processor 171 is connected to the drive actuator 71 via the servo controller 176.
Is operated, the linear position of the wafer transport unit 62a (linear pos
ition) may be controlled. Photodetector 91 provides linear position information for wafer transport unit 62a along guide 66. In addition, the linear encoder 196 may also be used to precisely monitor the position of the wafer transport unit 62 along the guide 66.

【0099】 又コンベアスレーブプロセサー171は対応するウエーハ輸送ユニット62a
の移送アーム組立体86の操作を制御しモニターしてもよい。特定すると、該コ
ンベアプロセサー171は、第1及び第2アームエクステンション87,88を
制御可能に回転させるためにシャフト83内の移送アームモータ194と接続さ
れていてもよい。移送アーム組立体86の回転をモニターしその回転データをサ
ーボ制御器176とスレーブプロセサー171に供給するために各ウエーハ輸送
ユニット62aの該シャフト83内にインクレメンタルな(incremental)移送 アーム回転エンコーダ197が備え付けられてもよい。
The conveyor slave processor 171 is connected to the corresponding wafer transport unit 62a.
Of the transfer arm assembly 86 may be controlled and monitored. Specifically, the conveyor processor 171 may be connected to a transfer arm motor 194 in the shaft 83 to controllably rotate the first and second arm extensions 87,88. An incremental transfer arm rotation encoder 197 is provided in the shaft 83 of each wafer transport unit 62a to monitor the rotation of the transfer arm assembly 86 and supply the rotation data to the servo controller 176 and the slave processor 171. It may be provided.

【0100】 スレーブ制御器143は、該移送アーム組立体86の高さ位置(elevational
position)を制御するためにエレベータ90内の移送アーム高さモータ195と
接続されているのが有利である。該移送アーム組立体86の該高さをモニターす
るために該移送アームエレベータ組立体90の中にインクレメンタルな移送アー
ム高さエンコーダ198が備え付けられてもよい。
The slave controller 143 controls the elevation position of the transfer arm assembly 86 (elevational position).
Advantageously, it is connected to a transfer arm height motor 195 in the elevator 90 for controlling the position). An incremental transfer arm height encoder 198 may be provided in the transfer arm elevator assembly 90 to monitor the height of the transfer arm assembly 86.

【0101】 加えて、半導体ウエーハをその上に選択的に支持するようウエーハ支持部89
の中の真空を制御するためにコンベアスレーブ制御器143はインターフエース
制御器を経由して空気供給制御弁アクチュエータ(図示せず)と接続されてもよ
い。
In addition, a wafer support 89 is provided to selectively support the semiconductor wafer thereon.
The conveyor slave controller 143 may be connected to an air supply control valve actuator (not shown) via an interface controller to control the vacuum in

【0102】 絶対エンコーダ(absolute encoder)199が、極端な操作条件を検出しその
中のサーボモータを保護するために該ウエーハコンベア60,インターフエース
モジュール38,39そして処理モジュール19内に備え付けられてもよい。例
えば、絶対エンコーダ199は、該移送アーム組立体86が最大高さに到達した
条件を検出してもよくそこで移送アームエレベータモータ195を保護するため
に絶対エンコーダ199はエレベータ90のスイッチを切ってもよい。
An absolute encoder 199 may be provided in the wafer conveyor 60, the interface modules 38, 39 and the processing module 19 to detect extreme operating conditions and protect the servomotor therein. Good. For example, the absolute encoder 199 may detect a condition in which the transfer arm assembly 86 has reached a maximum height, where the absolute encoder 199 switches off the elevator 90 to protect the transfer arm elevator motor 195. Good.

【0103】 同様な取り組みは、それぞれ該ウエーハ移送ユニット562a、562b及び
544a、544bの第2及び第3の実施例の光フアイバー信号通信システム用
に使用されてもよい。特に、該エレベータ590内に配置されたエンコーダ59
1、該シャフト583のベースモータ593内に配置されたエコーダ592、該
シャフト583内に配置されたエンコーダ594、移送アーム組立体586の遠
位の端部に配置されたリスト絶対エンコーダ595そして該シャフト583のベ
ースに配置されたエルボー絶対エンコーダ597が図35の回転エンコーダ19
3の回転入力を供給する。同様に、該ベースモータ593に沿って配置されたリ
フト絶対エンコーダ596、リニアエンコーダ598,ヘッドレール(head rai
l)エンコーダ599及びトラックシーシーデーアレー(track CCD array)絶対
エンコーダ541がそれぞれ図35の該リフトエンコーダ192と絶対エンコー
ダ199用の入力を供給する。
A similar approach may be used for the optical fiber signal communication system of the second and third embodiments of the wafer transfer units 562a, 562b and 544a, 544b, respectively. In particular, the encoder 59 located in the elevator 590
1. an echoer 592 located in the base motor 593 of the shaft 583; an encoder 594 located in the shaft 583; a wrist absolute encoder 595 located at the distal end of the transfer arm assembly 586; The elbow absolute encoder 597 disposed on the base of the rotary encoder 19 shown in FIG.
3 rotation input. Similarly, a lift absolute encoder 596, a linear encoder 598, and a head rail (head rai) arranged along the base motor 593.
l) An encoder 599 and a track CCD array absolute encoder 541 provide inputs for the lift encoder 192 and absolute encoder 199 of FIG. 35, respectively.

【0104】 処理モジュール制御器 制御システム100は、本開示の該処理ツール10内の各ウエーハ処理モジュ
ール20,22,24に対応する処理モジュール制御サブシステム114−11
6を含んでいる。該制御システム100は又、追加的ウエーハ処理モジュール1
9を制御及び/又はモニターするために追加的な処理モジュール制御サブシステ
ム119を含んでもよい。
Processing Module Controller The control system 100 includes a processing module control subsystem 114-11 corresponding to each of the wafer processing modules 20, 22, 24 in the processing tool 10 of the present disclosure.
6 is included. The control system 100 also includes an additional wafer processing module 1
An additional processing module control subsystem 119 may be included to control and / or monitor 9.

【0105】 それぞれの処理モジュール制御器114,115,116は対応するウエーハ
ホルダー810とウエーハ輸送ユニット62a、62b又は562a、562b
又は544a、544bとの間の半導体ウエーハWの移送を制御しモニターして
もよい。更に、処理モジュール制御器114,115,116は各処理モジュー
ル20,22,24内の該半導体ウエーハWの処理を制御及び/又はモニターす
るのが有利である。
Each processing module controller 114, 115, 116 has a corresponding wafer holder 810 and wafer transport unit 62 a, 62 b or 562 a, 562 b
Alternatively, the transfer of the semiconductor wafer W between 544a and 544b may be controlled and monitored. Further, the processing module controllers 114, 115, 116 advantageously control and / or monitor the processing of the semiconductor wafer W in each processing module 20, 22, 24.

【0106】 図30を参照すると、1つのスレーブ制御器147が処理モジュール20内の
複数のウエーハホルダー401c−401eを操作する。代わって、1つのスレ
ーブ制御器145,146が1つのそれぞれのウエーハホルダー401a、40
1bを操作しモニターしてもよい。追加的スレーブ制御器148は、1つの処理
モジュール19内の全ての処理部品184(すなわち、流れセンサ、弁アクチュ
エータ、ヒータ、温度センサ)を操作しモニターするため使用されてもよい。更
に、図37に示す様に、1つのスレーブ制御器145はウエーハホルダー410
と処理部品184を操作しモニターしてもよい。
Referring to FIG. 30, one slave controller 147 operates a plurality of wafer holders 401 c to 401 e in the processing module 20. Alternatively, one slave controller 145, 146 may have one respective wafer holder 401a, 40
1b may be operated and monitored. Additional slave controllers 148 may be used to operate and monitor all processing components 184 (ie, flow sensors, valve actuators, heaters, temperature sensors) within one processing module 19. Further, as shown in FIG. 37, one slave controller 145 is
And the processing component 184 may be operated and monitored.

【0107】 加えて、1つのスレーブ制御器145−148は1つ以上のウエーハホルダー
401と処理部品184を操作しモニターするよう構成されてもよい。スレーブ
制御器145のウエーハホルダー401と処理部品との両者に対するインターフ
エーシング(interfacing)は図37の該制御システム実施例で示されている。 特に、サーボ制御器177とインターフエース制御器180はスレーブ制御器1
45のスレーブプロセサー172に接続されたそれぞれのポートに接続されてい
る。スレーブプロセサー172はサーボ制御器177を経由して複数のウエーハ
ホルダー部品を操作しモニターしてもよい。特にスレーブプロセサー172はリ
フトドライブシャフト456の周りにオペレータアーム(operator arm)407
を上げるためにリフトモータ427を操作する。リフトアーム407の回転情報
を該それぞれのスレーブプロセサー172又はサーボ制御器177内のプロセサ
ーに供給するためにインクレメンタルなリフト運動エンコーダ455がウエーハ
ホルダー401内に備え付けられてもよい。スレーブプロセサー172は又、処
理位置と半導体ウエーハ移送位置との間をシャフト429,430の周りで処理
ヘッド406を回転させるためにウエーハホルダー401内の回転モータ428
を制御してもよい。インクレメンタルな回転エンコーダ435は該処理ヘッド4
06に関する回転情報を該対応するスレーブプロセサー172に供給してもよい
In addition, one slave controller 145-148 may be configured to operate and monitor one or more wafer holders 401 and processing components 184. The interfacing of the slave controller 145 for both the wafer holder 401 and the processing components is illustrated in the control system embodiment of FIG. In particular, the servo controller 177 and the interface controller 180 are the slave controllers 1
Each port is connected to 45 slave processors 172. The slave processor 172 may operate and monitor a plurality of wafer holder components via the servo controller 177. In particular, the slave processor 172 includes an operator arm 407 around the lift drive shaft 456.
The lift motor 427 is operated to raise the pressure. An incremental lift motion encoder 455 may be provided in the wafer holder 401 to supply rotation information of the lift arms 407 to the respective slave processors 172 or processors in the servo controller 177. Slave processor 172 also rotates motor 428 in wafer holder 401 to rotate processing head 406 about shafts 429, 430 between the processing position and the semiconductor wafer transfer position.
May be controlled. An incremental rotary encoder 435 is provided for the processing head 4.
06 may be supplied to the corresponding slave processor 172.

【0108】 又スピンモータ480は、それにより保持された半導体ウエーハWの処理中該
ウエーハホルダー478を回転させるためにサーボ制御器177内のプロセサー
又はスレーブプロセサー172により制御されてもよい。該ウエーハホルダー4
78の回転速度をモニターするためそして該速度情報を該スレーブプロセサー1
72に供給するためにインクレメンタルなスピンエンコーダ498が備え付けら
れるのが好ましい。
The spin motor 480 may be controlled by a processor or a slave processor 172 in the servo controller 177 to rotate the wafer holder 478 during processing of the semiconductor wafer W held thereby. The wafer holder 4
78 to monitor the rotation speed and send the speed information to the slave processor 1.
Preferably, an incremental spin encoder 498 is provided to feed 72.

【0109】 半導体ウエーハを掴み又は開放するためにメッキモジュール制御器114が該
ウエーハホルダー478のフインガーチップ(fingertips)414を操作するの
が有利である。特に、スレーブプロセサー172は、半導体ウエーハを掴むため
のフインガーチップ414を駆動するよう空気を空気圧式ピストン502に供給
するために空圧式弁アクチュエータ201を介して弁を操作する。該メッキモジ
ュール制御器114内の該スレーブ制御器145はその後該弁アクチュエータ2
01を操作し該空気供給を取り除きそれにより該半導体ウエーハから該フインガ
ーチップ414を係合解除させる。スレーブプロセサー172は又、リレー20
2を操作することにより半導体ウエーハの処理中該フインガー組立体824を通
しての電流印加を制御する。
Advantageously, the plating module controller 114 operates the fingertips 414 of the wafer holder 478 to grip or release the semiconductor wafer. In particular, slave processor 172 operates a valve via pneumatic valve actuator 201 to supply air to pneumatic piston 502 to drive finger chip 414 for grasping a semiconductor wafer. The slave controller 145 in the plating module controller 114 then controls the valve actuator 2
Operate 01 to remove the air supply, thereby disengaging the finger tip 414 from the semiconductor wafer. Slave processor 172 is also connected to relay 20
2 controls the application of current through the finger assembly 824 during processing of the semiconductor wafer.

【0110】 該処理モジュール制御器114,115,116は好ましくは計測又は処理部
品184を介して対応するウエーハ処理モジュール20,22,24内の半導体
ウエーハの処理を操作しモニターするのがよい。
The processing module controllers 114, 115, 116 preferably operate and monitor the processing of semiconductor wafers in the corresponding wafer processing modules 20, 22, 24 via measurement or processing components 184.

【0111】 図33を参照して、メッキ処理モジュール20用の制御操作を説明する。一般
に、スレーブプロセサー172はインターフエース制御器180を介して処理部
品184をモニター及び/又は制御する。該メッキモジュール制御器114内の
スレーブプロセサー172はポンプ605を操作し該処理流体リザーバ604か
ら該ポンプ放出フイルター607へ処理溶液を引く。該処理流体は該フイルター
を通り供給マニフオールド652内へ進みそしてボウル供給ラインを経由して該
半導体ウエーハが処理される複数のメッキ処理ボウルへ送られる。各ボウル供給
ラインは好ましくは、それへ該処理流体の流れ情報を供給するために該メッキ処
理モジュール制御器114と接続された流れセンサ657を含んでいるのがよい
。該流れ情報に応じて、該スレーブプロセサー172はそれを通る処理流体の流
れを制御するために各ボウル供給ライン内の流れ制御器658のアクチュエータ
を操作してもよい。スレーブプロセサー172は又、該供給マニフオールド65
2内の予め決められた圧力レベルを保持するために背圧調整器(back pressure
regulator)656をモニターし制御する。該圧力調整器656は圧力情報を該 メッキ処理制御モジュール114内のスレーブプロセサー172へ供給してもよ
い。
Referring to FIG. 33, a control operation for the plating module 20 will be described. Generally, slave processor 172 monitors and / or controls processing component 184 via interface controller 180. A slave processor 172 within the plating module controller 114 operates a pump 605 to draw processing solution from the processing fluid reservoir 604 to the pump discharge filter 607. The processing fluid passes through the filter into a supply manifold 652 and is sent via a bowl supply line to a plurality of plating bowls where the semiconductor wafer is processed. Each bowl supply line preferably includes a flow sensor 657 connected to the plating module controller 114 to supply the processing fluid flow information thereto. In response to the flow information, the slave processor 172 may operate an actuator of a flow controller 658 in each bowl supply line to control the flow of processing fluid therethrough. Slave processor 172 also provides the supply manifold 65
Back pressure regulator (back pressure regulator) to maintain a predetermined pressure level within
regulator) 656 is monitored and controlled. The pressure regulator 656 may provide pressure information to a slave processor 172 within the plating process control module 114.

【0112】 同様に、処理モジュール制御サブシステム115,116は対応するプリウエ
ット(prewet)モジュール22とレジスト(resist)モジュール24内の半導体
ウエーハの処理を制御するよう構成されてもよい。
Similarly, the processing module control subsystems 115 and 116 may be configured to control the processing of the semiconductor wafer in the corresponding prewet module 22 and resist module 24.

【0113】 インターフエースモジュール制御器 各インターフエースモジュール制御サブシステム110は好ましくはウエーハ
インターフエースモジュール38,39の操作を制御しモニターするのがよい。
更に特定すると、インターフエースモジュール制御器110はウエーハカセット
16を交換するためにそれぞれの半導体ウエーハインターフエースモジュール3
8,39の該ウエーハカセットターンスタイル40,41とエレベータ42,4
3の操作を制御しモニターする。
Interface Module Controller Each interface module control subsystem 110 preferably controls and monitors the operation of the wafer interface modules 38,39.
More specifically, the interface module controller 110 controls each semiconductor wafer interface module 3 to replace the wafer cassette 16.
8, 39 of the wafer cassette turn styles 40, 41 and elevators 42, 4
Control and monitor operation 3

【0114】 インターフエースモジュール制御器110のスレーブ制御器140内のスレー
ブプロセサー170は該インターフエースモジュール38,39の機能を操作し
モニターする。特に、スレーブプロセサー170はポート32,33を経由して
該処理ツール10内へのアクセスを提供するためにドア35,36を操作する。
代わって、マスター制御器100がドア35,36を操作してもよい。
The slave processor 170 in the slave controller 140 of the interface module controller 110 operates and monitors the functions of the interface modules 38 and 39. In particular, slave processor 170 operates doors 35, 36 to provide access into processing tool 10 via ports 32,33.
Alternatively, the master controller 100 may operate the doors 35,36.

【0115】 図31を参照して、ウエーハインターフエースモジュール38を制御するため
の該インターフエースモジュール制御部分の実施例を説明する。特に、該スレー
ブプロセサー170はサーボ制御器175と接続されている。スレーブプロセサ
ー170かサーボ制御器175搭載型プロセサー(a processor on board servo
controller 175)かどちらかがインターフエースモジュール38の部品を操作 してもよい。特に、スレーブプロセサー170は積載位置と移送位置の間をウエ
ーハカセット16を動かすターンスタイル40の回転機能を操作するためにター
ンスタイルモータ185を制御してもよい。インクレメンタルなターンスタイル
エンコーダ190はターンスタイル40の位置をモニターし位置データをスレー
ブプロセサー170に供給する。代わって、サーボ制御器175はターンスタイ
ルエンコーダ190からの情報を読みそれに応答してターンスタイルモータ18
5を制御するためのプロセサーを含んでいてもよい。サ−ボ制御器175は一旦
ターンスタイル40が望ましい位置に到達するとスレーブプロセサー170に警
報する。
With reference to FIG. 31, an embodiment of the interface module control part for controlling the wafer interface module 38 will be described. In particular, the slave processor 170 is connected to the servo controller 175. A processor on board servo with a slave processor 170 or a servo controller 175
Either controller 175) may operate the components of the interface module 38. In particular, the slave processor 170 may control the turnstyle motor 185 to operate the rotation function of the turnstyle 40 that moves the wafer cassette 16 between the loading position and the transfer position. An incremental turnstyle encoder 190 monitors the position of the turnstyle 40 and provides position data to the slave processor 170. Alternatively, servo controller 175 reads information from turnstyle encoder 190 and responds in response to turnstyle motor 18.
5 may be included. Servo controller 175 alerts slave processor 170 once turnstyle 40 reaches the desired position.

【0116】 各ウエーハカセットターンスタイル40はそれに連結されたサドル45,46
の位置付けを制御するためのモータを含んでいる。該スレーブプロセサー170
は、垂直及び水平配向の1つでそれに取付られているウエーハカセット16を配
向する適当なサドルモータ186の操作を通してサドル45,46の位置を制御
してもよい。該サドル45,46の位置情報を該それぞれのスレーブプロセサー
170に供給するために好ましくはインクレメンタルなサドルエンコーダ191
が各ウエーハカセットターンスタイル40内に備え付けられるのがよい。
Each wafer cassette turn style 40 has a saddle 45, 46 connected thereto.
A motor for controlling the positioning of the vehicle. The slave processor 170
May control the position of the saddles 45, 46 through operation of a suitable saddle motor 186 that orients the wafer cassette 16 mounted thereon in one of a vertical and horizontal orientation. Preferably, an incremental saddle encoder 191 is provided to provide positional information of the saddles 45, 46 to the respective slave processors 170.
Is preferably provided in each wafer cassette turn style 40.

【0117】 スレーブプロセサー170かサーボ制御器175のどちらかは、交換位置と引
き出し位置の間をウエーハカセット16を移送するために該ウエーハカセットエ
レベータ42の操作を制御するよう構成されていてもよい。該スレーブプロセサ
ー170は、エレベータ42とエレベータ支持部47の高さと回転とを制御する
ためにエレベータリフトモータ187とエレベータ回転モータ188と接続され
ていてもよい。インクレメンタルなリフトエンコーダ192とインクレメンタル
な回転エンコーダ193が該エレベータ42と支持部47の高さと回転の情報を
スレーブプロセサー170に供給してもよい。
Either the slave processor 170 or the servo controller 175 may be configured to control the operation of the wafer cassette elevator 42 to transfer the wafer cassette 16 between the exchange position and the withdrawal position. The slave processor 170 may be connected to an elevator lift motor 187 and an elevator rotation motor 188 to control the height and rotation of the elevator 42 and the elevator support 47. An incremental lift encoder 192 and an incremental rotary encoder 193 may supply height and rotation information of the elevator 42 and the support 47 to the slave processor 170.

【0118】 絶対エンコーダ199はエレベータ支持部47が最大高さに到達する時の様な
極端な条件をスレーブプロセサーに知らせるために使用されてもよい。エレベー
タリフトモータ187は絶対エンコーダ199による極端な条件の存在に応答し
て閉止されてもよい。
The absolute encoder 199 may be used to inform the slave processor of extreme conditions, such as when the elevator support 47 reaches a maximum height. The elevator lift motor 187 may be closed in response to the presence of extreme conditions by the absolute encoder 199.

【0119】 ウエーハカセットトレー ウエーハカセット16を保持するためのウエーハカセットトレー50が図9に
詳細に示されている。各カセットトレー50はベース51と好ましくは該ベース
51に直角な直立部分54とを含んでもよい。2つの横の支持部52は該ベース
51の相対する側上に形成されそこから上へ伸びてもよい。横支持部52は、ウ
エーハカセット16の移動、回転及び交換中に固定位置でその上にウエーハカセ
ットを保持して役立っている。各横支持部52は、サドル45,46のフオーク
と係合するよう構成されたその長さを伸ばしているのが好ましい溝53を含んで
いる。
Wafer Cassette Tray A wafer cassette tray 50 for holding the wafer cassette 16 is shown in detail in FIG. Each cassette tray 50 may include a base 51 and an upright portion 54, preferably perpendicular to the base 51. Two lateral supports 52 may be formed on opposite sides of the base 51 and extend upwardly therefrom. The lateral support 52 serves to hold the wafer cassette thereon in a fixed position during movement, rotation and replacement of the wafer cassette 16. Each lateral support 52 includes a groove 53, preferably extended in its length, configured to engage the forks of saddles 45,46.

【0120】 該ウエーハカセットトレー50は好ましくは、該ウエーハカセット16が積載
位置から該半導体ウエーハWの該コンベア60内のウエーハ輸送ユニット62,
64へのアクセスを提供する引き出し位置へ移送される該ウエーハカセットイン
ターフエースモジュール38,39内でウエーハカセット16の取り扱い中に使
用されるのがよい。
The wafer cassette tray 50 is preferably a wafer transport unit 62 in the conveyor 60 of the semiconductor wafer W from the loading position of the wafer cassette 16.
It may be used during handling of the wafer cassette 16 in the wafer cassette interface modules 38, 39 which are transferred to a withdrawal position providing access to the wafer cassette 64.

【0121】 電気メッキステーション 図33は第2の半導体処理ステーション900の主要部品が電気メッキステー
ションとして役立つよう特に適合され作られていることを示す。処理ステーショ
ン900の2つの主要な部分は、全体を906で示す、ウエーハロータ(wafer
rotor)組立体と電気メッキ用ボウル(electroplating bowl)組立体303であ
る。
Electroplating Station FIG. 33 shows that the main components of the second semiconductor processing station 900 are specially adapted and made to serve as an electroplating station. The two main parts of the processing station 900 are represented by a wafer rotor, generally designated 906.
rotor assembly and electroplating bowl assembly 303.

【0122】 電気メッキ用ボウル組立体303 図33は電気メッキ用ボウル組立体303を示す。該処理ボウル組立体は外側
ボウル側壁317を有する処理ボウル(process bowl)又はメッキ容器316と
、ボウル底部319と、そしてボウルリム(bowl rim)組立体917とから成る
。該処理ボウルは、他の形状も可能であるが、形状は好ましくは水平断面では円
形で概ね円筒形であるのがよい。
Electroplating Bowl Assembly 303 FIG. 33 shows an electroplating bowl assembly 303. The processing bowl assembly comprises a process bowl or plating vessel 316 having an outer bowl side wall 317, a bowl bottom 319, and a bowl rim assembly 917. The processing bowl is preferably circular and generally cylindrical in horizontal cross section, although other shapes are possible.

【0123】 該ボウル組立体303は処理ボウル容器317内に配置されたカップ組立体3
20を含んでいる。カップ組立体320は該電気メッキ処理用化学品(the chem
istry for the electroplating process)を保持する流体カップ部分321を含
んでいる。又該カップ組立体は該カップ底部323の下に伸びそして流体的連通
と該下の室が液体で充満した時集まる何等かの気体の開放とのためにそれを通し
て開いたフルート(flutes)を有する垂れ下がる(depending)スカート部37 1を備えている。該カップは好ましくはポリプロピレン又は他の適当な材料で作
られるのがよい。
The bowl assembly 303 includes the cup assembly 3 disposed in the processing bowl container 317.
20. The cup assembly 320 includes the electroplating chemical (the chem).
It includes a fluid cup portion 321 that holds the istry for the electroplating process. Also, the cup assembly extends below the cup bottom 323 and has flutes opened therethrough for fluid communication and release of any gas that collects when the lower chamber is full of liquid. A depending skirt 371 is provided. The cup is preferably made of polypropylene or other suitable material.

【0124】 該カップ組立体320の底部壁内の下部開口部はねじ連結でそれに対し高さで
調節可能なポリプロピレンのライザーチューブ(rise tube)330と連結して いる。該ライザーチューブ330の第1端部は陽極334を支持する陽極シール
ド(shield)393の後部に取付られている。流体入り口ライン325は該ライ
ザーチューブ330内に配置されている。該ライザーチューブ330と該流体入
り口ラインとは部品(fitting)362により処理ボウル組立体303に取付ら れている。該部品362は該ライザーチューブとライン325と両者の高さの調
整を受け入れる。この様であるから、該部品362と該ライザーチューブ330
との間の連結は該陽極位置の垂直な調整を容易にする。該取り入れライン325
は好ましくは、チタンの様な、導電性材料で作るのがよく、そして該カップへ流
体を供給するのみならず、該陽極334へ電流を導くため使用されるのがよい。
The lower opening in the bottom wall of the cup assembly 320 is connected to a height rise adjustable polypropylene riser tube 330 by a screw connection thereto. A first end of the riser tube 330 is attached to the rear of an anode shield 393 that supports the anode 334. Fluid inlet line 325 is located within riser tube 330. The riser tube 330 and the fluid inlet line are attached to the processing bowl assembly 303 by fitting 362. The part 362 receives the height adjustment of the riser tube and line 325 and both. Because of this, the part 362 and the riser tube 330
Connection facilitates vertical adjustment of the anode position. The intake line 325
Is preferably made of a conductive material, such as titanium, and used not only to supply fluid to the cup, but also to conduct current to the anode 334.

【0125】 処理流体は流体取り入れライン325を通して該カップへ供給されそしてそこ
から流体取り入れ開口部324を通るよう進む。メッキ流体ポンプ(図示せず)
又は他の適当な供給部により供給されると、メッキ流体は次いで開口部324を
通って室904を充たす。
Processing fluid is supplied to the cup through a fluid intake line 325 and proceeds therefrom through a fluid intake opening 324. Plating fluid pump (not shown)
Or, as supplied by another suitable supply, the plating fluid may then fill chamber 904 through opening 324.

【0126】 該カップ側壁322の上部縁辺は該カップ内の電気メッキ溶液のレベルを限定
する堰(weir)を形成する。このレベルは該ウエーハWの底面のみが該電気メッ
キ溶液と接触するように選ばれる。過剰な溶液はこの頂部縁辺面上を(over thi
s top edge surface)オーバーフロー室(overflow chamber)345内へ注がれ
る。室345内の流体のレベルは好ましくは、適当なセンサ及びアクチュエータ
を用いて該流体レベルをモニターすることにより動作の安定性に望ましい範囲内
に保持されるのがよい。これは幾つかの異なる流出の構成(outflow configurat
ion)を用いて行うことが出来る。好ましい構成は適当なセンサを用いて高いレ ベル条件を検出し次いで制御弁により制御される様にドレーンラインを通して流
体をドレーンすることである。又スタンドパイプ(standpipe)配備(図解され てない)を使用することも可能でありそしてこの様なものは該好ましいメッキス
テーション内の最終オーバーフロー防止装置として使用されている。より複雑な
レベル制御も可能である。
The upper edge of the cup sidewall 322 forms a weir that limits the level of electroplating solution in the cup. This level is chosen so that only the bottom surface of the wafer W is in contact with the electroplating solution. Excess solution is over the top margin
The top edge surface is poured into an overflow chamber 345. The level of fluid in the chamber 345 is preferably maintained within a range desirable for operational stability by monitoring the fluid level using appropriate sensors and actuators. This can be done in several different outflow configurat
ion). A preferred arrangement is to detect high level conditions using a suitable sensor and then drain the fluid through a drain line as controlled by a control valve. It is also possible to use a standpipe arrangement (not shown) and such is used as a final overflow prevention device in the preferred plating station. More complex level control is also possible.

【0127】 室345からの該流出液体は適当なリザーバへ戻されるのが好ましい。次いで
該液体は追加メッキ化学品又は該メッキの他の構成分又は他の処理液体と共に扱
うことが可能でありそして再度使用される。
The effluent from chamber 345 is preferably returned to a suitable reservoir. The liquid can then be handled with additional plating chemicals or other components of the plating or other processing liquids and reused.

【0128】 本発明の好ましい使用法では、該陽極334は半導体材料上への銅又は他の金
属のメッキと関連して使用される消耗可能な陽極である。特定の陽極はメッキさ
れる金属と使用されるメッキ液の他の明細とにより変化する。商業的に入手可能
な多数の異なる消費可能な陽極が陽極334として使用されてもよい。
In a preferred use of the present invention, the anode 334 is a consumable anode used in connection with plating copper or other metal on semiconductor material. The particular anode will vary depending on the metal being plated and other specifications of the plating solution used. A number of different consumable anodes commercially available may be used as anode 334.

【0129】 図33は又、該流体メッキバス(the fluid plating bath)の該ウエーハW間
のより一様な分布を提供するため該陽極334上に供給される拡散プレート(di
ffusion plate)375を示す。それを通っての流体の連通を可能にするために 該拡散プレート375の全体又は部分上に流体流通部(fluid passages)が備え
られている。該拡散プレートの高さは拡散器(diffuser)高さ調節機構386を
使用して調節可能である。
FIG. 33 also shows a diffusion plate (di) supplied over the anode 334 to provide a more uniform distribution between the wafers W in the fluid plating bath.
ffusion plate) 375. Fluid passages are provided on all or a portion of the diffusion plate 375 to allow fluid communication therethrough. The height of the diffuser plate is adjustable using a diffuser height adjustment mechanism 386.

【0130】 該陽極シールド393は該溶液が該処理室904内に通過する時該メッキ溶液
により直接当たられることを防止するために陽極シールドフアスナー(anode sh
ield fasteners)394を使用して該消耗可能な陽極334の下側に取付られて
いる。該陽極シールド393と該陽極シールドフアスナー394とはポリ弗化ビ
ニリデン又はポリプロピレンの様な、誘電体材料で作られるのが好ましい。該陽
極シールドは約2−5ミリメートルの厚さで、より好ましくは3ミリメートルの
厚さであるのが有利である。
The anode shield 393 is an anode shield fastener to prevent the solution from being directly hit by the plating solution when passing into the processing chamber 904.
It is attached to the underside of the consumable anode 334 using ield fasteners 394. The anode shield 393 and anode shield fastener 394 are preferably made of a dielectric material, such as polyvinylidene fluoride or polypropylene. Advantageously, the anode shield is about 2-5 millimeters thick, more preferably 3 millimeters thick.

【0131】 該陽極シールドは該陽極の後ろ側を電気的に絶縁し物理的に保護するのに役立
つ。又それは有機的なメッキ液体添加剤の消耗を減少させる。精確な機構は現時
点では未知であるが、該陽極シールドは該陽極の後ろ側に時間が過ぎると進展す
る或る種の材料の崩壊を防止すると信じられている。もし該陽極がシールドされ
ずに放置される場合は、有機的な化学メッキ添加剤は可成り大きな速度で消耗さ
れる。該シールドがあると、これらの添加剤が速く消耗されることはない。
The anode shield serves to electrically insulate and physically protect the back side of the anode. It also reduces the consumption of organic plating liquid additives. Although the exact mechanism is unknown at this time, it is believed that the anode shield prevents the collapse of certain materials that develop over time behind the anode. If the anode is left unshielded, the organic chemical plating additive is consumed at a fairly high rate. With the shield, these additives are not quickly consumed.

【0132】 ウエーハロータ組立体 該ウエーハロータ組立体906は該処理室904内で回転するためにウエーハ
Wを保持する。該ウエーハロータ組立体906は該ロータの形状に対して(agai
nst feature)該ウエーハを保持する複数のウエーハに係合したフインガー(waf
er-engaging fingers)979を有するロータ組立体984を含んでいる。フイ ンガー979は該ウエーハとメッキ電力供給部との間を導電するよう適合されて
いるのが好ましくそして電流シーフ(current thieves)として作用するよう種 々の構成に従い作られてもよい。
Wafer Rotor Assembly The wafer rotor assembly 906 holds the wafer W to rotate in the processing chamber 904. The wafer rotor assembly 906 is configured to fit the shape of the rotor (agai
nst feature) Fingers (waf) engaged with a plurality of wafers holding the wafer
a rotor assembly 984 with er-engaging fingers 979. The fingers 979 are preferably adapted to conduct between the wafer and the plating power supply and may be made according to various configurations to act as current thieves.

【0133】 該ロータ組立体984をスピンさせるために使用される該種々の部品が固定さ
れたハウジング970内に配置されている。該固定されたハウジングは水平に伸
びるアーム909に連結されており該アームは、今度は、垂直に伸びるアームに
連結されている。一緒に、該アーム908,909は該組立体906が該ボウル
組立体との係合から引き上げられ回転されるようにするが それにより次の処理
ステーションへの移送のために該ウエーハを該ウエーハ搬送組立体60へ送る。
The various components used to spin the rotor assembly 984 are located within a fixed housing 970. The fixed housing is connected to a horizontally extending arm 909, which in turn is connected to a vertically extending arm. Together, the arms 908, 909 allow the assembly 906 to be lifted out of engagement with the bowl assembly and rotated, thereby transporting the wafer for transfer to the next processing station. Send to assembly 60.

【0134】 前記システムに多くの変型がなし得るがそれはその基本的開示から離れたもの
ではない。本発明を1つ以上の特定の実施例を参照して実質的に詳細に説明して
来たが、変更が付属する請求項に述べる本発明の範囲と精神から離れることなく
なされることは当業者の認識するところである。
[0134] Many variations on the above system are possible, but not without departing from the basic disclosure. Although the present invention has been described in substantial detail with reference to one or more specific embodiments, changes may be made without departing from the scope and spirit of the invention as set forth in the appended claims. This is what the traders recognize.

【図面の簡単な説明】[Brief description of the drawings]

【図1】 本発明の半導体ウエーハ処理ツールの等角図である。FIG. 1 is an isometric view of a semiconductor wafer processing tool of the present invention.

【図2】 図1に示す該半導体ウエーハ処理ツールの線2−2に沿って取られた断面図で
ある。
FIG. 2 is a cross-sectional view of the semiconductor wafer processing tool shown in FIG. 1 taken along line 2-2.

【図3−8】 保持位置と引き出し位置との間でウエーハカセットを交換するため操作してい
る本発明の半導体ウエーハ処理ツールの好ましいインターフエースモジュールの
ウエーハカセットターンスタイルとエレベータの線図である。
FIG. 3-8 is a diagram of a wafer cassette turn style and an elevator of a preferred interface module of a semiconductor wafer processing tool of the present invention operating to exchange a wafer cassette between a holding position and a withdrawing position.

【図9】 該半導体ウエーハ処理ツールのインターフエースモジュールのターンスタイル
と係合可能な好ましいウエーハカセットトレーの等角図である。
FIG. 9 is an isometric view of a preferred wafer cassette tray engageable with a turn style of an interface module of the semiconductor wafer processing tool.

【図10−15】 順々の処理ユニットの端と端とが接する連結を容易化するために該処理ツール
がモジュール化される1つの仕方を図解している。
FIGS. 10-15 illustrate one manner in which the processing tool may be modularized to facilitate end-to-end coupling of sequential processing units.

【図16−19】 本発明の1実施例のウエーハ搬送システムを図解している。FIGS. 16-19 illustrate a wafer transfer system according to one embodiment of the present invention.

【図20−25】 本発明の更に進んだ実施例の更に進んだウエーハ搬送システムを図解している
20-25 illustrate a further advanced wafer transport system of a further embodiment of the present invention.

【図26】 半導体ウエーハ処理ツールの制御システムの実施例の機能ブロック図である。FIG. 26 is a functional block diagram of an embodiment of a control system for a semiconductor wafer processing tool.

【図27】 ウエーハカセットインターフエースモジュールを制御するためのインターフエ
ースモジュール制御サブシステムのマスター/スレーブ制御構成の機能ブロック
図である。
FIG. 27 is a functional block diagram of a master / slave control configuration of an interface module control subsystem for controlling a wafer cassette interface module.

【図28】 該処理ツールのウエーハカセットインターフエースモジュールの部品と結合さ
れたインターフエースモジュール制御サブシステムの機能ブロック図である。
FIG. 28 is a functional block diagram of the interface module control subsystem coupled with the components of the wafer cassette interface module of the processing tool.

【図29】 該処理ツールのウエーハコンベアの部品と結合されたウエーハコンベア制御サ
ブシステムの機能ブロック図である。
FIG. 29 is a functional block diagram of a wafer conveyor control subsystem combined with the wafer conveyor components of the processing tool.

【図30】 該処理ツールのウエーハ処理モジュールの部品と結合されたウエーハ処理モジ
ュール制御サブシステムの機能ブロック図である。
FIG. 30 is a functional block diagram of a wafer processing module control subsystem combined with parts of a wafer processing module of the processing tool.

【図31】 該処理ツールのウエーハインターフエースモジュールの部品と結合されたイン
ターフエースモジュール制御サブシステムのスレーブプロセサーの機能ブロック
図である。
FIG. 31 is a functional block diagram of a slave processor of an interface module control subsystem coupled with components of a wafer interface module of the processing tool.

【図32】 該処理ツールのウエーハコンベアの部品と結合されたウエーハコンベア制御サ
ブシステムのスレーブプロセサーの機能ブロック図である。
FIG. 32 is a functional block diagram of a slave processor of a wafer conveyor control subsystem combined with parts of a wafer conveyor of the processing tool.

【図33】 半導体ウエーハの下向き面の電気メッキに使用するための処理ステーションの
断面図である。
FIG. 33 is a cross-sectional view of a processing station for use in electroplating a downward facing surface of a semiconductor wafer.

【符号の説明】[Explanation of symbols]

10 半導体ウエーハ処理ツール 11 作業空間 12 インターフエース部分 14 処理部分 15,16,17 半導体ウエーハカセット 18 後部閉鎖面 19 処理モジュール 20 メッキモジュール 22 プリウエットモジュール 24 レジストストリップモジュール 26 空気供給部 30 ユーザインターフエース 32,33 アクセスポート 34 窓 35,36 ドア 37 ベント 38,39 インターフエースモジュール 40,41 ウエーハカセットターンスタイル 42,43 ウエーハカセットエレベータ 44 シャフト 45,46 サドル 47,48 ウエーハカセット支持部 49 ウエーハカセットターンスタイルシャフト 50 カセットトレー 51 ベース 52 横支持部 53 溝 54 直立部分 57 ベント 58,59 排気ダクト 60 半導体ウエーハコンベア又はウエーハ搬送システム 62 ウエーハ輸送ユニット 63 ガイドレール 64 ウエーハ輸送ユニット又はガイドレール 65 枠 66 ウエーハ輸送ユニットガイド 68、70 パス 69,75 エクステンション 71、74 ドライブオペレータ又はアクチュエータ 72,73 ケーブルガード 76 リニアベアリング 77 水平ローラ 79 電磁石 81 放射器 82 軸線 83 シャフト 84 キャリッジトラム 85 カバー 86 ウエーハ移送アーム組立体 87 第1のアームエクステンション 88 第2のアームエクステンション 89 真空支持部又はウエーハ支持部 90 移送アームエレベータ 91 シーシーデー(CCD)アレー 100 制御システム 101,102 グランドマスター制御器 110 制御サブシステム又はインターフエースモジュール制御器 113 制御サブシステム又はコンベア制御器 114,115、116 制御サブシステム又は処理モジュール制御器 119 制御サブシステム又は追加的処理モジュール制御器 126,127,129 データリンク 130、131,132 マスター制御器 140,141,142−148 スレーブ制御器 150,160,161,162 メモリーマップドデバイス 171,172 スレーブプロセサー 176,177 サーボ制御器 180 インターフエース制御器カード 184 処理部品 185 ターンスタイルモータ 186 サドルモータ 187 エレベータリフトモータ 188 エレベータ回転モータ 190 インクレメンタルエンコーダ 191 サドルエンコーダ 192 リフトエンコーダ 193 回転エンンコーダ 194 移送アームモータ 195 移送アーム高さモータ 196 リニアエンコーダ 198 移送アーム高さエンコーダ 199 絶対エンコーダ 201 空圧式弁アクチュエータ 202 リレー 203,211 ベースプレート 210 下側エルボーハウジング 212 上側設置スクリュー又はベースプレート 214 下側設置スクリュー 216 ピボット 218 横溝 220 レベル合わせスクリュー 221 フロートピン 222 設置スクリュー 223 Oリング 224 肩付き深座ぐり孔 226 深座ぐり孔の肩 227 タップ加工孔 303 電気メッキ用ボウル組立体 316 処理ボウル又はメッキ容器 317 外側ボウル側壁 319 ボウル底部 320 カップ組立体 321 流体カップ部分 322 カップ側壁 323 カップ底部 324 流体取り入れ開口部 325 流体入り口ライン 330 ライザーチューブ 334 陽極 345 オーバーフロー室 362 部品(fitting) 371 スカート部 375 拡散プレート 386 拡散器高さ調節機構 393 陽極シールド 394 陽極シールドフアスナー 401 ウエーハ支持部 401a,401b,401c−401e ウエーハホルダー 406 処理ヘッド 407 オペレータアーム又はリフトアーム 409 係合フインガー組立体 414 フインガーチップ 427 リフトモータ 428 回転モータ 429,430 シャフト 435 回転エンコーダ 455 リフト運動エンコーダ 456 リフトドライブシャフト 478 ウエーハホルダー 480 スピンモータ 498 スピンエンコーダ 502 空気圧式ピストン 540 DA変換器基板 541 トラックシーシーデー(CCD)アレー絶対エンコーダ 544a,544b ウエーハ輸送ユニット 560 ウエーハコンベア 562a,562b 半導体ウエーハ輸送ユニット 563a,564a 上側ガイドレール 563b,564b 下側ガイドレール 565 枠 566 ウエーハ輸送ユニットガイド 568,570 運動パス 571,574 ドライブオペレータ 572,573 ケーブルガード 576 リニアベアリング 576a 上側リニアベアリング 576b 下側リニアベアリング 579 電磁石 582 軸線 583 シャフト 584 キャリッジ又はトラム 585 カバー 586 ウエーハ移送アーム組立体 587 第1のアームエクステンション 588 第2のアームエクステンション 589 ウエーハ支持部 590 ウエーハ移送アームエレベータ又はエレベータモータ 591,592 絶対エンコーダ 593 ベースモータ 594 絶対エンコーダ 595 リスト絶対エンコーダ 596 リフト絶対エンコーダ 597 エルボー絶対エンコーダ 598 リニアエンコーダ 599 ヘッドレールエンコーダ 604 処理流体リザーバ 605 ポンプ 607 ポンプ放出フイルター 652 供給マニフオールド 656 背圧調整器又は圧力調整器 657 流れセンサ 658 流れ制御器 800 入力/出力組立体又は入力モジュール 805 左処理モジュール 810 右処理モジュール又は半導体ウエーハホルダー 820 頂部排気組立体 824 フインガー組立体 825 端部パネル 830 入り口 850 出口又はウエーハ整合ステーション 860 ウエーハ整合制御器 865 ロボット制御器 870 ウエーハマッピングセンサ 875 システム制御コンピユータ 880 4軸制御器 900 第2の半導体処理ステーション 904 処理室 906 ウエーハロータ組立体 908,909 アーム 917 ボウルリム組立体 970 ハウジング 979 ウエーハ係合フインガー 984 ロータ組立体 DESCRIPTION OF SYMBOLS 10 Semiconductor wafer processing tool 11 Work space 12 Interface part 14 Processing part 15, 16, 17 Semiconductor wafer cassette 18 Rear closing surface 19 Processing module 20 Plating module 22 Pre-wet module 24 Resist strip module 26 Air supply unit 30 User interface 32 , 33 access port 34 window 35, 36 door 37 vent 38, 39 interface module 40, 41 wafer cassette turn style 42, 43 wafer cassette elevator 44 shaft 45, 46 saddle 47, 48 wafer cassette support 49 wafer cassette turn style shaft Reference Signs List 50 cassette tray 51 base 52 lateral support portion 53 groove 54 upright portion 57 vent 58, 59 exhaust duct 60 half Conductive wafer conveyor or wafer transport system 62 Wafer transport unit 63 Guide rail 64 Wafer transport unit or guide rail 65 Frame 66 Wafer transport unit guide 68, 70 Pass 69, 75 Extension 71, 74 Drive operator or actuator 72, 73 Cable guard 76 Linear Bearing 77 Horizontal roller 79 Electromagnet 81 Radiator 82 Axis 83 Shaft 84 Carriage tram 85 Cover 86 Wafer transfer arm assembly 87 First arm extension 88 Second arm extension 89 Vacuum support or wafer support 90 Transfer arm elevator 91 CSI Day (CCD) array 100 Control system 101, 102 Grandmaster controller 110 Control subsystem or Interface module controller 113 Control subsystem or conveyor controller 114, 115, 116 Control subsystem or processing module controller 119 Control subsystem or additional processing module controller 126, 127, 129 Data link 130, 131, 132 Master Controllers 140, 141, 142-148 Slave controllers 150, 160, 161, 162 Memory mapped devices 171, 172 Slave processors 176, 177 Servo controllers 180 Interface controller cards 184 Processing components 185 Turn style motors 186 Saddle motors 187 Elevator lift motor 188 Elevator rotation motor 190 Incremental encoder 191 Saddle encoder 192 Lift encoder 193 times Encoder 194 Transfer arm motor 195 Transfer arm height motor 196 Linear encoder 198 Transfer arm height encoder 199 Absolute encoder 201 Pneumatic valve actuator 202 Relay 203, 211 Base plate 210 Lower elbow housing 212 Upper installation screw or base plate 214 Lower installation screw 216 Pivot 218 Side groove 220 Level adjustment screw 221 Float pin 222 Installation screw 223 O-ring 224 Shoulder deep counterbore hole 226 Deep counterbore hole shoulder 227 Tapping hole 303 Electroplate bowl assembly 316 Processing bowl or plating container 317 Outside Bowl side wall 319 Bowl bottom 320 Cup assembly 321 Fluid cup part 322 Cup side wall 323 Cup bottom 32 4 Fluid Intake Opening 325 Fluid Inlet Line 330 Riser Tube 334 Anode 345 Overflow Chamber 362 Fitting 371 Skirt 375 Diffusion Plate 386 Diffuser Height Adjustment Mechanism 393 Anode Shield 394 Anode Shield Fastener 401 Wafer Support 401a, 401b, 401c-401e Wafer holder 406 Processing head 407 Operator arm or lift arm 409 Engagement finger assembly 414 Finger tip 427 Lift motor 428 Rotation motor 429,430 Shaft 435 Rotation encoder 455 Lift motion encoder 456 Lift drive shaft 478 Wafer holder 480 Spin Motor 498 Spin encoder 502 Pneumatic piston 540 D / A converter board 41 Truck CSD (CCD) array absolute encoder 544a, 544b Wafer transport unit 560 Wafer conveyor 562a, 562b Semiconductor wafer transport unit 563a, 564a Upper guide rail 563b, 564b Lower guide rail 565 Frame 566 Wafer transport unit guide 568, 570 Motion Path 571,574 Drive operator 572,573 Cable guard 576 Linear bearing 576a Upper linear bearing 576b Lower linear bearing 579 Electromagnet 582 Axis 583 Shaft 584 Carriage or tram 585 Cover 586 Wafer transfer arm assembly 587 First arm extension 588 Second Arm extension 589 Wafer support 590 Wafer transfer Elevator or elevator motor 591,592 Absolute encoder 593 Base motor 594 Absolute encoder 595 Wrist absolute encoder 596 Lift absolute encoder 597 Elbow absolute encoder 598 Linear encoder 599 Head rail encoder 604 Processing fluid reservoir 605 Pump 607 Pump discharge filter 652 Feed manifold back 656 Pressure regulator or pressure regulator 657 Flow sensor 658 Flow controller 800 Input / output assembly or input module 805 Left processing module 810 Right processing module or semiconductor wafer holder 820 Top exhaust assembly 824 Finger assembly 825 End panel 830 Entrance 850 Exit or wafer alignment station 860 Wafer alignment controller 865 Robot Please vessel 870 wafer mapping sensor 875 system control computer 880 4-axis controller 900 second semiconductor processing station 904 the processing chamber 906 wafer rotor assembly 908, 909 arms 917 bowl rim assembly 970 housing 979 wafer engagement Fuinga 984 rotor assembly

【手続補正書】特許協力条約第34条補正の翻訳文提出書[Procedural Amendment] Submission of translation of Article 34 Amendment of the Patent Cooperation Treaty

【提出日】平成12年3月29日(2000.3.29)[Submission date] March 29, 2000 (2000.3.29)

【手続補正1】[Procedure amendment 1]

【補正対象書類名】明細書[Document name to be amended] Statement

【補正対象項目名】特許請求の範囲[Correction target item name] Claims

【補正方法】変更[Correction method] Change

【補正内容】[Correction contents]

【特許請求の範囲】[Claims]

───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,DE, DK,ES,FI,FR,GB,GR,IE,IT,L U,MC,NL,PT,SE),OA(BF,BJ,CF ,CG,CI,CM,GA,GN,ML,MR,NE, SN,TD,TG),AP(GH,GM,KE,LS,M W,SD,SZ,UG,ZW),EA(AM,AZ,BY ,KG,KZ,MD,RU,TJ,TM),AL,AM ,AT,AU,AZ,BA,BB,BG,BR,BY, CA,CH,CN,CZ,DE,DK,EE,ES,F I,GB,GE,GH,HU,IL,IS,JP,KE ,KG,KP,KR,KZ,LC,LK,LR,LS, LT,LU,LV,MD,MG,MK,MN,MW,M X,NO,NZ,PL,PT,RO,RU,SD,SE ,SG,SI,SK,SL,TJ,TM,TR,TT, UA,UG,US,UZ,VN,YU,ZW (72)発明者 シユミツト,ウエイン・ジエイ アメリカ合衆国モンタナ州59904カリスペ ル・ピーオーボツクス7010 (72)発明者 コイル,ケビン・ダブリユー アメリカ合衆国モンタナ州59904カリスペ ル・ピーオーボツクス7010 Fターム(参考) 3F022 AA08 BB09 CC02 DD01 EE05 JJ16 JJ19 KK02 KK05 KK18 NN02 QQ14 5F031 CA02 FA01 FA03 FA09 FA11 FA15 FA21 FA22 GA08 GA43 GA48 LA07 MA02 MA06 MA28 MA32 NA02 PA02 【要約の続き】 ユニット(62)の位置と移送アーム組立体(86)を 測定する(determining)ためにセンサ(91)が使用 される。制御器(101)は該ウエーハ移送ユニット (62)から遠くに配置され該センサ(91)に応答し て該アクチュエータを使用して該移送ユニット(62) と移送アーム組立体(86)の運動を導く。該アクチュ エータと、センサとそして制御器との間には通信リンク が確立されている。好ましくは、該通信リンクは光フア イバーのリンクであるのがよい。──────────────────────────────────────────────────続 き Continuation of front page (81) Designated country EP (AT, BE, CH, DE, DK, ES, FI, FR, GB, GR, IE, IT, LU, MC, NL, PT, SE), OA (BF, BJ, CF, CG, CI, CM, GA, GN, ML, MR, NE, SN, TD, TG), AP (GH, GM, KE, LS, MW, SD, SZ, UG, ZW), EA (AM, AZ, BY, KG, KZ, MD, RU, TJ, TM), AL, AM, AT, AU, AZ, BA, BB, BG, BR, BY, CA, CH, CN, CZ, DE, DK, EE, ES, FI, GB, GE, GH, HU, IL, IS, JP, KE, KG, KP, KR, KZ, LC, LK, LR, LS , LT, LU, LV, MD, MG, MK, MN, MW, MX, NO, NZ, PL, PT, RO, RU, SD, SE, SG, SI, SK, SL, TJ, TM, TR, TT, UA, UG, US, UZ, VN, YU, ZW (72) Inventor Schmidt, Wayne J. 59904, Calif., P. Oabox, Montana, United States 7010 (72) Inventor Coil, Kevin Dabrieux, 59904, Montana, United States Callispell P. Aobox 7010 F term (reference) 3F022 AA08 BB09 CC02 DD01 EE05 JJ16 JJ19 KK02 KK05 KK18 NN02 QQ14 5F031 CA02 FA01 FA03 FA09 FA11 FA15 FA21 FA22 GA08 GA43 GA48 LA07 MA02 MA06 MA28 MA32 NA02 Summary of units A sensor (91) is used to determine the position of (62) and the transfer arm assembly (86). A controller (101) is located remotely from the wafer transfer unit (62) and uses the actuator to respond to the sensor (91) to use the actuator to move the transfer unit (62) and the transfer arm assembly (86). Lead. A communication link has been established between the actuator, the sensors, and the controller. Preferably, the communication link is an optical fiber link.

Claims (32)

【特許請求の範囲】[Claims] 【請求項1】 処理装置の処理部分内で半導体ウエーハをマニピュレートす
るための輸送システムが、 ウエーハ移送ユニットが第1の位置と第2の位置との間を移動する時該ユニッ
トを支持するために該処理装置内に配置された輸送ユニットガイドを具備してお
り、該輸送ユニットガイドは枠と、該枠上に設置された横ガイドレールとそして
該横ガイドレールの近くで該輸送ユニットガイド上に配備された1連の磁気的セ
グメントとを備えており、 該ウエーハ移送ユニットは該横ガイドレールに並進可能に取り付けられたトラ
ムと、該半導体ウエーハをマニピュレートするためのウエーハ移送アーム組立体
と、該ガイドレールに沿って該移送ユニットを動かすために該磁気的セグメント
と協力関係を有して該トラム上に設置された電磁石と、該移送ユニットの位置と
移送アーム組立体とを制御するための制御信号に応じるアクチュエータと該移送
ユニットの位置と該移送アーム組立体とをモニターするためのセンサとを有して
おり、該輸送システムは又、 該移送ユニットから遠くに配置された制御器を具備しており、該制御器は該セ
ンサから受信した信号に応答しそして該移送ユニットと移送アーム組立体の運動
を導くために該アクチュエータに該制御信号を供給するようになっており、該輸
送システムは更に、 該ウエーハ移送ユニットの操作の制御を容易化するために該ウエーハ移送ユニ
ットと該制御器との間の通信リンクを具備していることを特徴とする処理装置の
処理部分内で半導体ウエーハをマニピュレートするための輸送システム。
A transport system for manipulating a semiconductor wafer within a processing portion of a processing apparatus includes a wafer transfer unit for supporting a wafer transfer unit as it moves between a first position and a second position. A transport unit guide disposed within the processing apparatus, wherein the transport unit guide has a frame, a lateral guide rail installed on the frame, and a transport guide on the transport unit guide near the lateral guide rail. A series of magnetic segments arranged, the wafer transfer unit being a tram translatably mounted on the lateral guide rails, a wafer transfer arm assembly for manipulating the semiconductor wafer, and An electromagnet mounted on the tram in cooperation with the magnetic segment to move the transfer unit along a guide rail; An actuator responsive to a control signal for controlling the position of the transfer unit and the transfer arm assembly, and a sensor for monitoring the position of the transfer unit and the transfer arm assembly, the transportation system includes: Also provided is a controller located remote from the transfer unit, the controller responsive to signals received from the sensor and for controlling the actuator to direct movement of the transfer unit and transfer arm assembly. Providing the control signal, the transport system further comprising a communication link between the wafer transfer unit and the controller to facilitate control of operation of the wafer transfer unit. A transport system for manipulating a semiconductor wafer in a processing portion of a processing apparatus.
【請求項2】 請求項1の輸送システムに於いて、該ウエーハ移送ユニット
と該制御器との間の該通信リンクが光通信リンクであることを特徴とする輸送シ
ステム。
2. The transportation system according to claim 1, wherein said communication link between said wafer transfer unit and said controller is an optical communication link.
【請求項3】 請求項2の輸送システムに於いて、該光通信リンクが該ウエ
ーハ移送ユニットと該制御器間に伸びる1つ以上の光フアイバー線を有すること
を特徴とする輸送システム。
3. The transport system of claim 2, wherein said optical communication link has one or more optical fiber lines extending between said wafer transport unit and said controller.
【請求項4】 請求項1の輸送システムが更に、 該枠上に設置されそして該横ガイドレールに平行に、かつ、垂直にその下に配
置された更に進んだ横ガイドレールを備えており、 該トラムが該更に進んだ横ガイドレールに並進可能に取り付けられていること
を特徴とする輸送システム。
4. The transport system of claim 1 further comprising a further advanced lateral guide rail mounted on said frame and disposed parallel to and vertically below said lateral guide rail; A transport system wherein the tram is translatably mounted on the further advanced lateral guide rail.
【請求項5】 請求項1の輸送システムが更に、該トラムに対する該ウエー
ハ移送アーム組立体の角度的配向を調整するための角度調整機構を有することを
特徴とする輸送システム。
5. The transport system of claim 1, further comprising an angle adjustment mechanism for adjusting an angular orientation of the wafer transfer arm assembly with respect to the tram.
【請求項6】 請求項4の輸送システムが更に、該トラムに対する該ウエー
ハ移送アーム組立体の角度的配向を調整するための角度調整機構を有することを
特徴とする輸送システム。
6. The transport system of claim 4, further comprising an angle adjustment mechanism for adjusting the angular orientation of said wafer transfer arm assembly with respect to said tram.
【請求項7】 請求項4の輸送システムに於いて、該トラムが該横ガイドレ
ールと該更に進んだ横ガイドレールとにそれぞれ従動的な設置用組立体を用いて
取り付けられていることを特徴とする輸送システム。
7. The transportation system according to claim 4, wherein said tram is mounted on said lateral guide rail and said further lateral guide rail, respectively, using a passive mounting assembly. And transport system.
【請求項8】 半導体ウエーハ処理装置が、 直線状の移送パスに沿って伸びる中央支持部と 該直線状の移送パスに沿った並進的な運動用に該中央支持部の第1の側に配
置され、かつ、該支持部に設置された第1のウエーハ輸送ユニットと、 該直線状の移送パスに沿う該第1の輸送ユニットと平行で並進的な運動用に
該中央支持部の第2の側に配置され、かつ、該支持部に設置された第2のウエー
ハ輸送ユニットとを備えた ウエーハコンベアシステムと、 前記ウエーハコンベアシステムの相対する側に隣接する複数のウエーハ処理モ
ジュールとを具備しており、そして 前記第1及び第2ウエーハ輸送ユニットは個別のウエーハをそれぞれ支持する
ようそしてそれら間を半導体ウエーハを移送するために前記ウエーハ処理モジュ
ールの各々にアクセスするよう適合されていることを特徴とする半導体ウエーハ
処理装置。
8. A semiconductor wafer processing apparatus having a central support extending along a linear transport path and a first side of the central support for translational movement along the linear transport path. A first wafer transport unit mounted on the support and a second wafer of the central support for translational movement parallel to the first transport unit along the linear transport path. Side, and a wafer conveyer system including a second wafer transport unit installed on the support portion, and a plurality of wafer processing modules adjacent to opposing sides of the wafer conveyer system. And the first and second wafer transport units are associated with each of the wafer processing modules to support individual wafers respectively and to transfer semiconductor wafers therebetween. A semiconductor wafer processing apparatus adapted to access the semiconductor wafer.
【請求項9】 請求項8の該半導体ウエーハ処理装置に於いて、前記第1及
び第2ウエーハ輸送ユニットがそれぞれの磁気的リニアモータを使用して該移送
パスに沿って取り除かれることを特徴とする半導体ウエーハ処理装置。
9. The semiconductor wafer processing apparatus according to claim 8, wherein said first and second wafer transport units are removed along said transport path using respective magnetic linear motors. Semiconductor wafer processing equipment.
【請求項10】 請求項8の該半導体ウエーハ処理装置に於いて、各前記少
なくとも1つのウエーハ輸送ユニットが トラムと、 概ね水平な面内で2自由度の運動用に前記トラムに連結されたウエーハ移送ア
ームとを備えており、該ウエーハ移送アームは半導体ウエーハを保持するために
その遠位の端部に設置された真空支持部を有しており、該ウエーハ輸送ユニット
は又 前記トラムに対する前記ウエーハ移送アームの垂直位置を調整するための移送
アームエレベータを備えていることを特徴とする半導体ウエーハ処理装置。
10. The semiconductor wafer processing apparatus of claim 8, wherein each said at least one wafer transport unit is a tram and a wafer coupled to said tram for two degrees of freedom movement in a generally horizontal plane. A transfer arm, the wafer transfer arm having a vacuum support mounted at a distal end thereof for holding a semiconductor wafer, wherein the wafer transport unit also includes the wafer to the tram. A semiconductor wafer processing apparatus comprising a transfer arm elevator for adjusting a vertical position of a transfer arm.
【請求項11】 請求項8の該半導体ウエーハ処理装置に於いて、前記第1
及び第2ウエーハ輸送ユニットの各々が前記ウエーハ処理モジュールに対する該
それぞれのウエーハ輸送ユニットの位置を測定するための位置センサを含むこと
を特徴とする半導体ウエーハ処理装置。
11. The semiconductor wafer processing apparatus according to claim 8, wherein the first
And each of the second wafer transport units includes a position sensor for measuring a position of the respective wafer transport unit with respect to the wafer processing module.
【請求項12】 請求項8の該半導体ウエーハ処理装置が更に、 複数の半導体ウエーハを中に有するウエーハカセットを支持するために前記ウ
エーハコンベアに隣接した少なくとも1つのウエーハインターフエースモジュー
ルを備えており、 前記ウエーハインターフエースは前記第1及び第2ウエーハ輸送ユニットの少
なくとも1つに全ウエーハカセットの中の該半導体ウエーハにアクセスさせるよ
う引き出し位置に前記ウエーハカセットを提出するよう構成されていることを特
徴とする半導体ウエーハ処理装置。
12. The semiconductor wafer processing apparatus according to claim 8, further comprising at least one wafer interface module adjacent to said wafer conveyor for supporting a wafer cassette having a plurality of semiconductor wafers therein. The wafer interface is configured to submit the wafer cassette to a withdrawal position to allow at least one of the first and second wafer transport units to access the semiconductor wafer in all wafer cassettes. Semiconductor wafer processing equipment.
【請求項13】 請求項12の該半導体ウエーハ処理装置に於いて、各前記
少なくとも1つのウエーハインターフエースは、 ウエーハカセットを積載位置と移送位置の間で動かすためのウエーハカセット
ターンスタイルと、 前記ウエーハカセットに隣接しておりウエーハカセットをそれらの間で移送し
該ウエーハカセットを該引き出し位置に供給するよう構成されたウエーハカセッ
トエレベータとを含むことを特徴とする半導体ウエーハ処理装置。
13. The semiconductor wafer processing apparatus according to claim 12, wherein each of the at least one wafer interface includes a wafer cassette turn style for moving a wafer cassette between a loading position and a transfer position, and the wafer. A wafer cassette elevator adjacent to the cassette and configured to transfer the wafer cassette between them and supply the wafer cassette to the unloading position.
【請求項14】 請求項12の該半導体ウエーハ処理装置に於いて、前記半
導体ウエーハ処理装置が更に前記少なくとも1つのウエーハインターフエースモ
ジュールに隣接しウエーハカセットに通り過ぎさせるよう構成されたカセット積
載ドアを有することを特徴とする半導体ウエーハ処理装置。
14. The semiconductor wafer processing apparatus according to claim 12, wherein said semiconductor wafer processing apparatus further has a cassette loading door adjacent to said at least one wafer interface module and adapted to pass through a wafer cassette. A semiconductor wafer processing apparatus characterized by the above-mentioned.
【請求項15】 請求項8の該半導体ウエーハ処理装置が更に、 未処理の半導体ウエーハを含むウエーハカセットを受けるための第1のウエー
ハインターフエースモジュールを具備しており、該第1のウエーハインターフエ
ースモジュールは概ね水平な引き出し位置にある該ウエーハ移送組立体に該未処
理半導体ウエーハを提出するようになっており、該処理装置は又 ウエーハ移送組立体の下からウエーハカセット内に処理された半導体ウエーハ
を受けるための第2のウエーハインターフエースモジュールを具備しており、該
第2のウエーハインターフエースモジュールは概ね水平な挿入位置にある該ウエ
ーハ移送組立体から該処理された半導体ウエーハを受け入れるようになっている
ことを特徴とする半導体ウエーハ処理装置。
15. The semiconductor wafer processing apparatus according to claim 8, further comprising a first wafer interface module for receiving a wafer cassette containing an unprocessed semiconductor wafer, wherein said first wafer interface is provided. A module is adapted to submit the unprocessed semiconductor wafer to the wafer transfer assembly in a generally horizontal withdrawal position, and the processing apparatus also includes a semiconductor wafer processed in a wafer cassette from under the wafer transfer assembly. A second wafer interface module for receiving the processed semiconductor wafer from the wafer transfer assembly in a generally horizontal insertion position. A semiconductor wafer processing apparatus.
【請求項16】 請求項8の該半導体ウエーハ処理装置に於いて、該中央支
持部は 枠と、 該直線状のパスの長さに沿い該枠の第1の側に沿って該枠に対し固定した関係
を有する第1セットの磁気的セグメントと、 該直線状のパスの長さに沿い該枠の第2の側に沿って該枠に対し固定した関係
を有する第2セットの磁気的セグメントと、 該枠の相対する側に設置され該第1及び第2ウエーハ移送ユニットをそれぞれ
支持する第1及び第2横ガイドレールとを有することを特徴とする半導体ウエー
ハ処理装置。
16. The semiconductor wafer processing apparatus of claim 8, wherein the central support is a frame, and the frame supports the frame along a first side of the frame along a length of the linear path. A first set of magnetic segments having a fixed relationship, and a second set of magnetic segments having a fixed relationship to the frame along a second side of the frame along a length of the linear path. And a first and second lateral guide rail installed on opposite sides of the frame to support the first and second wafer transfer units, respectively.
【請求項17】 請求項16の該半導体ウエーハ処理装置に於いて、該第1
及び第2ウエーハ移送ユニットの各々が 該それぞれの横ガイドレールに並進可能に取り付けられたトラムと、 該ウエーハ移送ユニットを該それぞれのガイドレールに沿って動かすために該
それぞれの磁気的セグメントと協力関係を有して該トラムに設置された電磁石と
、 該ウエーハ移送ユニットの位置と移送アーム組立体を制御するための制御信号
に応答する複数のアクチュエータと、 該移送ユニットの位置と該移送アーム組立体をモニターするための複数のセン
サとを有することを特徴とする半導体ウエーハ処理装置。
17. The semiconductor wafer processing apparatus according to claim 16, wherein the first
And a tram in which each of the second wafer transfer units is translatably mounted on the respective lateral guide rail; and cooperates with the respective magnetic segment to move the wafer transfer unit along the respective guide rail. An electromagnet mounted on the tram having: a plurality of actuators responsive to control signals for controlling a position of the wafer transfer unit and a transfer arm assembly; a position of the transfer unit and the transfer arm assembly And a plurality of sensors for monitoring the temperature of the semiconductor wafer.
【請求項18】 請求項8の該半導体ウエーハ処理装置が更に、前記半導体
ウエーハ処理装置に空気を供給するために前記ウエーハ処理モジュールの相対す
るものの間に空気供給部を有することを特徴とする半導体ウエーハ処理装置。
18. The semiconductor wafer processing apparatus according to claim 8, further comprising an air supply section between the opposite ones of said wafer processing modules for supplying air to said semiconductor wafer processing apparatus. Wafer processing equipment.
【請求項19】 請求項8の該半導体ウエーハ処理装置に於いて、前記ウエ
ーハコンベアシステムが空気を除去するためにそれに隣接する少なくとも1つの
排気ダクトを有することを特徴とする半導体ウエーハ処理装置。
19. The semiconductor wafer processing apparatus according to claim 8, wherein said wafer conveyer system has at least one exhaust duct adjacent thereto for removing air.
【請求項20】 請求項8の該半導体ウエーハ処理装置に於いて、前記ウエ
ーハ処理モジュールが互換性があることを特徴とする半導体ウエーハ処理装置。
20. The semiconductor wafer processing apparatus according to claim 8, wherein said wafer processing modules are interchangeable.
【請求項21】 半導体ウエーハ処理装置が、 半導体ウエーハを処理するための複数のウエーハ処理モジュールを具備してお
り、前記ウエーハ処理モジュールの各々は互換性があり、該装置は又 前記ウエーハ処理モジュールに隣接しており概ね直線状の移送パスに沿う制御
された運動に適合された少なくとも1つのウエーハ輸送ユニットを備えたウエー
ハコンベアを具備しており、 前記少なくとも1つのウエーハ輸送ユニットは半導体ウエーハを支持するよう
そしてそれらの間での半導体ウエーハの移送のために前記ウエーハ処理モジュー
ルの各々にアクセスするよう構成されていることを特徴とする半導体ウエーハ処
理装置。
21. A semiconductor wafer processing apparatus, comprising: a plurality of wafer processing modules for processing a semiconductor wafer, each of said wafer processing modules being interchangeable, said apparatus also comprising: A wafer conveyor having at least one wafer transport unit adapted for controlled movement along an adjacent and generally linear transport path, the at least one wafer transport unit supporting a semiconductor wafer And a semiconductor wafer processing apparatus configured to access each of said wafer processing modules for transferring semiconductor wafers between them.
【請求項22】 請求項21の該半導体ウエーハ処理装置に於いて、前記ウ
エーハコンベアは磁気的リニアモータを使用して前記少なくとも1つのウエーハ
輸送ユニットを該直線状の移送パスに沿って動かすことを特徴とする半導体ウエ
ーハ処理装置。
22. The semiconductor wafer processing apparatus according to claim 21, wherein the wafer conveyor moves the at least one wafer transport unit along the linear transfer path using a magnetic linear motor. Characteristic semiconductor wafer processing equipment.
【請求項23】 複数のウエーハ処理モジュールとそれに隣接するウエーハ
コンベアとを有する半導体ウエーハ処理装置内で半導体ウエーハを扱う方法が、 a.複数の半導体ウエーハを中に有する少なくとも1つのウエーハカセットを
受ける過程と、 b.該少なくとも1つのウエーハカセットの1つと該ウエーハ処理モジュール
の第1の1つの間で第1の個別ウエーハを輸送するために該ウエーハコンベアに
沿って第1のウエーハ輸送ユニットを動かす過程と、 c.該少なくとも1つのウエーハカセットの1つと該ウエーハ処理モジュール
の第2の1つの間で第2の個別ウエーハを輸送するために該ウエーハコンベアに
沿って第2のウエーハ輸送ユニットを動かす過程とを具備しており、 該第1のウエーハ輸送ユニットの前記移動過程と該第2のウエーハ輸送ユニッ
トの前記移動過程とが時間的に重なり合っていることを特徴とする複数のウエー
ハ処理モジュールとそれに隣接するウエーハコンベアとを有する半導体ウエーハ
処理装置内で半導体ウエーハを扱う方法。
23. A method for handling a semiconductor wafer in a semiconductor wafer processing apparatus having a plurality of wafer processing modules and a wafer conveyor adjacent thereto, comprising: a. Receiving at least one wafer cassette having a plurality of semiconductor wafers therein; b. Moving a first wafer transport unit along the wafer conveyor to transport a first individual wafer between one of the at least one wafer cassette and a first one of the wafer processing modules; c. Moving a second wafer transport unit along the wafer conveyor to transport a second individual wafer between one of the at least one wafer cassette and a second one of the wafer processing modules. A plurality of wafer processing modules and a wafer conveyor adjacent thereto, wherein the moving process of the first wafer transport unit and the moving process of the second wafer transport unit overlap in time. A method for handling a semiconductor wafer in a semiconductor wafer processing apparatus having the following.
【請求項24】 請求項23の該方法が更に、中に含まれる半導体ウエーハ
を概ね水平な配向で提出するために垂直な配向から水平な配向への少なくとも1
つのウエーハカセットを段階的に並進させる過程を具備することを特徴とする方
法。
24. The method of claim 23, further comprising at least one of a vertical orientation to a horizontal orientation to submit the semiconductor wafer contained therein in a generally horizontal orientation.
A step of translating two wafer cassettes in a stepwise manner.
【請求項25】 請求項23の方法が更に、 未処理の半導体ウエーハを有するウエーハカセットを第1のインターフエース
モジュール内に貯蔵する過程と、 処理されたウエーハを有するウエーハカセットを第2のインターフエースモジ
ュール内に貯蔵する過程とを具備することを特徴とする方法。
25. The method of claim 23, further comprising: storing the wafer cassette with the unprocessed semiconductor wafer in the first interface module; and storing the wafer cassette with the processed wafer in the second interface. Storing in a module.
【請求項26】 半導体処理装置の処理部分内で半導体ウエーハをマニピュ
レートするための輸送システムが、 ウエーハ移送ユニットが第1の位置と第2の位置の間を移動する時該ウエーハ
移送ユニットを支持するために該処理装置内に配置された輸送ユニットガイドを
具備しており、該輸送ユニットガイドは枠と、該枠上に設置された横ガイドレー
ルとそして該横ガイドレールの近くで該輸送ユニットガイド上に配備された1連
の磁気的セグメントとを備えており、 該ウエーハ移送ユニットは該横ガイドレールに並進可能に取り付けられたトラ
ムと、該半導体ウエーハをマニピュレートするためのウエーハ移送アーム組立体
と、該移送ユニットを該ガイドレールに沿って動かすために該磁気的セグメント
と協力関係を有するよう該トラム上に設置された電磁石とを有しており、そして
該輸送システムは又 該トラムに対する該ウエーハ移送アーム組立体の角度的な配向を調整するため
の角度的調整機構を具備することを特徴とするを半導体処理装置の処理部分内で
半導体ウエーハをマニピュレートするための輸送システム。
26. A transport system for manipulating a semiconductor wafer within a processing portion of a semiconductor processing apparatus, wherein the transport system supports the wafer transfer unit when the wafer transfer unit moves between a first position and a second position. A transport unit guide disposed within the processing apparatus, the transport unit guide comprising a frame, a lateral guide rail mounted on the frame, and the transport unit guide near the lateral guide rail. A series of magnetic segments disposed thereon, the wafer transfer unit having a tram translatably mounted on the lateral guide rails, and a wafer transfer arm assembly for manipulating the semiconductor wafer. On the tram to cooperate with the magnetic segment to move the transfer unit along the guide rails An electromagnet mounted thereon and the transport system also includes an angular adjustment mechanism for adjusting the angular orientation of the wafer transfer arm assembly with respect to the tram. A transport system for manipulating semiconductor wafers in the processing section of the processing equipment.
【請求項27】 請求項26の輸送システムが更に、 該移送ユニットの位置と移送アーム組立体を制御するための制御信号に応答す
る複数のアクチュエータと、 該移送ユニットの位置と該移送アーム組立体をモニターするための複数のセン
サとを具備することを特徴とする輸送システム。
27. The transport system of claim 26, further comprising: a plurality of actuators responsive to control signals for controlling a position of the transfer unit and a transfer arm assembly; and a position of the transfer unit and the transfer arm assembly. And a plurality of sensors for monitoring the transport.
【請求項28】 請求項27の輸送システムが更に、 該移送ユニットから遠くに配置された制御器を具備しており、該制御器は該セ
ンサから受信した信号に応答し該移送ユニットと移送アーム組立体の運動を導く
ために該制御信号を該アクチュエータに供給するようになっており、そして該輸
送システムは又 該ウエーハ移送ユニットの操作の制御を容易化するために該ウエーハ移送ユニ
ットと該制御器との間に通信リンクを具備することを特徴とする輸送システム。
28. The transport system of claim 27, further comprising a controller remote from the transfer unit, the controller responsive to signals received from the sensor, the transfer unit and the transfer arm. The control system is adapted to supply the control signal to the actuator to direct movement of the assembly, and the transport system also includes the wafer transfer unit and the control to facilitate control of operation of the wafer transfer unit. A transport system comprising a communication link with a vessel.
【請求項29】 請求項28の輸送システムに於いて、該ウエーハ移送ユニ
ットと該制御器との間の該通信リンクが光通信リンクであることを特徴とする輸
送システム。
29. The transportation system according to claim 28, wherein said communication link between said wafer transfer unit and said controller is an optical communication link.
【請求項30】 請求項29の輸送システムに於いて、該光通信リンクが該
ウエーハ移送ユニットと該制御器との間に伸びる1つ以上の光フアイバー線を含
むことを特徴とする輸送システム。
30. The transport system of claim 29, wherein the optical communication link includes one or more optical fiber lines extending between the wafer transport unit and the controller.
【請求項31】 請求項26の輸送システムが更に、 該枠上に設置され該横ガイドレールに平行に、かつ、垂直にその下に配置され
た更に進んだ横ガイドレールを具備しており、 該トラムは該更に進んだ横ガイドレールに並進可能に取り付けられていること
を特徴とする輸送システム。
31. The transport system of claim 26, further comprising a further advanced lateral guide rail mounted on the frame and disposed parallel to and vertically below the lateral guide rail, A transport system wherein the tram is translatably mounted on the further advanced lateral guide rail.
【請求項32】 請求項31の輸送システムに於いて、該トラムは該横ガイ
ドレールと該更に進んだ横ガイドレールとにそれぞれの従動的な設置用組立体を
用いて取り付けられていることを特徴とする輸送システム。
32. The transport system of claim 31, wherein said tram is mounted to said lateral guide rail and said further lateral guide rail using respective passive mounting assemblies. Characterized transportation system.
JP2000514323A 1997-09-30 1998-01-06 Semiconductor processing apparatus having a linear conveyor system Pending JP2001518710A (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US94052497A 1997-09-30 1997-09-30
US08/940,524 1997-09-30
US08/990,107 US6672820B1 (en) 1996-07-15 1997-12-15 Semiconductor processing apparatus having linear conveyer system
US08/990,107 1997-12-15
PCT/US1998/000132 WO1999017356A1 (en) 1997-09-30 1998-01-06 Semiconductor processing apparatus having linear conveyor system

Publications (1)

Publication Number Publication Date
JP2001518710A true JP2001518710A (en) 2001-10-16

Family

ID=27130139

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000514323A Pending JP2001518710A (en) 1997-09-30 1998-01-06 Semiconductor processing apparatus having a linear conveyor system

Country Status (5)

Country Link
EP (1) EP1027730A1 (en)
JP (1) JP2001518710A (en)
CN (1) CN1129175C (en)
AU (1) AU6016498A (en)
WO (1) WO1999017356A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011103463A (en) * 2009-11-10 2011-05-26 Intevac Inc Linear vacuum robot with z motion and articulated arm
JP2013168441A (en) * 2012-02-14 2013-08-29 Yaskawa Electric Corp Conveying device

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6168695B1 (en) * 1999-07-12 2001-01-02 Daniel J. Woodruff Lift and rotate assembly for use in a workpiece processing station and a method of attaching the same
DE19921246C2 (en) * 1999-05-07 2003-06-12 Infineon Technologies Ag Plant for the production of semiconductor products
KR20010107766A (en) 2000-05-26 2001-12-07 마에다 시게루 Substrate processing apparatus and substrate plating apparatus
US7214027B2 (en) * 2003-10-16 2007-05-08 Varian Semiconductor Equipment Associates, Inc. Wafer handler method and system
JP4462912B2 (en) * 2003-12-10 2010-05-12 大日本スクリーン製造株式会社 Substrate processing apparatus and management method of substrate processing apparatus
JP4471708B2 (en) * 2004-03-31 2010-06-02 キヤノンアネルバ株式会社 Substrate transfer device
US20070040314A1 (en) * 2005-07-12 2007-02-22 Lkt Automation Sdn Bhd Adjustable tool holder
US7901539B2 (en) * 2006-09-19 2011-03-08 Intevac, Inc. Apparatus and methods for transporting and processing substrates
SG147353A1 (en) 2007-05-07 2008-11-28 Mfg Integration Technology Ltd Apparatus for object processing
EP2574574B1 (en) * 2011-09-30 2014-01-29 CareFusion Germany 326 GmbH Pharmacy picking device with universal supply and control module
JP6053528B2 (en) * 2013-01-11 2016-12-27 株式会社荏原製作所 Substrate gripping device
EP2865614B1 (en) * 2013-10-25 2017-06-28 Mettler-Toledo Garvens GmbH Frame for a conveyor belt assembly
US10236197B2 (en) * 2014-11-06 2019-03-19 Applied Materials, Inc. Processing system containing an isolation region separating a deposition chamber from a treatment chamber
WO2017026256A1 (en) * 2015-08-07 2017-02-16 日本電産サンキョー株式会社 Industrial robot
CN117116825B (en) * 2023-10-19 2024-02-13 昆山科比精工设备有限公司 Feeding and conveying device of silicon wafer jig

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5172803A (en) * 1989-11-01 1992-12-22 Lewin Heinz Ulrich Conveyor belt with built-in magnetic-motor linear drive
US5544421A (en) * 1994-04-28 1996-08-13 Semitool, Inc. Semiconductor wafer processing system
US5664337A (en) * 1996-03-26 1997-09-09 Semitool, Inc. Automated semiconductor processing systems

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011103463A (en) * 2009-11-10 2011-05-26 Intevac Inc Linear vacuum robot with z motion and articulated arm
JP2013168441A (en) * 2012-02-14 2013-08-29 Yaskawa Electric Corp Conveying device

Also Published As

Publication number Publication date
WO1999017356A1 (en) 1999-04-08
EP1027730A1 (en) 2000-08-16
CN1129175C (en) 2003-11-26
AU6016498A (en) 1999-04-23
CN1272960A (en) 2000-11-08

Similar Documents

Publication Publication Date Title
US6672820B1 (en) Semiconductor processing apparatus having linear conveyer system
US6091498A (en) Semiconductor processing apparatus having lift and tilt mechanism
US6645355B2 (en) Semiconductor processing apparatus having lift and tilt mechanism
JP2001518710A (en) Semiconductor processing apparatus having a linear conveyor system
US6440178B2 (en) Modular semiconductor workpiece processing tool
US7102763B2 (en) Methods and apparatus for processing microelectronic workpieces using metrology
EP0634783B1 (en) Thermal process module for substrate coat/develop system
US5651823A (en) Clustered photolithography system
US9508573B2 (en) Substrate processing apparatus and substrate processing method
KR101520982B1 (en) Vertical system for the plating treatment of a work piece and method for conveying the work piece
KR101047799B1 (en) Substrate processing apparatus
KR20010080658A (en) Apparatus for storing and moving a cassette
KR101022959B1 (en) Substrate processing apparatus
US6991710B2 (en) Apparatus for manually and automatically processing microelectronic workpieces
JPH10144599A (en) Rotary treatment equipment and its washing method
JP2003218018A (en) Processing device
KR20100053469A (en) Substrate convey processing device
WO1998002912A1 (en) Interface apparatus for a semiconductor workpiece processing tool
KR20010015680A (en) Semiconductor processing apparatus having linear conveyor system
WO1998002907A1 (en) Control system for a semiconductor workpiece processing tool
TW452843B (en) Semiconductor processing apparatus having linear conveyor system
JP2003045776A (en) Substrate processing apparatus