JP2001500641A - 並列データプロセッサにおけるアクティブ命令を回転させる方法および装置 - Google Patents
並列データプロセッサにおけるアクティブ命令を回転させる方法および装置Info
- Publication number
- JP2001500641A JP2001500641A JP08536744A JP53674496A JP2001500641A JP 2001500641 A JP2001500641 A JP 2001500641A JP 08536744 A JP08536744 A JP 08536744A JP 53674496 A JP53674496 A JP 53674496A JP 2001500641 A JP2001500641 A JP 2001500641A
- Authority
- JP
- Japan
- Prior art keywords
- instructions
- instruction
- order
- classifier
- issue
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000034 method Methods 0.000 title claims abstract description 43
- 230000015654 memory Effects 0.000 claims abstract description 84
- 238000012545 processing Methods 0.000 claims description 24
- 230000002441 reversible effect Effects 0.000 claims description 3
- 238000007667 floating Methods 0.000 description 24
- 238000010586 diagram Methods 0.000 description 21
- 238000012546 transfer Methods 0.000 description 8
- 238000004364 calculation method Methods 0.000 description 7
- 230000008569 process Effects 0.000 description 7
- 230000006870 function Effects 0.000 description 5
- 238000004422 calculation algorithm Methods 0.000 description 4
- 238000007726 management method Methods 0.000 description 4
- 238000006243 chemical reaction Methods 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 230000004927 fusion Effects 0.000 description 3
- 108090000623 proteins and genes Proteins 0.000 description 3
- 238000013519 translation Methods 0.000 description 3
- 230000014616 translation Effects 0.000 description 3
- 230000009471 action Effects 0.000 description 2
- 230000000903 blocking effect Effects 0.000 description 2
- 238000004891 communication Methods 0.000 description 2
- 230000000295 complement effect Effects 0.000 description 2
- 239000013256 coordination polymer Substances 0.000 description 2
- 230000001934 delay Effects 0.000 description 2
- 230000007246 mechanism Effects 0.000 description 2
- INLLJVOEAABJFM-OSNVTACLSA-N (2s)-6-amino-2-[[(5r,6r)-3,3-dimethyl-7-oxo-6-[(2-phenylacetyl)amino]-4-thia-1-azabicyclo[3.2.0]heptane-2-carbonyl]amino]hexanoic acid Chemical compound N([C@H]1[C@H]2SC(C(N2C1=O)C(=O)N[C@@H](CCCCN)C(O)=O)(C)C)C(=O)CC1=CC=CC=C1 INLLJVOEAABJFM-OSNVTACLSA-N 0.000 description 1
- 241001517013 Calidris pugnax Species 0.000 description 1
- 235000012601 Euterpe oleracea Nutrition 0.000 description 1
- 244000207620 Euterpe oleracea Species 0.000 description 1
- 235000003650 acai Nutrition 0.000 description 1
- 239000000654 additive Substances 0.000 description 1
- 230000000996 additive effect Effects 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000004590 computer program Methods 0.000 description 1
- 238000012937 correction Methods 0.000 description 1
- 238000013500 data storage Methods 0.000 description 1
- 230000003111 delayed effect Effects 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 239000006185 dispersion Substances 0.000 description 1
- 238000011156 evaluation Methods 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 238000013507 mapping Methods 0.000 description 1
- 239000000463 material Substances 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 230000005055 memory storage Effects 0.000 description 1
- 230000000116 mitigating effect Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 230000008520 organization Effects 0.000 description 1
- 150000003017 phosphorus Chemical class 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 238000012913 prioritisation Methods 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 238000011867 re-evaluation Methods 0.000 description 1
- 238000004064 recycling Methods 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 230000001360 synchronised effect Effects 0.000 description 1
- 230000001960 triggered effect Effects 0.000 description 1
Classifications
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline or look ahead
- G06F9/3818—Decoding for concurrent execution
- G06F9/382—Pipelined decoding, e.g. using predecoding
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline or look ahead
- G06F9/3802—Instruction prefetching
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline or look ahead
- G06F9/3802—Instruction prefetching
- G06F9/3814—Implementation provisions of instruction buffers, e.g. prefetch buffer; banks
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline or look ahead
- G06F9/3836—Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline or look ahead
- G06F9/3836—Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
- G06F9/3838—Dependency mechanisms, e.g. register scoreboarding
- G06F9/384—Register renaming
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline or look ahead
- G06F9/3854—Instruction completion, e.g. retiring, committing or graduating
- G06F9/3856—Reordering of instructions, e.g. using queues or age tags
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline or look ahead
- G06F9/3854—Instruction completion, e.g. retiring, committing or graduating
- G06F9/3858—Result writeback, i.e. updating the architectural state or memory
Landscapes
- Engineering & Computer Science (AREA)
- Software Systems (AREA)
- Theoretical Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Engineering & Computer Science (AREA)
- General Physics & Mathematics (AREA)
- Advance Control (AREA)
Abstract
Description
Claims (1)
- 【特許請求の範囲】 1.複数のアドレス可能な記憶素子中に記憶された順次実行可能な命令セット を有する並列処理マイクロプロセッサにおいて、前記命令の発行をコーディネー トするための方法であって、 前記記憶素子から複数の命令を同時にフェッチングし、 物理的メモリ順序として定義される、順次アドレスされた記憶素子から受信し た順序で、並列に前記命令を記憶し、さらに 前記記憶された命令を発行順序に分類する、 各ステップからなる、命令の発行をコーディネートするための方法。 2.前記フェッチング、記憶、および分類ステップは、単一のクロックサイク ル内で完了される、第1項記載の方法。 3.前記発行順序の命令をデスパッチに先立ってラッチし、 前記発行順序に基づく優先度で、前記ラッチされた命令をデスパッチする、各 ステップを含む第1項記載の方法。 4.選択された数の前記発行順序の命令を実行のためにデスパッチし、 残りの数のデスパッチされていない命令を前記物理的メモリ順序に再分類し、 前記記憶素子から第2のセットの多重命令を同時にフェッチングし、 前記命令を物理的メモリ順序で並列に記憶し、 前記再分類された命令を前記第2のセットの命令にミックスし、 前記ミックスされた命令を物理的メモリ順序に再順序化し、さらに 前記再順序化されたメモリを実行のためのデスパッチに先立って 発行順序に分類する、各ステップを含む第1項記載の方法。 5.命令セットを有するアドレス可能な記憶装置を含む並列命令プロセッサ内 に命令の発行をコーディネートするための装置であって、該装置は、 前記アドレス可能な記憶装置に接続され、前記アドレス可能な記憶装置に関係 する物理的メモリ順序で受信された命令の第1のサブセットを発行順序に分類す る第1の分類器セットであって、前記発行順序は前記命令セットを実行するため に予め決められた順序であり、さらに前記命令の第1のサブセットは発行順序命 令として言及されるものと、および 前記第1のセットの分類器に接続され、さらに選択された前記発行順序命令が デスパッチされるまで前記発行順序命令を保持する、ラッチセット、 とを備える、命令の発行をコーディネートするための装置。 6.前記装置は、 前記アドレス可能な記憶装置を前記第1のセットの分類器に接続し、前記命令 のフェッチされたサブセットを受信するプレ分類器のセットと、および 前記ラッチを前記プレ分類器に接続し、前記ラッチから受信された非選択の命 令を物理的メモリ順序に再分類するための第2のセットの分類器であって、物理 的順序化された後、前記非選択の命令はアドレス順序非選択命令として言及され るものとを含み、 前記第2のセットの分類器はアドレス順序の非選択命令を前記プレ分類器に送 り、ここにおいて前記アドレス順序非選択命令および前記フェッチされた命令は 物理的メモリ順序にプレ分類され、 前記プレ分類器は前記プレ分類されたアドレス順序命令を前記第1の分類器セ ットに送るものである、第5項記載の装置。 7.前記プロセッサは、前記装置に選択および回転信号を提供して前記プレ分 類器および第1の分類器によってそれぞれ受信された命令の選択を指示し、前記 アドレス可能な記憶装置はバンクセットに組織され、前記命令セットは前記バン クにわたって実行順序で順次記憶されるものであり、 さらに前記装置は、 前記アドレス可能な記憶装置を前記プレ分類器に接続し、前記フェッチされた 命令のサブセットを前記アドレス可能な記憶装置から受信し、かつ前記フェッチ された命令のサブセットを前記プレ分類器に配送する並列記憶素子セットであっ て、それぞれの並列記憶素子は前記バンクのそれぞれと前記プレ分類器のそれぞ れに関連しているものと、および 前記第1の分類器によって前記命令の選択を逆にするために前記回転信号を受 信して回転解除(unrotate)信号を展開し、かつ前記回転解除信号を前 記第2の分類器に供給して前記第2の分類器によって受信された命令の選択を指 示する、回転解除ユニットとを備え、 前記各分類器は関連する1個の前記記憶素子と前記第2の分類器の1個からか ら入力命令を受信し、前記選択信号は前記第1の分類器に出力された前記受信命 令の1個の選択を指示し、 前記各第1の分類器は前記各プレ分類器から命令を受信し、前記回転信号は関 連する1個のラッチに出力された前記受信命令の1個の選択を指示し、 前記各第2の分類器は前記各ラッチから命令を受信し、前記回転解除信号は前 記プレ分類器の関連する1個に出力された前記受信命令の1個の選択を指示する 、第6項記載の装置。 8.アドレス可能なメモリ位置において予め決められた実行順序 を有する命令セットを含む外部メモリと、 前記外部メモリに接続され選択された命令を並列に処理するプロセッサと、お よび 前記プロセッサに接続され前記プロセッサから情報を受信しかつ前記プロセッ サに情報を送信する入力/出力装置とを備える、並列命令処理システムにおいて 、 前記プロセッサは、前記メモリに接続され前記命令の発行をコーディネートす る発行ユニットを含み、 該発行ユニットは、 前記アドレス可能な記憶装置に接続し物理的メモリ順序で受信された命令の第 1のサブセットを発行順序に分類する第1の分類器セットであって、前記物理的 メモリ順序は前記アドレス可能な記憶装置に関係し、前記発行順序は前記命令セ ットを実行するための予め決められた順序であり、順序化後、前記命令の第1の サブセットは発行順序命令として言及されるものと、および 前記第1のセットの分類器に接続し、選択された発行順序命令がデスパッチさ れるまで前記発行順序命令を保持するラッチセット、とを備えるものである、並 列命令処理システム。 9.前記発行ユニットは、 前記アドレス可能な記憶装置を前記第1のセットの分類器に接続しかつ前記命 令のフェッチされたサブセットを受信するプレ分類器セットと、および 前記ラッチを前記プレ分類器に接続し、前記ラッチから受信された非選択命令 を物理的メモリ順序に再分類する第2の分類器セットであって、物理的メモリ順 序化の後、前記非選択命令はアドレス順序の非選択命令として言及されるもの、 とを含み、 前記第2のセットの分類器は前記アドレス順序の非選択命令を前 記プレ分類器に送信し、この時前記アドレス順序の非選択命令およびフェッチさ れた命令は物理的メモリ順序にプレ分類され、 前記プレ分類器は前記プレ分類されたアドレス順序の命令を第1の分類器セッ トに送信する、第8項記載のシステム。 10.前記プロセッサは選択および回転信号を前記発行ユニットに提供して前 記プレ分類器および第1の分類器によってそれぞれ受信された命令の選択を指示 し、前記アドレス可能な記憶装置はバンクセットに組織され、前記命令セットは 前記バンクにわたって実行順序で順次記憶され、 前記発行ユニットは、 前記アドレス可能な記憶装置を前記プレ分類器に接続し、前記アドレス可能な 記憶装置から前記フェッチされた命令のサブセットを受信し、さらに前記フェッ チされた命令のサブセットを前記プレ分類器に配送する、並列記憶素子セットで あって、該各並列記憶素子は前記バンクのそれぞれの1個および前記プレ分類器 のそれぞれの1個に関連するものと、および 前記回転信号を受信し、前記第1の分類器による命令の選択を反転するために 前記回転信号を受信して回転解除信号を展開し、さらに前記回転解除信号を前記 第2の分類器に供給して前記第2の分類器によって受信された命令の選択を指示 する回転解除ユニットを備え、 前記各分類器は前記関連する1個の記憶素子および前記1個の第2の分類器か ら入力命令を受信し、前記選択信号は、前記第1の分類器に出力された前記受信 命令の1個の選択を指示し、 前記各第1の分類器は前記各プレ分類器から命令を受信し、前記回転信号は前 記ラッチの関連する1個に出力された前記受信命令の1個の選択を指示し、 前記各第2の分類器は前記各ラッチから命令を受信し、前記回転解除信号は前 記プレ分類器の関連する1個に出力された前記受信命令の1個の選択を指示する ものである、第8項記載のシステム。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US45704995A | 1995-06-01 | 1995-06-01 | |
US08/457,049 | 1995-06-01 | ||
PCT/US1996/008255 WO1996038783A1 (en) | 1995-06-01 | 1996-05-31 | Method and apparatus for rotating active instructions in a parallel data processor |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2001500641A true JP2001500641A (ja) | 2001-01-16 |
JP3597540B2 JP3597540B2 (ja) | 2004-12-08 |
Family
ID=23815247
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP53674496A Expired - Fee Related JP3597540B2 (ja) | 1995-06-01 | 1996-05-31 | 並列データプロセッサにおけるアクティブ命令を回転させる方法および装置 |
Country Status (5)
Country | Link |
---|---|
US (1) | US5838940A (ja) |
EP (1) | EP0829045B1 (ja) |
JP (1) | JP3597540B2 (ja) |
DE (1) | DE69623461T2 (ja) |
WO (1) | WO1996038783A1 (ja) |
Families Citing this family (26)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH1049369A (ja) * | 1996-08-07 | 1998-02-20 | Ricoh Co Ltd | データ処理装置 |
US6334213B1 (en) * | 1998-01-20 | 2001-12-25 | Preview Systems | Merging of separate executable computer programs to form a single executable computer program |
US5974531A (en) * | 1998-02-17 | 1999-10-26 | Industrial Technology Research Institute | Methods and systems of stack renaming for superscalar stack-based data processors |
US6345286B1 (en) * | 1998-10-30 | 2002-02-05 | International Business Machines Corporation | 6-to-3 carry-save adder |
US6807624B1 (en) * | 1998-12-17 | 2004-10-19 | Fujitsu Limited | Instruction control device and method therefor |
JP3554211B2 (ja) * | 1998-12-17 | 2004-08-18 | 富士通株式会社 | マイクロプログラムを用いた命令制御装置および方法 |
US6665708B1 (en) | 1999-11-12 | 2003-12-16 | Telefonaktiebolaget Lm Ericsson (Publ) | Coarse grained determination of data dependence between parallel executed jobs in an information processing system |
US6345351B1 (en) | 1999-11-12 | 2002-02-05 | Telefonaktiebolaget Lm Ericsson(Publ) | Maintenance of speculative state of parallel executed jobs in an information processing system |
US6351802B1 (en) * | 1999-12-03 | 2002-02-26 | Intel Corporation | Method and apparatus for constructing a pre-scheduled instruction cache |
US6785714B1 (en) * | 2000-09-28 | 2004-08-31 | Microsoft Corporation | System and method for employing slot level locking of a cache |
WO2003025929A1 (en) * | 2001-09-14 | 2003-03-27 | Seagate Technology Plc | Prioritizing commands in a data storage device |
US7825935B1 (en) * | 2001-11-30 | 2010-11-02 | Nvidia Corporation | System, method and computer program product for using textures as instructions for graphics processing |
US20070186081A1 (en) * | 2006-02-06 | 2007-08-09 | Shailender Chaudhry | Supporting out-of-order issue in an execute-ahead processor |
US20080077777A1 (en) * | 2006-09-25 | 2008-03-27 | Arm Limited | Register renaming for instructions having unresolved condition codes |
US8806505B1 (en) * | 2008-06-30 | 2014-08-12 | Bank Of America Corporation | Service and project request processing within a business enterprise |
US8924692B2 (en) | 2009-12-26 | 2014-12-30 | Intel Corporation | Event counter checkpointing and restoring |
US20120227045A1 (en) * | 2009-12-26 | 2012-09-06 | Knauth Laura A | Method, apparatus, and system for speculative execution event counter checkpointing and restoring |
US8635566B2 (en) * | 2011-12-20 | 2014-01-21 | Advanced Micro Devices, Inc. | Parity error detection verification |
GB2514618B (en) * | 2013-05-31 | 2020-11-11 | Advanced Risc Mach Ltd | Data processing systems |
US9619230B2 (en) | 2013-06-28 | 2017-04-11 | International Business Machines Corporation | Predictive fetching and decoding for selected instructions |
US9513924B2 (en) * | 2013-06-28 | 2016-12-06 | Globalfoundries Inc. | Predictor data structure for use in pipelined processing |
US10503513B2 (en) * | 2013-10-23 | 2019-12-10 | Nvidia Corporation | Dispatching a stored instruction in response to determining that a received instruction is of a same instruction type |
US10372458B2 (en) * | 2015-04-01 | 2019-08-06 | Huawei Technologies Co., Ltd | Method and apparatus for a self-clocked, event triggered superscalar processor |
US9645637B2 (en) | 2015-09-04 | 2017-05-09 | International Business Machines Corporation | Managing a free list of resources to decrease control complexity and reduce power consumption |
US9977677B2 (en) | 2016-04-07 | 2018-05-22 | International Business Machines Corporation | Execution slice with supplemental instruction port for an instruction using a source operand from another instruction port |
GB2572954B (en) * | 2018-04-16 | 2020-12-30 | Advanced Risc Mach Ltd | An apparatus and method for prefetching data items |
Family Cites Families (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CA1183275A (en) * | 1981-10-02 | 1985-02-26 | Martin J. Schwartz | Byte addressable memory for variable length instructions and data |
US4654781A (en) * | 1981-10-02 | 1987-03-31 | Raytheon Company | Byte addressable memory for variable length instructions and data |
US4916652A (en) * | 1987-09-30 | 1990-04-10 | International Business Machines Corporation | Dynamic multiple instruction stream multiple data multiple pipeline apparatus for floating-point single instruction stream single data architectures |
US5247628A (en) * | 1987-11-30 | 1993-09-21 | International Business Machines Corporation | Parallel processor instruction dispatch apparatus with interrupt handler |
EP0363176B1 (en) * | 1988-10-07 | 1996-02-14 | International Business Machines Corporation | Word organised data processors |
US5127093A (en) * | 1989-01-17 | 1992-06-30 | Cray Research Inc. | Computer look-ahead instruction issue control |
US5295249A (en) * | 1990-05-04 | 1994-03-15 | International Business Machines Corporation | Compounding preprocessor for cache for identifying multiple instructions which may be executed in parallel |
JPH052484A (ja) * | 1991-06-24 | 1993-01-08 | Mitsubishi Electric Corp | スーパースカラプロセツサ |
US5519841A (en) * | 1992-11-12 | 1996-05-21 | Digital Equipment Corporation | Multi instruction register mapper |
US5564118A (en) * | 1992-11-12 | 1996-10-08 | Digital Equipment Corporation | Past-history filtered branch prediction |
CA2107046A1 (en) * | 1993-01-08 | 1994-07-09 | James Allan Kahle | Method and system for increased instruction dispatch efficiency in superscalar processor system |
US5519864A (en) * | 1993-12-27 | 1996-05-21 | Intel Corporation | Method and apparatus for scheduling the dispatch of instructions from a reservation station |
US5559975A (en) * | 1994-06-01 | 1996-09-24 | Advanced Micro Devices, Inc. | Program counter update mechanism |
-
1996
- 1996-05-31 EP EP96919024A patent/EP0829045B1/en not_active Expired - Lifetime
- 1996-05-31 JP JP53674496A patent/JP3597540B2/ja not_active Expired - Fee Related
- 1996-05-31 WO PCT/US1996/008255 patent/WO1996038783A1/en active IP Right Grant
- 1996-05-31 DE DE69623461T patent/DE69623461T2/de not_active Expired - Lifetime
-
1997
- 1997-09-08 US US08/926,185 patent/US5838940A/en not_active Expired - Lifetime
Also Published As
Publication number | Publication date |
---|---|
JP3597540B2 (ja) | 2004-12-08 |
DE69623461D1 (de) | 2002-10-10 |
DE69623461T2 (de) | 2003-07-24 |
EP0829045B1 (en) | 2002-09-04 |
WO1996038783A1 (en) | 1996-12-05 |
EP0829045A1 (en) | 1998-03-18 |
US5838940A (en) | 1998-11-17 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP3597540B2 (ja) | 並列データプロセッサにおけるアクティブ命令を回転させる方法および装置 | |
US6334176B1 (en) | Method and apparatus for generating an alignment control vector | |
US5996057A (en) | Data processing system and method of permutation with replication within a vector register file | |
US5761476A (en) | Non-clocked early read for back-to-back scheduling of instructions | |
US5553256A (en) | Apparatus for pipeline streamlining where resources are immediate or certainly retired | |
US5758112A (en) | Pipeline processor with enhanced method and apparatus for restoring register-renaming information in the event of a branch misprediction | |
US5546597A (en) | Ready selection of data dependent instructions using multi-cycle cams in a processor performing out-of-order instruction execution | |
US6351804B1 (en) | Control bit vector storage for a microprocessor | |
US9213551B2 (en) | Return address prediction in multithreaded processors | |
EP0437044B1 (en) | Data processing system with instruction tag apparatus | |
US7526583B2 (en) | Method and apparatus to launch write queue read data in a microprocessor recovery unit | |
US5564056A (en) | Method and apparatus for zero extension and bit shifting to preserve register parameters in a microprocessor utilizing register renaming | |
US5778210A (en) | Method and apparatus for recovering the state of a speculatively scheduled operation in a processor which cannot be executed at the speculated time | |
US5764943A (en) | Data path circuitry for processor having multiple instruction pipelines | |
US8335912B2 (en) | Logical map table for detecting dependency conditions between instructions having varying width operand values | |
US6393550B1 (en) | Method and apparatus for pipeline streamlining where resources are immediate or certainly retired | |
JPH10254699A (ja) | 浮動小数点演算システム | |
KR100346515B1 (ko) | 수퍼파이프라인된수퍼스칼라프로세서를위한임시파이프라인레지스터파일 | |
US6018798A (en) | Floating point unit using a central window for storing instructions capable of executing multiple instructions in a single clock cycle | |
US5684971A (en) | Reservation station with a pseudo-FIFO circuit for scheduling dispatch of instructions | |
US10620954B2 (en) | Dynamic acceleration of data processor operations using data-flow analysis | |
US6112296A (en) | Floating point stack manipulation using a register map and speculative top of stack values | |
US5689674A (en) | Method and apparatus for binding instructions to dispatch ports of a reservation station | |
CN101438236A (zh) | 用以在微处理器内组合来自多个寄存器单元的对应半字单元的方法及系统 | |
US5787026A (en) | Method and apparatus for providing memory access in a processor pipeline |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20040427 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20040624 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20040810 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20040909 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20080917 Year of fee payment: 4 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20080917 Year of fee payment: 4 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20090917 Year of fee payment: 5 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20090917 Year of fee payment: 5 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20090917 Year of fee payment: 5 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20090917 Year of fee payment: 5 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20090917 Year of fee payment: 5 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20100917 Year of fee payment: 6 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20100917 Year of fee payment: 6 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20110917 Year of fee payment: 7 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20120917 Year of fee payment: 8 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20120917 Year of fee payment: 8 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20130917 Year of fee payment: 9 |
|
LAPS | Cancellation because of no payment of annual fees |