JP2001319975A - Clock phase adjusting system and clock tree designing method - Google Patents

Clock phase adjusting system and clock tree designing method

Info

Publication number
JP2001319975A
JP2001319975A JP2000136680A JP2000136680A JP2001319975A JP 2001319975 A JP2001319975 A JP 2001319975A JP 2000136680 A JP2000136680 A JP 2000136680A JP 2000136680 A JP2000136680 A JP 2000136680A JP 2001319975 A JP2001319975 A JP 2001319975A
Authority
JP
Japan
Prior art keywords
clock
clock tree
tree
blocks
divided
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000136680A
Other languages
Japanese (ja)
Other versions
JP3614758B2 (en
Inventor
Noboru Matsuda
登 松田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sharp Corp
Original Assignee
Sharp Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sharp Corp filed Critical Sharp Corp
Priority to JP2000136680A priority Critical patent/JP3614758B2/en
Publication of JP2001319975A publication Critical patent/JP2001319975A/en
Application granted granted Critical
Publication of JP3614758B2 publication Critical patent/JP3614758B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

PROBLEM TO BE SOLVED: To solve a problem that, in a method for designing a clock tree of ASIC (all containing IC, LSI, and VLSI), as a clock tree composing tool itself has a limit in performance even if a circuit scale increases, for example, in one system clock, an absolute time at a terminal is great, or a skew is great. SOLUTION: In a method for designing a clock tree of ASIC (all containing IC, LSI, and VLSI) which operates at a common cyclic clock and is constituted by a plurality of functional blocks in a layout, the clock tree is divided into the number of functional block or the number less than that, to restrict an absolute delay time and relative delay time (skew) by the number of steps of the clock tree.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、ASIC全般(I
C、LSI、VLSI全て含む)に適用されるクロック位相
調整システム及びクロックツリー設計方法に関する。特
に大規模なASICを設計する場合、クロックツリー合
成が行われるが、遅延(絶対遅延時間)やスキュー(相
対遅延時間のばらつき)などタイミングについて改善に
関するものである。
TECHNICAL FIELD The present invention relates to an ASIC in general (I
The present invention relates to a clock phase adjustment system and a clock tree design method applied to C, LSI, and VLSI. In particular, when designing a large-scale ASIC, clock tree synthesis is performed, but this relates to improvement in timing such as delay (absolute delay time) and skew (variation in relative delay time).

【0002】[0002]

【従来の技術】従来の半導体集積回路の同期設計される
クロックツリー設計方法について説明する。
2. Description of the Related Art A conventional clock tree designing method for synchronously designing a semiconductor integrated circuit will be described.

【0003】図7は、従来技術でクロックツリーを発生
させた場合のブロック図である。入力クロックバッファ
11から末端のブロック12、13、14までの遅延時間は最小
に抑えるようにしており、遅延時間は仮配線容量から求
めている。そして末端ブロック12、13、14の夫々に対応
してクロックドライバセル15が挿入されてクロックツリ
ーが構成される。ここでは、1本のクロックツリーの根
元(図6において入力バッファ11出力)からのクロック
ツリーを構築しているため、クロックツリー段数(クロ
ックバッファのシリーズ段数)は3段になっている。
FIG. 7 is a block diagram showing a case where a clock tree is generated in the prior art. Input clock buffer
The delay time from 11 to the end blocks 12, 13, 14 is kept to a minimum, and the delay time is obtained from the temporary wiring capacity. Then, the clock driver cells 15 are inserted corresponding to each of the end blocks 12, 13, and 14, thereby forming a clock tree. Here, since the clock tree is constructed from the root of one clock tree (the output of the input buffer 11 in FIG. 6), the number of clock tree stages (the number of clock buffer series stages) is three.

【0004】クロックツリー全体の絶対遅延はクロック
バッファ3個分のセル遅延と配線遅延の合計となるの
で、単純にクロックバッファ1個あたりのセル遅延をTck
buf,1本あたりの配線遅延をTwireとすると、 Tdelay = 3*Tckbuf + 3*Twire (式1) で表されたものとなる。
Since the absolute delay of the entire clock tree is the sum of the cell delay for three clock buffers and the wiring delay, the cell delay per clock buffer is simply calculated as Tck
Assuming that buf, the wiring delay per line is Twire, Tdelay = 3 * Tckbuf + 3 * Twire (Equation 1).

【0005】[0005]

【発明が解決しようとする課題】しかしながら、前述し
た従来の技術では、クロックツリー合成ツール自体に性
能の限界があるため、例え、1系統のクロックであって
も、回路規模が大きくなると、末端での絶対時間が大き
くなったり、スキューが大きくなっていた。
However, in the above-mentioned prior art, the performance of the clock tree synthesis tool itself is limited. Therefore, even if a single system clock is used, if the circuit scale becomes large, the end of the clock tree synthesis tool may be reduced. The absolute time of the skew was increased and the skew was increased.

【0006】また、内部PLLを使って位相ロックする
場合、末端までスキューを改善する事は複数の内部PL
Lを使えば不可能では無いが、内部PLL自体の回路規
模が大きくなったり、専用電源の供給が必要なため端子
数が増加したりすると言う課題がある。
[0006] When phase locking is performed using an internal PLL, improving the skew to the end requires a plurality of internal PLLs.
Although it is not impossible if L is used, there are problems that the circuit scale of the internal PLL itself becomes large and the number of terminals increases because a dedicated power supply is required.

【0007】また、最近は消費電力削減のためにパワー
マネジメントを行えるようにクロックツリーを複数に分
けてクロックを停止できるようにする構成が多用されて
きているが、この場合もクロックの根本は同じでもクロ
ックツリーが異なるため、クロックツリー間の信号が行
き来を行う際のクロックスキューが発生し、このスキュ
ー調整のためのレイアウト修正時間がかかったという問
題があった。
In recent years, a configuration has been frequently used in which a clock tree is divided into a plurality of pieces so that clocks can be stopped so that power management can be performed in order to reduce power consumption. However, since the clock trees are different, there is a problem that clock skew occurs when signals between the clock trees move back and forth, and a layout correction time for adjusting the skew is required.

【0008】本発明は、上記課題を解決するためになさ
れたものである。
The present invention has been made to solve the above problems.

【0009】[0009]

【課題を解決するための手段】請求項1の発明は、共通
の周期のクロックで動作し、レイアウト上複数の機能ブ
ロックで構成されるASICのクロック位相調整システ
ムにおいて、クロックツリーをその機能ブロックの数ま
たはそれ以下の数で複数に分けて構成することを特徴と
するクロック位相調整システムである。
According to a first aspect of the present invention, there is provided an ASIC clock phase adjusting system which operates with a clock having a common cycle and includes a plurality of functional blocks on a layout. A clock phase adjustment system characterized in that the clock phase adjustment system is configured to be divided into a plurality of pieces or less pieces.

【0010】請求項2の発明は、前記複数に分割された
ブロック間における信号接続部分において、該入力側
に、クロックの極性切り替えを含む位相調整手段を設け
てなることを特徴とする請求項1に記載のクロック位相
調整システム。
According to a second aspect of the present invention, in a signal connection portion between the plurality of divided blocks, a phase adjusting means including switching of polarity of a clock is provided on the input side. 3. The clock phase adjustment system according to claim 1.

【0011】請求項3の発明は、前記複数に分割された
ブロック間における信号接続部分において、該出力側
に、クロックの極性切り替えを含む位相調整手段を設け
てなることを特徴とする請求項1に記載のクロック位相
調整システムである。
According to a third aspect of the present invention, in a signal connection portion between the plurality of divided blocks, a phase adjusting means including switching of polarity of a clock is provided on the output side. 2. The clock phase adjustment system according to item 1.

【0012】請求項4の発明は、前記極性切り替えをマ
イコン供給してなることを特徴とする請求項2又は3に
記載のクロック位相調整システムである。
A fourth aspect of the present invention is the clock phase adjusting system according to the second or third aspect, wherein the polarity switching is supplied from a microcomputer.

【0013】請求項5の発明は、共通の周期のクロック
で動作し、レイアウト上複数の機能ブロックで構成され
るASIC(IC、LSI、VLSI全て含む)のクロ
ックツリー設計方法において、クロックツリーをその機
能ブロックの数またはそれ以下の数で複数に分けて、ク
ロックツリーの段数を削減することを特徴とするクロッ
クツリー設計方法である。
According to a fifth aspect of the present invention, there is provided a clock tree designing method for an ASIC (including all ICs, LSIs, and VLSIs) which operates with a clock having a common cycle and is composed of a plurality of functional blocks on a layout. A clock tree design method is characterized in that the number of functional blocks is divided into a plurality of functional blocks or less, and the number of clock tree stages is reduced.

【0014】[0014]

【発明の実施の形態】図1は本発明の実施例を示す、機
能ブロックごとに分けて各ブロックごとにクロックツリ
ーを発生させた場合の構成図である。
FIG. 1 is a block diagram showing an embodiment of the present invention, in which a clock tree is generated for each functional block divided into functional blocks.

【0015】図2は、入力側のFFのクロック極性を切
り替えられるようにした位相調整回路図である。
FIG. 2 is a phase adjustment circuit diagram in which the clock polarity of the input FF can be switched.

【0016】図3は図2の動作を説明するタイムチャート
である。
FIG. 3 is a time chart for explaining the operation of FIG.

【0017】図4は、出力側のFFのクロック極性を切
り替えられるようにした位相調整回路図である。
FIG. 4 is a phase adjustment circuit diagram in which the clock polarity of the output FF can be switched.

【0018】図5は、マイコンによるパラメータ調整に
よりクロック極性を切り替えられるようにしたシステム
構成図であり、本発明の応用例である。
FIG. 5 is a system configuration diagram in which the clock polarity can be switched by parameter adjustment by the microcomputer, and is an application example of the present invention.

【0019】図6は、パワーマネジメントを盛り込んだ
クロックツリー構成図である。
FIG. 6 is a configuration diagram of a clock tree incorporating power management.

【0020】以下本発明の実施例について図を用いて具
体的に説明する。
Hereinafter, embodiments of the present invention will be specifically described with reference to the drawings.

【0021】図1は、図7の従来例のブロック図を、本
発明であるブロックの個数に応じてクロックツリーを分
けたものである。すなわち、クロックツリーをその機能
ブロックの数またはそれ以下の数で複数に分けており、
ここでは、この機能ブロック別の分割により、入力クロ
ックバッファ11から末端のブロック12、13、14の間に挿
入されるクロックドライバセル15を2段として、クロッ
クツリーの段数による絶対遅延時間及び相対遅延時間
(スキュー)を抑えている。
FIG. 1 is a block diagram of the conventional example shown in FIG. 7 in which a clock tree is divided according to the number of blocks according to the present invention. That is, the clock tree is divided into a plurality by the number of the functional blocks or less,
Here, the division into each functional block makes the clock driver cell 15 inserted between the input clock buffer 11 and the end blocks 12, 13, 14 two stages, and the absolute delay time and the relative delay according to the number of clock tree stages. Time (skew) is reduced.

【0022】一般的に、機能ブロック内では複数のフリ
ップフロップ(FF)の入出力が(間に論理ゲートの有無
の差はあるが)複雑に接続しあっている。この場合、当
然、各FFのSetup及びHold時間を満たすようにクロック
ツリー合成が行われる。逆に言えば、その機能ブロック
が正しくクロック同期で動作するためには、少なくと
も、機能ブロック内のFFに供給されるクロックに相対遅
延ばらつき(スキュー)が押さえられている必要があ
る。
In general, the input and output of a plurality of flip-flops (FF) are connected in a complicated manner (although there is a difference between the presence and absence of a logic gate) in a functional block. In this case, naturally, the clock tree synthesis is performed so as to satisfy the Setup and Hold time of each FF. Conversely, in order for the functional block to operate correctly in clock synchronization, at least the clock supplied to the FF in the functional block needs to have a relative delay variation (skew) suppressed.

【0023】問題は、機能ブロック間でデータが行き来
する場合(ブロック間配線)である。つまり、ブロック
間配線が全く無い設計では、ブロック内部に供給される
クロックにスキューが無ければそのブロックは正しくク
ロック同期で動作する。しかし、ブロック間配線がある
場合、単純に言えば同じクロックツリーで駆動されれば
良いが、通常は、各ブロック毎にFFの個数は異なるの
で、それらに対応してクロックツリー合成すると遅延の
遅いほうに合わせるようになり、全体のクロックツリー
段数が増え、絶対遅延の増加と共に相対遅延ばらつき
(スキュー)が劣化する傾向となる。
The problem is when data flows between functional blocks (inter-block wiring). That is, in a design having no inter-block wiring, if there is no skew in the clock supplied to the inside of the block, the block operates correctly in clock synchronization. However, if there is wiring between blocks, it is sufficient to simply drive with the same clock tree, but usually the number of FFs is different for each block, so if the clock tree is synthesized corresponding to them, delay is slow Therefore, the total number of clock tree stages increases, and the relative delay variation (skew) tends to deteriorate as the absolute delay increases.

【0024】クロックツリーを機能ブロック毎に分ける
ことにより上記の問題点を避け、絶対遅延とスキューを
最小限に押さえるようにクロックツリー合成を行うこと
ができる。
By dividing the clock tree for each functional block, the above problem can be avoided, and the clock tree can be synthesized so as to minimize the absolute delay and skew.

【0025】上記実施例でのクロックツリー全体の絶対
遅延Tdelayは、従来技術同様の計算方式で求めると、 Tdelay = 2*Tckbuf + 2*Twire (式2) となる。ここで、Tckbufは、クロックバッファ1個あた
りのセル遅延時間、 Twireは、1本あたりの配線遅延
である。
When the absolute delay Tdelay of the entire clock tree in the above embodiment is obtained by a calculation method similar to the prior art, Tdelay = 2 * Tckbuf + 2 * Twire (Equation 2). Here, Tckbuf is the cell delay time per clock buffer, and Twire is the wiring delay per clock buffer.

【0026】また、相対遅延(クロックスキュー)Tske
wは、一般的に末端のクロックバッファ数とクロックツ
リー全体の絶対遅延に比例する傾向にあるので、 Tskew = Kd*Tdelay + Kbuf*Nbuf (式3) となる。ここで、KdはTdelayに対する比例係数、Kbufは
末端のクロックバッファ数Nbufに対する比例係数であ
る。
The relative delay (clock skew) Tske
Since w generally tends to be proportional to the number of terminal clock buffers and the absolute delay of the entire clock tree, Tskew = Kd * Tdelay + Kbuf * Nbuf (Equation 3). Here, Kd is a proportional coefficient with respect to Tdelay, and Kbuf is a proportional coefficient with respect to the terminal clock buffer number Nbuf.

【0027】従い、式1及び式2から判るように、絶対
遅延と相対遅延は1クロックツリーあたりの段数を少な
くするようにクロックツリーを分けた方が小さく抑えら
れる。
Accordingly, as can be seen from Equations 1 and 2, the absolute delay and the relative delay can be reduced by dividing the clock tree so as to reduce the number of stages per clock tree.

【0028】図1では説明を簡単にするために、各クロ
ックツリー間の絶対時間に差がないものとして説明し
た。しかし、実際には、各ブロックのフリップフロップ
(FF)回路の個数が異なるため、クロックツリー段数や
末端のクロックバッファ数が異なることにより、各クロ
ックツリー間での絶対遅延に差が生じることがある。
In FIG. 1, for the sake of simplicity, the description has been made on the assumption that there is no difference in absolute time between clock trees. However, in practice, the number of flip-flop (FF) circuits in each block is different, so that the difference in the number of clock tree stages or the number of terminal clock buffers may cause a difference in the absolute delay between the clock trees. .

【0029】図2、図3は、図1においてブロック間配
線がある場合、各クロックツリー間で生じる絶対遅延の
ばらつきを収するための調整回路を説明するものであ
る。
FIGS. 2 and 3 illustrate an adjusting circuit for accommodating a variation in absolute delay between clock trees when there is a wiring between blocks in FIG.

【0030】図2は、入力側のFFのクロック極性を切り
替えられるようにしたものである。
FIG. 2 shows a configuration in which the clock polarity of the input FF can be switched.

【0031】図2において、例えば、フリップフロップ
FF01が図1のBLOCK1の最終段で、FF01からのデータがB
LOCK2に入力されるブロック間配線の場合、BLOCK2の
初段にクロック2の極性選択付きのFF02を配置し、FF01
からのデータをFF02に入力している。
In FIG. 2, for example, a flip-flop
FF01 is the last stage of BLOCK1 in FIG. 1, and the data from FF01 is B
In the case of wiring between blocks input to LOCK2, FF02 with polarity selection of clock 2 is arranged at the first stage of BLOCK2, and FF01
Is input to FF02.

【0032】この場合、クロックツリー1から供給され
るクロック1とクロックツリー2から供給されるクロッ
ク2は、どちらの絶対遅延が短いかによって、極性選択
は左右されるが、レイアウト後の実配線遅延が判明した
段階で、正しく動作する極性を決定する。これにより、
レイアウト前のタイミング調整を簡略化できる。
In this case, the polarity selection of the clock 1 supplied from the clock tree 1 and the clock 2 supplied from the clock tree 2 depend on which absolute delay is shorter. Is determined, the polarity that operates correctly is determined. This allows
Timing adjustment before layout can be simplified.

【0033】クロック1とクロック2の絶対遅延(Tdela
y1,Tdelay2)の差が半サイクル近くあり、かつTdelay1>T
delay2の場合、クロック2は立ち上がりで受ければ良い
ことになる(図3(a)参照)。同様に、Tdelay1<Tdelay2
の場合、クロック2は立下りで受ければ良いことになる
(図3(b)参照)。また、Tdelay1とTdelay2の差が少
なく、Tdelay1>Tdelay2又はTdelay1<Tdelay2がはっきり
しない場合、クロック2は立下りで受ければ良いことに
なる(図3(c)参照)。
The absolute delay between clock 1 and clock 2 (Tdela
y1, Tdelay2) is close to half a cycle, and Tdelay1> T
In the case of delay2, clock 2 only needs to be received at the rising edge (see FIG. 3A). Similarly, Tdelay1 <Tdelay2
In this case, the clock 2 only needs to be received at the falling edge (see FIG. 3B). When the difference between Tdelay1 and Tdelay2 is small and Tdelay1> Tdelay2 or Tdelay1 <Tdelay2 is not clear, the clock 2 may be received at the falling edge (see FIG. 3C).

【0034】また、外部から入力する場合も受けるクロ
ック位相が選択でき、最適化が可能である。例えば、外
部の信号と内部の信号をセレクタで選択した後、クロッ
ク位相調整回路を通すことで、どちらかに合った位相調
整を行うことができる。
In addition, the clock phase to be received can be selected even when inputting from the outside, and optimization can be performed. For example, after an external signal and an internal signal are selected by a selector and passed through a clock phase adjusting circuit, phase adjustment suitable for either of them can be performed.

【0035】図4のように出力で位相を変えた場合につ
いても同様である。
The same applies to the case where the phase is changed by the output as shown in FIG.

【0036】図4において、例えば、FF03が図1のBLOC
K1の最終段で、FF03からのデータがBLOCK2に入力され
るブロック間配線の場合、FF03からの出力をクロック1
の反転クロックで駆動するFF04を配置し、極性選択信号
により、FF03からのデータかFF04からのデータかの何れ
かを選択したデータをBLOCK1の出力データとしてBLOCK
2の初段のFF05に入力している。なお、ここでBLOCK2
のFF05はクロック2の立ち上がり駆動である。
In FIG. 4, for example, FF03 is the BLOC of FIG.
In the last stage of K1, in the case of inter-block wiring in which data from FF03 is input to BLOCK2, the output from FF03 is clock 1
FF04 driven by the inversion clock of 配置 配置 デ ー タ 配置 配置 デ ー タ デ ー タ 、 極性 、 、 極性 極性 極性 極性 極性 極性 極性 極性 極性 極性 極性 極性 極性 極性 デ ー タ 極性 極性
It is input to FF05 of the first stage of 2. Here, BLOCK2
FF05 is the rising drive of clock 2.

【0037】クロック1とクロック2の絶対遅延(Tdel
ay1,Tdelay2)の差が半サイクル近くあり、かつ、Tdela
y1>Tdelay2の場合、BROCK1は、クロック1の立上りで出
力すれば良いことになる。同様にTdelay1<Tdelay2の場
合、BROCK1は、クロック1の立下りで出力すれば良い
ことになる。また、Tdelay1とTdelay2の差が少なく、Td
elay1>Tdelay2又はTdelay1<Tdelay2がはっきりしない場
合は、BLOCK1クロック1の立下りで出力すれば良いこ
とになる。尚、外部に出力する場合も、クロック位相選
択ができ最適化が可能である。
The absolute delay of clock 1 and clock 2 (Tdel
ay1, Tdelay2) is close to half a cycle, and Tdela
If y1> Tdelay2, BROCK1 should be output at the rising edge of clock 1. Similarly, if Tdelay1 <Tdelay2, BROCK1 only needs to be output at the falling edge of clock 1. Also, the difference between Tdelay1 and Tdelay2 is small, and Td
If elay1> Tdelay2 or Tdelay1 <Tdelay2 is not clear, it is sufficient to output at the falling edge of BLOCK1 clock 1. In addition, when outputting to the outside, the clock phase can be selected and optimization is possible.

【0038】図5は、マイコンからのパラメータ指示に
より、クロック位相調整が可能となるようにしたシステ
ムブロックを示している。なお、図5では位相調整回路
5をBLOCK1またはBLOCK2から分けて書いているが、実
際には、図2または図4で示したように、各ブロックBL
OCK1又はBLOCK2に吸収して構成される。
FIG. 5 shows a system block in which the clock phase can be adjusted by a parameter instruction from the microcomputer. In FIG. 5, the phase adjustment circuit 5 is written separately from BLOCK1 or BLOCK2, but in practice, as shown in FIG. 2 or FIG.
It is configured to be absorbed by OCK1 or BLOCK2.

【0039】マイコン1から8ビットのアドレスデータ
バス、パラメータクロック、アドレス/データモード制
御、リード/ライト制御、チップセレクタ等からなるパ
ラメータ制御信号を、マイコンインタフェース2に入力
する。マイコンインタフェース2は各制御信号に応じ
て、アドレスデータバスからアドレスとデータを切りだ
す。アドレスデコーダ3は、マイコンインタフェース2
で得られたアドレスをデコードし、規定のアドレスに一
致したときのみ、データラッチ回路4でマイコンインタ
フェース2で得られたデータを保持する。
The microcomputer 1 inputs a parameter control signal including an 8-bit address data bus, a parameter clock, an address / data mode control, a read / write control, a chip selector and the like to the microcomputer interface 2. The microcomputer interface 2 extracts an address and data from an address data bus according to each control signal. The address decoder 3 is a microcomputer interface 2
The data obtained by the microcomputer interface 2 is held by the data latch circuit 4 only when the address obtained in step (1) is decoded, and only when the address matches a prescribed address.

【0040】そのデータが、極性信号として位相調整回
路5に供給され位相切り替えが可能となる。
The data is supplied to the phase adjustment circuit 5 as a polarity signal, and the phase can be switched.

【0041】また、パワーマネジメントを行う場合は、
この図6(パワーマネジメントを盛り込んだクロックツ
リー構成図を示す)と図5を組み合わせる事になる。ま
た、その際の位相調整回路5は図2または図4の何れか
が使用される。
When performing power management,
FIG. 6 (showing a clock tree configuration diagram incorporating power management) is combined with FIG. 2 or FIG. 4 is used for the phase adjustment circuit 5 in that case.

【0042】なお、図2と図4はASIC内部ブロック
間データのクロック乗換を行う際の位相調整回路として
説明しているが、本発明はこれに限定するものでなく、
外部のデジタルICとのインタフェースにも使用できる
ことは言うまでもない。例えば、図2の場合は、クロッ
ク1で動作しているFFが外部のデジタルICに相当
し、また図4の場合は、クロック2で動作しているFF
が外部デジタルICに相当する。
Although FIGS. 2 and 4 illustrate the phase adjustment circuit when performing clock transfer of data between blocks in the ASIC, the present invention is not limited to this.
Needless to say, it can be used for an interface with an external digital IC. For example, in the case of FIG. 2, the FF operating at clock 1 corresponds to an external digital IC, and in the case of FIG.
Corresponds to an external digital IC.

【0043】[0043]

【発明の効果】本発明により、クロックツリーの段数を
減らすことにより、クロックツリー合成の結果を改善
し、絶対遅延時間の減少とスキューの発生を押さえるこ
とが可能となる。
According to the present invention, it is possible to improve the result of clock tree synthesis by reducing the number of clock tree stages, to reduce the absolute delay time and to suppress the occurrence of skew.

【0044】また、クロックツリーが分割されるが、ブ
ロック間の接続で、入力または出力のFFのクロック極
性がコントロールできるため、最適の状態に設定でき
る。
Although the clock tree is divided, the clock polarity of the input or output FF can be controlled by connecting the blocks, so that the optimum state can be set.

【0045】さらに、マイコンなどからのパラメータ調
整で設定でき、レイアウト後の配線遅延の結果によっ
て、極性設定が可能になるため、タイミングシミュレー
ション完了までの開発時間を大幅に削減することがで
き、そのコストメリットは非常に大きい。
Furthermore, since it can be set by adjusting parameters from a microcomputer or the like, and the polarity can be set according to the result of wiring delay after layout, the development time until the completion of timing simulation can be greatly reduced, and the cost can be reduced. The benefits are huge.

【図面の簡単な説明】[Brief description of the drawings]

【図1】機能ブロックごとに分けて各ブロックごとにク
ロックツリーを発生させた場合の構成図である。
FIG. 1 is a configuration diagram in a case where a clock tree is generated for each block separately for each functional block.

【図2】入力側のFFのクロック極性を切り替えられる
ようにした位相調整回路図である。
FIG. 2 is a phase adjustment circuit diagram in which the clock polarity of an input-side FF can be switched.

【図3】図2の動作を説明するタイムチャートである。FIG. 3 is a time chart for explaining the operation of FIG. 2;

【図4】出力側のFFのクロック極性を切り替えられる
ようにした位相調整回路図である。
FIG. 4 is a phase adjustment circuit diagram in which the clock polarity of an output FF can be switched.

【図5】マイコンによるパラメータ調整によりクロック
極性を切り替えられるようにしたシステム構成図であ
る。
FIG. 5 is a system configuration diagram in which a clock polarity can be switched by parameter adjustment by a microcomputer.

【図6】パワーマネジメントを盛り込んだクロックツリ
ー構成図である。
FIG. 6 is a clock tree configuration diagram incorporating power management.

【図7】従来の1クロックツリー構造を示す構成図であ
る。
FIG. 7 is a configuration diagram showing a conventional one-clock tree structure.

【符号の説明】[Explanation of symbols]

01〜05 フリップフロップ 1 マイコン 2 マイコンインタフェース 3 アドレスデコーダ 4 データラッチ回路 5 位相調整回路 01 ~ 05 Flip-flop 1 Microcomputer 2 Microcomputer interface 3 Address decoder 4 Data latch circuit 5 Phase adjustment circuit

Claims (5)

【特許請求の範囲】[Claims] 【請求項1】 共通の周期のクロックで動作し、レイア
ウト上複数の機能ブロックで構成されるASICのクロ
ック位相調整システムにおいて、 クロックツリーをその機能ブロックの数またはそれ以下
の数で複数に分けて構成することを特徴とするクロック
位相調整システム。
1. An ASIC clock phase adjustment system which operates with a clock having a common cycle and is composed of a plurality of functional blocks on a layout, wherein a clock tree is divided into a plurality by the number of functional blocks or less. A clock phase adjustment system comprising:
【請求項2】 前記複数に分割されたブロック間におけ
る信号接続部分において、該入力側に、クロックの極性
切り替えを含む位相調整手段を設けてなることを特徴と
する請求項1に記載のクロック位相調整システム。
2. The clock phase according to claim 1, wherein a phase adjustment unit including a clock polarity switch is provided on the input side in a signal connection portion between the plurality of divided blocks. Adjustment system.
【請求項3】 前記複数に分割されたブロック間におけ
る信号接続部分において、該出力側に、クロックの極性
切り替えを含む位相調整手段を設けてなることを特徴と
する請求項1に記載のクロック位相調整システム。
3. The clock phase according to claim 1, wherein a phase adjusting unit including a clock polarity switch is provided on the output side in a signal connection portion between the plurality of divided blocks. Adjustment system.
【請求項4】 前記極性切り替えをマイコン供給してな
ることを特徴とする請求項2又は3に記載のクロック位
相調整システム。
4. The clock phase adjusting system according to claim 2, wherein the polarity switching is supplied from a microcomputer.
【請求項5】 共通の周期のクロックで動作し、レイア
ウト上複数の機能ブロックで構成されるASIC(I
C、LSI、VLSI全て含む)のクロックツリー設計
方法において、クロックツリーをその機能ブロックの数
またはそれ以下の数で複数に分けて、クロックツリーの
段数を削減することを特徴とするクロックツリー設計方
法。
5. An ASIC (I / O) that operates with a clock having a common cycle and includes a plurality of functional blocks on a layout.
C, LSI, and VLSI), wherein the clock tree is divided into a plurality of blocks with the number of functional blocks or less, and the number of stages of the clock tree is reduced. .
JP2000136680A 2000-05-10 2000-05-10 Clock phase adjustment system and clock tree design method Expired - Fee Related JP3614758B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2000136680A JP3614758B2 (en) 2000-05-10 2000-05-10 Clock phase adjustment system and clock tree design method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000136680A JP3614758B2 (en) 2000-05-10 2000-05-10 Clock phase adjustment system and clock tree design method

Publications (2)

Publication Number Publication Date
JP2001319975A true JP2001319975A (en) 2001-11-16
JP3614758B2 JP3614758B2 (en) 2005-01-26

Family

ID=18644583

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000136680A Expired - Fee Related JP3614758B2 (en) 2000-05-10 2000-05-10 Clock phase adjustment system and clock tree design method

Country Status (1)

Country Link
JP (1) JP3614758B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007300290A (en) * 2006-04-28 2007-11-15 Nec Electronics Corp Clock distribution circuit

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007300290A (en) * 2006-04-28 2007-11-15 Nec Electronics Corp Clock distribution circuit
US7567110B2 (en) 2006-04-28 2009-07-28 Nec Electronics Corporation Clock distribution circuit

Also Published As

Publication number Publication date
JP3614758B2 (en) 2005-01-26

Similar Documents

Publication Publication Date Title
JP2735034B2 (en) Clock signal distribution circuit
US6550044B1 (en) Method in integrating clock tree synthesis and timing optimization for an integrated circuit design
JP4130006B2 (en) Semiconductor device
US6574781B1 (en) Design methodology for inserting RAM clock delays
US6711089B2 (en) Method and apparatus for performing signal synchronization
US20070129923A1 (en) Dynamic synchronizer simulation
JP2002083000A (en) Logic circuit design method and logic circuit
JP2000341093A (en) Low power consumption digital logic circuit
JP3412745B2 (en) Clock supply device for semiconductor circuit and design method thereof
JPH0645879A (en) Flip flop
JP3614758B2 (en) Clock phase adjustment system and clock tree design method
JPH10133768A (en) Clock system and semiconductor device, and method for testing semiconductor device, and cad device
JP2007109773A (en) Large-scale semiconductor integrated circuit device
US6476639B2 (en) Semiconductor integrated circuit device capable of producing output thereof without being influenced by other input
JP2000276504A (en) Device for converting logical connection information
JPH1126591A (en) Function block, semiconductor integrated circuit device, and simulation method of integrated circuit
JP3139750B2 (en) Timing adjustment method
JP2004127012A (en) Synchronous circuit and its design method
JP2008219535A (en) Synchronous circuit
JP2001257566A (en) Latch circuit with enable function
JP2000353939A (en) Clock signal synchronous flip flop circuit
JP2000035832A (en) Semiconductor integrated circuit and its clock distributing method
JP3866562B2 (en) Semiconductor integrated circuit design method
JP2000236062A (en) Semiconductor integrated circuit
JP2000307411A (en) Simultaneous operation control circuit and its control method

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040315

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040511

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040709

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20040709

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20041019

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20041027

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081112

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091112

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091112

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101112

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111112

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111112

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121112

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121112

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131112

Year of fee payment: 9

LAPS Cancellation because of no payment of annual fees