JP2001034647A - クロック分配回路、クロック分配回路の設計方法及び半導体集積回路 - Google Patents

クロック分配回路、クロック分配回路の設計方法及び半導体集積回路

Info

Publication number
JP2001034647A
JP2001034647A JP11203479A JP20347999A JP2001034647A JP 2001034647 A JP2001034647 A JP 2001034647A JP 11203479 A JP11203479 A JP 11203479A JP 20347999 A JP20347999 A JP 20347999A JP 2001034647 A JP2001034647 A JP 2001034647A
Authority
JP
Japan
Prior art keywords
buffer
clock
clock signal
distribution circuit
signal line
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP11203479A
Other languages
English (en)
Inventor
Koji Tainaka
浩治 田井中
Yoshitaka Ueda
佳孝 上田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sanyo Electric Co Ltd
Original Assignee
Sanyo Electric Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sanyo Electric Co Ltd filed Critical Sanyo Electric Co Ltd
Priority to JP11203479A priority Critical patent/JP2001034647A/ja
Publication of JP2001034647A publication Critical patent/JP2001034647A/ja
Pending legal-status Critical Current

Links

Landscapes

  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

(57)【要約】 【課題】 半導体集積回路の設計TATを短縮すると共
にクロックスキューの改善を精度良く行うことが可能な
クロック分配回路の設計方法を提供すること。 【解決手段】 クロック発生回路1から同期クロック信
号ラインを複数の論理ブロックに対してツリー状に配し
たバッファ5,6を介して同一のタイミングにて供給す
るクロック分配回路の設計する際、バッファ6として、
第1バッファ6aと第2バッファ6bとを直列に接続し
た構成を用いて、標準的なクロック分配回路を作製し、
その後、各クロック信号ラインA〜Cの伝搬遅延時間が
等しくなるように第1バッファ6a又は第2バッファ6
bの位置を変更する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、クロック分配回
路、クロック分配方法及び半導体集積回路に関する。
【0002】
【従来の技術】デジタル集積回路に分類される集積回路
の総称である論理LSIの設計フローでは、仕様設計→
機能設計→論理設計→回路設計→レイアウト設計の順序
で各設計工程が行われる。
【0003】仕様設計では、論理LSIの具体的な用
途,価格,目標性能,内蔵機能,従来品種との互換性と
いった要素について実現可能性を吟味し、要素間の整合
性を考慮しつつ外部仕様としてまとめる。
【0004】機能設計では、まず、データの流れと制御
の考え方を主要ハードウェアと共に表現した機能ブロッ
ク図を作成し、次に、機能ブロック図に基づいてハード
ウェア記述言語を用いて全機能を詳細に記述した詳細機
能記述を作成し、続いて、詳細機能記述を機能シミュレ
ータにかけて機能検証を行う。
【0005】論理設計では、機能ブロック図および詳細
機能記述を具体的なハードウェアに変換してロジック図
(ネットリスト)を作成する。
【0006】レイアウト設計では、まず、ネットリスト
に表現された論理をパターン設計が可能なレベルまで具
体化する回路設計を行って回路図を作成し、次に、回路
図に基づいてパターン設計を行ってパターン図を作成
し、続いて、パターン図に基づいて、回路図を構成する
各素子や素子間を接続する配線を半導体基板上に具体化
するためのマスクを作成する。
【0007】以上の設計フローで半導体基板上に作成さ
れた実際の論理LSIでは、配線抵抗,配線容量,負荷
容量などによって配線遅延が生じ、これら配線遅延によ
ってクロック遅延が発生する。例えば、関連する複数の
フリップフロップに入力されるクロックに伝搬時間差
(クロックスキュー)が発生し、この伝搬時間差が許容
量を超えると、各フリップフロップの正常な同期動作が
阻害されて、データホールド違反が起こるおそれがあ
る。
【0008】このように、クロックスキューが過度に発
生すると、論理回路のクロック同期動作が阻害されるタ
イミング違反が起こり、機能設計で作成した詳細機能記
述を満足しなくなるために、仕様設計で作成した外部仕
様を実現できなくなる。特に、LSIの微細化が進むに
つれて、配線遅延が大きくなり、このようなタイミング
違反が頻繁に発生する可能性がある。
【0009】そこで、従来の半導体集積回路のCADを
用いたレイアウト手法においては、複数の論理ブロック
に対し同期クロック信号を同一タイミングにて供給する
場合、図8に示すように、クロック発生回路51から各
論理ブロック52〜55へのクロックライン上に、単一
タイプのバッファ56〜62をツリー状に配置し、クロ
ック発生回路51と各バッファ間の配線長を等しくする
ことで、各論理ブロック52〜55へのクロック信号の
伝搬遅延差(クロックスキュー)を少なくする方法(ク
ロックツリーシンセシス)が取られている。
【0010】このような方式においては、CADのライ
ブラリのデータに基づいた遅延値、あるいは遅延パラメ
ータ値を使用して遅延の見積もりを行い、クロック信号
の元であるクロック発生回路51の出力端子から、各論
理ブロック52〜55のクロック入力端子までの遅延差
つまりクロックスキューが小さくなるように調整する。
【0011】しかしながら、実際の遅延はLSIを製造
する場合の各種条件等により、ばらつきを生じる。この
ばらつきを考慮した場合、単にライブラリの値のみに基
づいて調整を行った場合、物理的にばらつきが大きい部
分ではレイアウト時の計算よりも遅延のずれが大きくな
り、計算値に対し無視できないクロックスキューとなる
場合がある。
【0012】このばらつきは、レイアウト用のCADで
は一般に考慮不可能なものであるため、レイアウト用の
CADに対するマージンとして扱われている。従って、
このマージンはレイアウトCADでは調整できないもの
となり、このマージンを一律に考慮することにより、物
理的なばらつきが小さい回路部分に関しても、必要以上
のマージンを考慮する必要があり、結果としてクロック
スキュー調整の精度を悪くし、回路設計に必要以上の制
約を課すことになるという問題があった。
【0013】このような問題を解決する手法として、例
えば、特開平10−11494号公報には、クロック発
生回路から前記論理ブロック間へのツリー経路の遅延時
間を算出し、ツリー上のバッファを入力論理しきい値の
異なる複数のバッファから選択的に置換し、各ツリーの
伝搬遅延時間が同一となるように調整することが記載さ
れている。
【0014】
【発明が解決しようとする課題】製造プロセスに起因し
て、ツリー上のバッファの特性に変動が生じることは往
々にして考えられ、この場合、バッファが1種類である
と変動量も等しいために実質的に問題は生じないが、従
来例のように、ツリー毎に異なる種類のバッファが存在
すると、各バッファ毎に特性の変動量にばらつきが生
じ、その結果新たに伝搬遅延時間差が生じる問題があ
る。
【0015】本発明は上記問題点を解決するためになさ
れたものであって、その第1の目的は、半導体集積回路
のクロックスキュー調整精度の高いクロック分配回路及
び分配方法を提供することにある。
【0016】また、本発明の第2の目的は、タイミング
違反を防止することのできる半導体集積回路を提供する
ことにある。
【0017】
【課題を解決するための手段】本発明の第1の局面にお
けるクロック分配回路は、クロック発生回路からクロッ
ク信号ラインを複数の論理ブロックに対してツリー状に
配したバッファを介して供給するクロック分配回路にお
いて、前記バッファを、第1バッファと第2バッファと
を直列に接続することにより構成し、各クロック信号ラ
インの伝搬遅延時間が等しくなるように前記第1バッフ
ァ及び第2バッファの少なくとも一方の位置を設定した
ことをその要旨とする。
【0018】本発明の第2の局面におけるクロック分配
回路は、クロック発生回路から同期クロック信号ライン
を複数の論理ブロックに対してツリー状に配したバッフ
ァを介して同一のタイミングにて供給するクロック分配
回路において、前記バッファを、第1バッファと第2バ
ッファとを直列に接続することにより構成し、各クロッ
ク信号ラインの伝搬遅延時間が等しくなるように前記第
1バッファ及び第2バッファの少なくとも一方の位置を
設定することをその要旨とする。
【0019】すなわち、各クロック信号ラインには同じ
タイプのバッファを使用しているので、製造プロセスに
起因して特性が変動しても問題が発生しにくい。
【0020】しかも、ラインにおける修正個所には、少
なくとも第1バッファ又は第2バッファが存在している
ので、バッファ間又はバッファと論理ブロックとの間の
距離が過度に長くならず、クロック信号の波形なまりが
抑制される。
【0021】この場合において、クロック信号ラインの
配線遅延時間を長くするために、前記クロック信号ライ
ンの総ライン長が増加するように、前記第1バッファ及
び第2バッファの少なくとも一方を配置する。ライン長
が増加した箇所には、第1バッファ及び第2バッファの
少なくとも一方が存在しているので、ライン長が増加し
たにもかかわらず、バッファ間又はバッファと論理ブロ
ックとの間の距離が過度に長くならず、クロック信号の
波形なまりが抑制される。
【0022】また、クロック信号ラインの配線遅延時間
を短くするために、前記クロック信号ラインの総ライン
長が減少するように、前記第1バッファ及び第2バッフ
ァの少なくとも一方を配置する。
【0023】また、前記クロック信号ラインの総ライン
長を変えずに、前記第1のバッファ及び第2のバッファ
の一方を、他方とは反対側にずらす。
【0024】こうすることで、クロック信号の波形なま
りが抑制されて、配線遅延時間を短くすることができ
る。
【0025】また、本発明のクロック分配回路の設計方
法は、クロック発生回路から同期クロック信号ラインを
複数の論理ブロックに対してツリー状に配したバッファ
を介して同一のタイミングにて供給するクロック分配回
路の設計方法において、前記バッファとして、第1バッ
ファと第2バッファとを直列に接続した構成を用いて、
標準的なクロック分配回路を作製し、その後、各クロッ
ク信号ラインの伝搬遅延時間が等しくなるように前記第
1バッファ及び第2バッファの少なくとも一方の位置を
変更することをその要旨とする。
【0026】すなわち、各同期クロック信号ラインには
同じタイプのバッファを使用することになり、製造プロ
セスに起因して特性が変動しても問題が発生しにくい。
【0027】しかも、バッファを、第1バッファと第2
バッファとの直列構造として標準的なクロック分配回路
を作製し、その後、第1バッファ又は第2バッファの位
置を変更することにより、各クロック信号ラインの伝搬
遅延時間が等しくなるよう調整するので、ラインにおけ
る修正個所には、少なくとも第1バッファ又は第2バッ
ファが存在することになり、バッファ間又はバッファと
論理ブロックとの間の距離が過度に長くならず、クロッ
ク信号の波形なまりが抑制される。
【0028】この場合、前記クロック信号ラインの総ラ
イン長が増加するように、前記第1バッファ及び第2バ
ッファの少なくとも一方を配置する。こうすることで、
クロック信号ラインの配線遅延時間を長くすることがで
きる。また、ライン長が増加した箇所に第1バッファ及
び第2バッファの少なくとも一方が存在することになる
ので、ライン長が増加したにもかかわらず、バッファ間
又はバッファと論理ブロックとの間の距離が過度に長く
ならず、クロック信号の波形なまりが抑制される。
【0029】また、前記クロック信号ラインの総ライン
長が減少するように、前記第1バッファ及び第2バッフ
ァの少なくとも一方を配置する。こうすることで、クロ
ック信号ラインの配線遅延時間を短くすることができ
る。
【0030】また、前記クロック信号ラインの総ライン
長を変えずに、前記第1のバッファ及び第2のバッファ
の一方を、他方とは反対側にずらす。こうすることで、
クロック信号の波形なまりが抑制されて、配線遅延時間
を短くすることができる。
【0031】また、本発明の半導体集積回路は、一方の
論理ブロックから他方の論理ブロックへのデータ伝送ラ
イン上に、第1バッファと第2バッファとを直列に介挿
し、前記一方の論理ブロックと他方の論理ブロックとの
間のタイミング違反が解消するように前記第1バッファ
及び第2バッファの少なくとも一方の位置を設定したこ
とをその要旨とする。このように、ラインにおける修正
個所には、少なくとも第1バッファ又は第2バッファが
存在しているので、第1又は第2バッファと論理ブロッ
クとの間の距離が過度に長くならず、データ信号の波形
なまりが抑制される。
【0032】この場合において、データ伝送ラインの配
線遅延時間を長くするために、前記データ伝送ラインの
総ライン長が増加するように、前記第1バッファ及び第
2バッファの少なくとも一方を配置する。ライン長が増
加した箇所には、第1バッファ及び第2バッファの少な
くとも一方が存在しているので、ライン長が増加したに
もかかわらず、第1又は第2バッファと論理ブロックと
の間の距離が過度に長くならず、データ信号の波形なま
りが抑制される。
【0033】また、前記データ伝送ラインの総ライン長
が減少するように、前記第1バッファ及び第2バッファ
の少なくとも一方を配置する。
【0034】また、前記データ伝送ラインの総ライン長
を変えずに、前記第1のバッファ及び第2のバッファの
一方を、他方とは反対側にずらす。
【0035】こうすることで、データ信号の波形なまり
が抑制されて、配線遅延時間を短くすることができる。
【0036】
【発明の実施の形態】(第1実施形態)本第1実施形態
における半導体集積回路のクロック分配回路の設計方法
を、図1に示すフローチャート及び図2〜図5に示すク
ロック分配回路に従って説明する。
【0037】本第1実施形態の論理LSIの設計フロー
においても、従来と同様に、仕様設計→機能設計→論理
設計→レイアウト設計の順序で各設計工程を行う。そし
て、レイアウト設計において、以下のとおり、クロック
分配回路の作製及びクロックスキューの改善を行う。
【0038】まず、S100において、クロックツリー
シンセシスにより、図2に示すとおり、クロック発生回
路1から、論理ブロックとしてのD型フリップフロップ
(以下、DFFという)2,3,4へツリー状にバッフ
ァ5,6を介して同期クロック信号が分配されている標
準的なクロック分配回路を設計する。尚、バッファ5は
各クロック信号ラインに共通のバッファであり、バッフ
ァ6は各クロック信号ライン毎に独立して設けられたバ
ッファである。
【0039】本第1実施形態の特徴として、バッファ6
は、第1バッファ6aと第2バッファ6bとを直列に接
続した2段に構成されている。但し、第1バッファ6a
と第2バッファ6bとは同一構造のものを用いる。
【0040】次に、S200において、各バッファ5,
6の遅延ライブラリを参照して回路シミュレータ等によ
り、クロック発生回路1からDFF2,3,4に至るク
ロック信号ラインA,B,Cのそれぞれの伝搬遅延時間
を測定する。
【0041】S300において、それぞれの伝搬遅延時
間を比較し、クロックスキューが許容範囲か否かを判定
することにより、クロックスキューの改善を必要とする
クロック信号ラインを特定する。ここで、クロックスキ
ューの改善を必要とするケースとしては、 (1)クロック信号ラインAの伝搬遅延時間が、他の2
つのラインB,Cに比べて短い場合。
【0042】(2)クロック信号ラインAの伝搬遅延時
間が、他の2つのラインB,Cに比べて長い場合。
【0043】(3)クロック信号ラインBの伝搬遅延時
間に比べて、クロック信号ラインAの伝搬遅延時間が短
く、クロック信号ラインCの伝搬遅延時間が長い場合
(クロック信号ラインAの伝搬遅延時間に比べて、クロ
ック信号ラインBの伝搬遅延時間が長く、クロック信号
ラインCの伝搬遅延時間が更に長い場合) S400においては、上記(1)(2)(3)のそれぞ
れのケースに応じて、以下の修正作業を行う。
【0044】(1)クロック信号ラインAの伝搬遅延時
間が、他の2つのラインB,Cに比べて短い場合(図3
(a))。
【0045】この場合、クロック信号ラインAの総配線
長が他のクロック信号ラインに比べて短く、配線負荷が
小さいことが考えられるので、図3(b)に示すとお
り、クロック信号ラインAの第2バッファ6bの位置を
ずらせて、クロック信号ラインAのライン長を延長させ
ることにより、配線負荷を大きくして、伝搬遅延時間を
増加させる。
【0046】この時、第2バッファ6bを設けずに、単
にライン部分のみを延長して配線負荷を大きくすること
も考えられるが、この場合、ライン部分が過度に長くな
って、クロック信号に波形なまりが生じる。
【0047】クロック信号に波形なまりが発生すると、
ノイズの原因となる上に、予想以上に遅延時間が増加す
ることになり、期待したクロックスキューの改善ができ
ない問題が生じる。
【0048】一方、本第1実施形態にあっては、ライン
の延長部分に第2バッファ6bが存在することで、ライ
ン長が増加したにもかかわらず、バッファ間又はバッフ
ァと論理ブロックとの間の距離が過度に長くならず、ク
ロック信号の波形なまりが抑制されるので、このような
不具合は生じることが無い。
【0049】尚、バッファ5とバッファ6との間が短い
場合は、第1バッファ6aの位置をずらせて、この部分
のライン長を延長しても良く、また、第1バッファ6a
と第2バッファ6bの双方の位置をずらせて、それぞれ
の部分のライン長を延長するようにしても良い。
【0050】(2)クロック信号ラインAの伝搬遅延時
間が、他の2つのラインB,Cに比べて長い場合(図4
(a))。
【0051】この場合、クロック信号ラインAにおい
て、バッファ5から第1バッファ6aに至る配線長が長
く配線負荷が大きいために、伝達されるクロック信号の
波形になまりが生じて遅延時間が大きくなっているの
で、図4(b)に示すとおり、クロック信号ラインAの
ライン長は変えずに、第1バッファ6aをラインに沿っ
てバッファ5の方向にずらすことにより、第1バッファ
6aをバッファ5に近づける。その結果、バッファ5と
第1バッファ6aとの間の配線長が短くなりこの部分の
配線負荷が小さくなるので、クロック信号の波形なまり
が改善され、伝搬遅延時間が減少する。尚、第1バッフ
ァ6aをバッファ5に近づけた結果、第1バッファ6a
と第2バッファ6bとの間の距離が増加してこの部分の
配線負荷が増えるので、この部分の配線負荷を過度に増
大させてしまわない範囲で移動させる必要がある。
【0052】この場合において、第2バッファ6bとD
FF2との間の配線長が長くて問題となっている場合
は、第2バッファ6bをDFF2に近づけるようにして
も良い。
【0053】(3)クロック信号ラインBの伝搬遅延時
間に比べて、クロック信号ラインAの伝搬遅延時間が短
く、クロック信号ラインCの伝搬遅延時間が長い場合
(図5(a))。
【0054】クロック信号ラインBを基準とした場合
は、図5(b)に示すとおり、クロック信号ラインAに
対し、上記(1)の処置を施し、クロック信号ラインC
に対し、上記(2)の処置を施す。
【0055】また、クロック信号ラインCを基準とした
場合は、図6(c)に示すとおり、クロック信号ライン
A,Bに対し、上記(1)の処置を施すが、クロック信
号ラインAの方がクロック信号ラインBに比べて伝搬遅
延時間が短いので、クロック信号ラインAのライン長の
延長距離を、クロック信号ラインBに比べて大きくす
る。
【0056】また、クロック信号ラインAを基準とした
場合は、図6(d)に示すとおり、クロック信号ライン
B,Cに対し、上記(2)の処置を施すが、クロック信
号ラインCの方がクロック信号ラインBに比べて伝搬遅
延時間が長いので、バッファ5に対し、クロック信号ラ
インCの第1バッファ6aを、クロック信号ラインBの
第1バッファ6aに比べてより近づける。但し、この場
合、第1バッファ6aと第2バッファ6bとの距離を開
けすぎて、この部分の配線負荷を過度に増大させてしま
うことの無いように注意する必要がある。
【0057】そして、クロックスキューを修正した後、
再びS300の判定を行い、クロックスキューが許容範
囲内になるまで、S400の修正作業を繰り返す。
【0058】以上のとおり、本第1実施形態にあって
は、以下のとおりの作用効果を奏する。
【0059】(イ)クロックスキューを改善するため
に、クロック分配回路を一から繰り返し設計し直す必要
が無いので、設計TATを短縮することができる。
【0060】(ロ)バッファ6a,6bはどのクロック
信号ラインに対しても同じものである。したがって、製
造プロセスに起因してバッファ6a,6bの特性に変動
が生じても、各バッファ6a,6bは一律に同じように
変動するので、この変動に起因して新たなクロックスキ
ュー問題が発生する心配が無い。
【0061】(ハ)各クロック信号ラインA,B,Cに
共通のバッファ5は、バッファ6a,6bとは異なり、
1個のバッファから成る構成としているので、不必要な
バッファを削除して、省面積化を図ることができる。 (第2実施形態)本発明を具体化した第2実施形態を図
7に基づいて説明する。
【0062】本第2実施形態では、第1実施形態の技術
的思想を論理ブロック間のタイミング違反の解消に適用
する。
【0063】半導体基板上に作成された実際の論理LS
Iにおいて、フリップフロップ等、クロックに応じて動
作する回路は、セットアップタイム及びホールドタイム
が満足していないと正しく動作することができない。セ
ットアップタイムとは、一般に、クロック端子に供給さ
れるクロック信号のトリガエッジの時点から前に信号レ
ベルが変化しない時間が、このセットアップタイムより
短ければ、動作が保証されないことを意味する時間であ
り、ホールドタイムとは、一般に、クロック端子に供給
されるクロック信号のトリガエッジの時点から後に信号
レベルが変化しない時間が、このホールドタイムより短
ければ、動作が保証されないことを意味する時間であ
る。
【0064】したがって、論理LSIにおいて、配線抵
抗,配線容量,負荷容量などによって配線遅延が生じ、
また、信号が論理ゲートを通過する際にも遅延が生じ、
これら配線遅延や論理ゲートによる遅延によってデータ
遅延やクロック遅延が発生すると、各フリップフロップ
の正常な同期動作が阻害されて、セットアップ違反やデ
ータホールド違反等のタイミング違反が起こるおそれが
ある。
【0065】このように、データ遅延やクロック遅延や
論理ゲートによる遅延が過度に発生すると、論理回路の
クロック同期動作が阻害されるタイミング違反が起こ
り、機能設計で作成した詳細機能記述を満足しなくなる
ために、仕様設計で作成した外部仕様を実現できなくな
る。
【0066】そこで、本第2実施形態では、図7(a)
に示す通り、論理設計において、互いに接続された論理
ブロックとしてのDFF10,11間のデータ伝送経路
上に、第1バッファ6aと第2バッファ6bとを直列に
接続した2段構成のバッファ6を設けておく。
【0067】そして、レイアウト後に実際に生じるであ
ろう配線遅延を予測し、その配線遅延に基づいてタイミ
ング解析を行うことにより、データホールド違反やセッ
トアップ違反を起こすと予測される箇所を探し出す。
【0068】そして、データホールド違反が生じる個所
には、図7(b)に示すとおり、第2バッファ6bの位
置をずらせて、データ伝送ラインAのライン長を延長さ
せることにより、配線負荷を大きくして、伝搬遅延時間
を増加させる。尚、この場合、第1バッファ6aをずら
せても良い。
【0069】また、セットアップ違反が生じる個所に
は、図7(c)に示すとおり、データ伝送ラインAのラ
イン長は変えずに、第1バッファ6aをラインに沿って
DFF10の方向にずらすことにより、第1バッファ6
aをDFF10に近づける。その結果、DFF10と第
1バッファ6aとの間の配線長が短くなりこの部分の配
線負荷が小さくなるので、データ信号の波形なまりが改
善され、伝搬遅延時間が減少する。尚、この場合、第2
バッファ6bをずらせても良い。
【0070】本発明は上記各実施形態に限定されるもの
ではなく、以下のように変更してもよく、その場合で
も、上記実施形態と同様の作用および効果を得ることが
できる。
【0071】DFF2,3,4,10,11などのフ
リップフロップに限らず、例えば、ラッチ回路のよう
に、同期クロックで動作する回路を論理ブロックとして
用いても良い。
【0072】クロック信号ラインA,B,Cに共通の
バッファ5として、バッファ6を用いる。
【0073】図3(b)、図5(b)、図6(c)、
図7(b)、においては、ラインの延長経路上に第1バ
ッファ6a又は第2バッファ6bの一方のみを配置させ
ているが、ラインの延長経路上に第1バッファ6a及び
第2バッファ6bの双方を配置させる(すなわち、第1
バッファ6a及び第2バッファ6bの双方の位置をずら
す)。
【0074】図2に示す標準クロック分配回路の作製
時において、各クロック信号ラインを図3(b)のクロ
ック信号ラインAのように予めラインを屈曲させてお
き、クロックの伝搬遅延時間を短くする場合に、屈曲し
た部分を図2のクロック信号ラインのように直線状に戻
す(ライン長を短くする)。
【0075】図7に示す回路の作製時において、当
初、図7(b)のように予めデータ伝送ラインを屈曲さ
せておき、データの伝搬遅延時間を短くする場合に、屈
曲した部分を図7(a)のデータ伝送ラインのように直
線状に戻す(ライン長を短くする)。
【0076】
【発明の効果】本発明によれば、半導体集積回路のクロ
ックスキューの改善精度が高いクロック分配回路を提供
することができる。
【0077】また、本発明によれば、半導体集積回路の
設計TATを短縮すると共にクロックスキューの改善を
精度良く行うことが可能なクロック分配回路の設計方法
を提供することができる。
【0078】また、本発明によれば、タイミング違反が
効率的に解消された半導体集積回路を提供することがで
きる。
【図面の簡単な説明】
【図1】本発明を具体化した第1実施形態におけるクロ
ック分配回路の設計方法を示すフローチャートである。
【図2】本第1実施形態における標準的なクロック分配
回路図である。
【図3】本第1実施形態におけるクロック分配回路図を
示し、同図(a)は標準回路図、同図(b)はクロック
スキュー改善後の回路図である。
【図4】本第1実施形態におけるクロック分配回路図を
示し、同図(a)は標準回路図、同図(b)はクロック
スキュー改善後の回路図である。
【図5】本第1実施形態におけるクロック分配回路図を
示し、同図(a)は標準回路図、同図(b)はクロック
スキュー改善後の回路図である。
【図6】本第1実施形態におけるクロック分配回路図を
示し、同図(c)(d)は図5(a)のクロックスキュ
ー改善後の回路図である。
【図7】本発明を具体化した第2実施形態における回路
図を示し、同図(a)は標準回路図、同図(b)(c)
はタイミング違反解消後の回路図である。
【図8】従来例における標準的なクロック分配回路図で
ある。
【符号の説明】
1 クロック分配回路 2〜4,10,11 DFF 5,6 バッファ 6a 第1バッファ 6b 第2バッファ
───────────────────────────────────────────────────── フロントページの続き Fターム(参考) 5B046 AA08 BA05 BA06 JA02 5B079 CC02 CC04 CC14 DD08 DD12 DD13 5F038 CA17 CD06 CD08 CD09 CD12 CD13 DF01 EZ09 EZ10 EZ20 5F064 BB01 DD03 EE42 EE43 EE47 EE54 HH06 HH09

Claims (13)

    【特許請求の範囲】
  1. 【請求項1】 クロック発生回路からクロック信号ライ
    ンを複数の論理ブロックに対してツリー状に配したバッ
    ファを介して供給するクロック分配回路において、前記
    バッファを、第1バッファと第2バッファとを直列に接
    続することにより構成し、各クロック信号ラインの伝搬
    遅延時間が等しくなるように前記第1バッファ及び第2
    バッファの少なくとも一方の位置を設定したことを特徴
    としたクロック分配回路。
  2. 【請求項2】 クロック発生回路から同期クロック信号
    ラインを複数の論理ブロックに対してツリー状に配した
    バッファを介して同一のタイミングにて供給するクロッ
    ク分配回路において、前記バッファを、第1バッファと
    第2バッファとを直列に接続することにより構成し、各
    クロック信号ラインの伝搬遅延時間が等しくなるように
    前記第1バッファ及び第2バッファの少なくとも一方の
    位置を設定したことを特徴としたクロック分配回路。
  3. 【請求項3】 前記クロック信号ラインの総ライン長が
    増加するように、前記第1バッファ及び第2バッファの
    少なくとも一方を配置したことを特徴とする請求項1又
    は2に記載のクロック分配回路。
  4. 【請求項4】 前記クロック信号ラインの総ライン長が
    減少するように、前記第1バッファ及び第2バッファの
    少なくとも一方を配置したことを特徴とする請求項1又
    は2に記載のクロック分配回路。
  5. 【請求項5】 前記クロック信号ラインの総ライン長を
    変えずに、前記第1のバッファ及び第2のバッファの一
    方を、他方とは反対側にずらしたことを特徴とする請求
    項1又は2に記載のクロック分配回路。
  6. 【請求項6】 クロック発生回路から同期クロック信号
    ラインを複数の論理ブロックに対してツリー状に配した
    バッファを介して同一のタイミングにて供給するクロッ
    ク分配回路の設計方法において、前記バッファとして、
    第1バッファと第2バッファとを直列に接続した構成を
    用いて、標準的なクロック分配回路を作製し、その後、
    各クロック信号ラインの伝搬遅延時間が等しくなるよう
    に前記第1バッファ及び第2バッファの少なくとも一方
    の位置を変更することを特徴としたクロック分配回路の
    設計方法。
  7. 【請求項7】 前記クロック信号ラインの総ライン長が
    増加するように、前記第1バッファ及び第2バッファの
    少なくとも一方の位置をずらすことを特徴とした請求項
    6に記載のクロック分配回路の設計方法。
  8. 【請求項8】 前記クロック信号ラインの総ライン長が
    減少するように、前記第1バッファ及び第2バッファの
    少なくとも一方の位置をずらすことを特徴とした請求項
    6に記載のクロック分配回路の設計方法。
  9. 【請求項9】 前記クロック信号ラインの総ライン長を
    変えずに、前記第1のバッファ及び第2のバッファの一
    方を、他方とは反対側にずらすことを特徴とした請求項
    6に記載のクロック分配回路の設計方法。
  10. 【請求項10】 一方の論理ブロックから他方の論理ブ
    ロックへのデータ伝送ライン上に、第1バッファと第2
    バッファとを直列に介挿し、前記一方の論理ブロックと
    他方の論理ブロックとの間のタイミング違反が解消する
    ように前記第1バッファ及び第2バッファの少なくとも
    一方の位置を設定したことを特徴とする半導体集積回
    路。
  11. 【請求項11】 前記データ伝送ラインの総ライン長が
    増加するように、前記第1バッファ及び第2バッファの
    少なくとも一方を配置したことを特徴とする請求項10
    に記載の半導体集積回路。
  12. 【請求項12】 前記データ伝送ラインの総ライン長が
    減少するように、前記第1バッファ及び第2バッファの
    少なくとも一方を配置したことを特徴とする請求項10
    に記載のクロック分配回路。
  13. 【請求項13】 前記データ伝送ラインの総ライン長を
    変えずに、前記第1のバッファ及び第2のバッファの一
    方を、他方とは反対側にずらしたことを特徴とする請求
    項10に記載の半導体集積回路。
JP11203479A 1999-07-16 1999-07-16 クロック分配回路、クロック分配回路の設計方法及び半導体集積回路 Pending JP2001034647A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP11203479A JP2001034647A (ja) 1999-07-16 1999-07-16 クロック分配回路、クロック分配回路の設計方法及び半導体集積回路

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP11203479A JP2001034647A (ja) 1999-07-16 1999-07-16 クロック分配回路、クロック分配回路の設計方法及び半導体集積回路

Publications (1)

Publication Number Publication Date
JP2001034647A true JP2001034647A (ja) 2001-02-09

Family

ID=16474837

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11203479A Pending JP2001034647A (ja) 1999-07-16 1999-07-16 クロック分配回路、クロック分配回路の設計方法及び半導体集積回路

Country Status (1)

Country Link
JP (1) JP2001034647A (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002069132A2 (en) * 2001-02-23 2002-09-06 Micron Technology, Inc. Improved high speed data capture circuit for a digital device
JP2007500978A (ja) * 2003-07-31 2007-01-18 クゥアルコム・インコーポレイテッド 論理回路におけるクロック分配のための遅延マッチング
JP2009020478A (ja) * 2007-07-11 2009-01-29 United Memories Inc 低スキュークロック分布ツリー
JP2009152822A (ja) * 2007-12-20 2009-07-09 Spansion Llc 記憶装置
KR101396652B1 (ko) 2011-07-18 2014-05-19 애플 인크. 코어스 클럭 게이팅을 사용하는 동적 프리퀀시 제어

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002069132A2 (en) * 2001-02-23 2002-09-06 Micron Technology, Inc. Improved high speed data capture circuit for a digital device
WO2002069132A3 (en) * 2001-02-23 2002-12-19 Micron Technology Inc Improved high speed data capture circuit for a digital device
EP1374033B1 (en) * 2001-02-23 2006-07-12 Micron Technology, Inc. Improved high speed data capture circuit for a digital device
JP2007500978A (ja) * 2003-07-31 2007-01-18 クゥアルコム・インコーポレイテッド 論理回路におけるクロック分配のための遅延マッチング
JP2009020478A (ja) * 2007-07-11 2009-01-29 United Memories Inc 低スキュークロック分布ツリー
JP2009152822A (ja) * 2007-12-20 2009-07-09 Spansion Llc 記憶装置
KR101396652B1 (ko) 2011-07-18 2014-05-19 애플 인크. 코어스 클럭 게이팅을 사용하는 동적 프리퀀시 제어

Similar Documents

Publication Publication Date Title
JP3331968B2 (ja) グリッチ分析と低減に重点をおいたレジスタトランスファレベルの電力消費最適化回路、方法、及び記録媒体
US6721924B2 (en) Noise and power optimization in high performance circuits
US6367060B1 (en) Method and apparatus for clock tree solution synthesis based on design constraints
US20070286323A1 (en) Clock distribution circuit, semiconductor integrated circuit and method of designing clock distribution circuit
JP2005513626A (ja) 混在する領域クロック用のクロックツリーシンセシス
US8392861B2 (en) Method of semiconductor integrated circuit device using library for estimating timing/area to place cells
US7917882B2 (en) Automated digital circuit design tool that reduces or eliminates adverse timing constraints due to an inherent clock signal skew, and applications thereof
WO2023051217A1 (zh) 集成电路的时序约束方法、装置、电子设备及芯片
US7526743B2 (en) Method for routing data paths in a semiconductor chip with a plurality of layers
US6684373B1 (en) Optimize global net timing with repeater buffers
US5963730A (en) Method for automating top-down design processing for the design of LSI functions and LSI mask layouts
JP2001034647A (ja) クロック分配回路、クロック分配回路の設計方法及び半導体集積回路
JP2005136286A (ja) 半導体集積回路の設計方法、及びその装置
JPWO2008133116A1 (ja) 回路設計装置、回路設計方法および回路設計プログラム
JP2985833B2 (ja) クロック分配方式及び方法
US7284217B2 (en) Method of LSI designing and a computer program for designing LSIS
JP3217022B2 (ja) クロックツリー合成方法
US7707529B2 (en) Method for the computer-aided ascertainment of a clock tree structure, and integrated semiconductor circuit
US20090222784A1 (en) Design method estimating signal delay time with netlist in light of terminal line in macro, and program
JP2953384B2 (ja) 半導体集積回路のクロックツリー形成方法
JP3561661B2 (ja) 半導体集積回路及び半導体集積回路の設計方法
JP3230234B2 (ja) 半導体集積回路の遅延調整方法、遅延調整用回路ブロックおよび記録媒体。
US20030056185A1 (en) Semiconductor integrated circuit design method
JP2937139B2 (ja) 論理回路の遅延検証方法
JP2006201825A (ja) 集積回路の遅延解析方法及び遅延解析プログラム

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20040217