JP2000223577A - データ記録媒体及び半導体集積回路 - Google Patents

データ記録媒体及び半導体集積回路

Info

Publication number
JP2000223577A
JP2000223577A JP11025744A JP2574499A JP2000223577A JP 2000223577 A JP2000223577 A JP 2000223577A JP 11025744 A JP11025744 A JP 11025744A JP 2574499 A JP2574499 A JP 2574499A JP 2000223577 A JP2000223577 A JP 2000223577A
Authority
JP
Japan
Prior art keywords
clock
circuit
wiring
macro block
buffer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP11025744A
Other languages
English (en)
Inventor
Yusuke Nitta
祐介 新田
Kazuo Yano
和男 矢野
Toshihiro Hattori
俊洋 服部
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP11025744A priority Critical patent/JP2000223577A/ja
Publication of JP2000223577A publication Critical patent/JP2000223577A/ja
Withdrawn legal-status Critical Current

Links

Landscapes

  • Microcomputers (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

(57)【要約】 【課題】 半導体集積回路のクロックスキューを抑制す
る。 【解決手段】 データ記録媒体はマクロブロック情報を
コンピュータによって読み取り可能に記録してある。マ
クロブロック情報はマクロブロックを半導体集積回路に
よって実現するための情報である。マクロブロック(1
01)は、同一クロック系について、外部からクロック
信号を入力する第1のクロック端子(Cin1〜Cin
4)を複数個有する。1系統のクロック入力端子が1個
の場合に比べてマクロブロック内のクロックバッファ段
数は少なくて済む。したがって、半導体集積回路内にお
いてクロック発生回路から順序回路までのクロックバッ
ファ段数が少なくなり、プロセスばらつき等による誤差
の影響が小さくなり、半導体集積回路のクロックスキュ
ーを抑制できる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体集積回路の
過去の設計資産を有効利用するためのハードIP化若し
くはマクロブロック化等と称される観点からクロック供
給技術に着目したものであり、例えば、ハードIP情報
を記録した記録媒体、そして当該ハードIP情報を用い
て形成された半導体集積回路に係り、シングルチップの
マイクロコンピュータに適用して有効な技術に関するも
のである。
【0002】
【従来の技術】演算機能や信号制御機能を実現する設計
上のまとまりをブロック(または、モジュール、コア)
というが、その中でレイアウト設計が完了した形で再利
用可能な部品としてチップ設計者に提供されるブロック
をマクロブロック(または、ハードマクロブロック、ハ
ードモジュール、ハードコア)という。最近では、その
ようなマクロブロックをハードIP(Intellectual Pro
perty)コアとも称することが有る。
【0003】IPコアとは、知的所有権を主張できるよ
うな付加価値の高いマクロブロックを指していたが、最
近では、半導体集積回路を構成するマクロブロックのう
ち比較的規模の大きいマクロブロック、例えば従来、マ
クロセル、メガセルなどと称される回路ブロックをも指
称し、その回路規模はSRAM(スタティック・ランダ
ム・アクセス・メモリ)、DRAM(ダイナミック・ラ
ンダム・アクセス・メモリ)、CPU(セントラル・プ
ロセッシング・ユニット)、DSP(ディジタル・シグ
ナル・プロセッサ)等の機能単位に及ぶこともある。そ
のようなIPコアを特定するIPコア情報は回路の機能
(論理構成、回路構成)を決定するための設計資産情報
だけを含んでいるソフトIPと、回路の機能と回路のレ
イアウトパターンを決定するための設計資産情報含んで
いるハードIPとがある。ハードIPは、レイアウトパ
ターンの情報を含んでいるため、回路特性まで保証され
ている。マイクロコンピュータやシステムLSIなどを
設計するとき、過去の設計資産であるハードIPコアを
用いれば、設計並びに検証期間を短縮できる。
【0004】尚、IPコアに関し、日経エレクトロニク
スNo.723(1998.8.10)の第99頁〜第109頁に
は、“IPコアを用いたシステムLSIの検証”につい
て記載がある。
【0005】マイクロコンピュータを構成する場合、例
えば、CPU(中央処理装置)、CPG(クロックパル
スジェネレータ)、キャッシュメモリ、A/D変換回
路、D/A変換回路、ディジタルシグナルプロセッサを
マクロブロックで構成し、ユーザ固有の周辺回路などの
ブロックはチップ設計者が新たにレイアウト設計したラ
ンダムブロックとして実現することができる。この様な
マクロブロックを使用したチップ設計においても、その
動作周波数の増加に伴い低クロックスキューを実現した
クロック供給系の設計が不可欠となっている。ここで、
クロックスキューとはチップ内でのクロックディレイ値
(クロック供給元からクロック供給先までの信号到達時
間)の差のことである。換言すれば、クロックスキュー
は、クロック供給元が共通な複数個のクロック供給先に
おける当該クロック信号の位相差、として把握すること
ができる。
【0006】従来のマクロブロック内部の一般的なクロ
ック論理構造は、一つのクロック系に着目すると、クロ
ックパルスジェネレータ等から送られてきたクロック信
号を1個のクロック入力端子が受け、そのクロック信号
を初段のクロックバッファで受けて複数のクロック配線
に分岐させ、各分岐先には次段のクロックバッファが更
に設けられ、それら次段のクロックバッファの出力に対
しても上記同様に複数のクロック配線で分岐させて、先
方にクロック信号を供給するようになっている。クロッ
ク供給系の末端(クロック供給先)には、フリップフロ
ップ、ラッチ等クロック信号に同期して動作する順序回
路(単にフリップフロップとも記す)が配置されてい
る。クロック供給先に至るまでに直列に複数段配置され
たクロックバッファは、同一段若しくは同一階層毎に駆
動能力が等しくされ、クロック配線は同一段若しくは同
一階層毎に等ディレイ配線(等幅、等長配線)に成るよ
うに設計されている。これによって、マクロブロック内
部の同一クロック系の順序回路にはクロックスキューを
抑止したクロック信号が供給されることになる。
【0007】しかしながら、クロックバッファの段数
は、マクロブロック内部のフリップフロップ数に大きく
依存するため、通常フリップフロップ数の多いマクロブ
ロックはフリップフロップ数の少ないマクロブロックに
比べて、クロックバッファの直列段数が多くなる。クロ
ックバッファの直列段数が異なれば、通常クロックディ
レイ値に差が生ずる。したがって、クロック入力端子か
らフリップフロップまでのクロックバッファの直列段数
が相異する2個のマクロブロックに、同じ位相のクロッ
ク信号を供給した場合には、双方のマクロブロック間で
クロックスキュー値が増大する。
【0008】このような状況を回避するため、先ず第1
には、フリップフロップの数が少ないマクロブロックや
ランダムブロックのクロック供給系の入力側に段数調整
用のクロックバッファを挿入することで、クロックバッ
ファの直列段数を揃え、クロックスキュー値を抑制する
ことが可能である。
【0009】第2には、特開平7−249969号公報
に記載のように、ディレイ値の小さいマクロブロックの
クロック端子の直後にディレイ調整セルを設置すること
でマクロブロック内部のクロックディレイ値をフリップ
フロップ数の多いマクロブロックのクロックディレイ値
に合せることができる。このディレイ調整セルは、マク
ロブロックの外部から制御端子を介してディレイ制御信
号を入力することでディレイ値の変更が可能になってい
る。
【0010】
【発明が解決しようとする課題】前述した何れの技術
も、クロックディレイ値が小さい経路を遅い方に合わせ
るようにしている。マクロブロックは、レイアウトパタ
ーンの完成したデータによってその構成が特定されてい
るから、他の回路に合せて個別的にクロックバッファの
数やクロック配線長を変更することは実質的に不可能で
ある。このため、クロック供給系のクロックディレ値は
増大する傾向に有り、そうすると、プロセスばらつきや
設計時の計算誤差の影響が大きくなり、これらはクロッ
クスキュー値の増大に繋がる。本発明者の検討に依れ
ば、クロックスキューを抑制するにはクロックディレイ
値の大きいマクロブロックのクロック供給系に対してそ
のクロックディレイ値を小さくできるようにすればよい
ということが明らかにされた。
【0011】本発明の目的は、クロックスキューの抑制
に寄与できるマクロブロックに関する技術を提供しよう
とするものである。
【0012】本発明の別の目的は、マクロブロックのク
ロックディレイ値を小さくする技術を提供しようとする
ものである。
【0013】さらに詳しくは、本発明は、コンピュータ
を用いて半導体集積回路を設計するときクロックスキュ
ーの抑制を比較的簡単に実現可能にするのに最適なマク
ロブロック情報を記録したデータ記録媒体を提供するこ
とを目的とする。
【0014】そして、本発明は、そのようなマクロブロ
ック情報を用いて形成された半導体集積回路を提供する
ことを目的とするものである。
【0015】本発明の前記並びにその他の目的と新規な
特徴は本明細書の記述及び添付図面から明らかになるで
あろう。
【0016】
【課題を解決するための手段】本願において開示される
発明のうち代表的なものの概要を簡単に説明すれば下記
の通りである。
【0017】〔1〕データ記録媒体(MDA)はマクロ
ブロック情報をコンピュータ(EWS)によって読み取
り可能に記録したものである。そのマクロブロック情報
はマクロブロックを半導体集積回路によって実現するた
めの情報である。前記マクロブロック(101,101
A,101B,101C)は、同一クロック系について
複数個配置され外部からクロック信号を入力する複数個
の第1のクロック端子(Cin1〜Cin4)と、前記
第1のクロック端子から供給されるクロック信号を伝達
するクロック配線(L1〜L3)と、前記クロック配線
の途中に配置されたクロックバッファ(103〜11
0)と、前記クロック配線及びクロックバッファを介し
て伝達されるクロック信号を入力する複数個の順序回路
(111)とを含む。前記マクロブロック情報は、前記
マクロブロックにその他の回路を接続した半導体集積回
路の設計に用いられる情報であって、図形パターン形成
用の描画データ情報(DF1)と、前記マクロブロック
の機能を特定する機能データ情報(DF2)とを含む。
前記描画データ情報は、半導体集積回路を構成する回路
形成層の識別名とそこに形成すべき図形パターンを決定
するための図形パターン形成用の描画データとを対応付
けて記録した情報(DT1)を有する。前記機能データ
情報は、前記マクロブロックの外部端子の平面座標と当
該外部端子が形成される回路形成層の識別名とを対応付
けた情報(DT4)と、前記第1のクロック端子のタイ
ミング情報(DT3,DT5)とを有する。
【0018】コンピュータを用いて前記マクロブロック
にその他の回路を接続して半導体集積回路を設計すると
き、コンピュータは、その他の回路のレイアウト設計を
支援すると共に、それによって得られたレイアウトパタ
ーンに、前記データ記録媒体から読み取った前記描画デ
ータ情報で特定される各回路形成層のレイアウトパター
ンを接続する処理を支援する。このとき、前記マクロブ
ロックの外部端子の座標位置や回路形成層の名前は機能
データ情報から把握される。クロック系の接続に関して
は、同一クロック系について複数個の第1クロック端子
があるので、前記機能データ情報のタイミング情報から
同一クロック系であることが示されている第1のクロッ
ク端子に同一クロック系のクロック配線を接続すること
ができる。特に、前記マクロブロックの外部に配置され
たクロックバッファの出力端子を、複数個の前記第1の
外部クロック端子に共通接続することができる。例え
ば、図2のマクロブロック(101)において、2個の
第1のクロック端子(Cin1,Cin2)は外部のク
ロックバッファ(203A)に、別の2個の第1のクロッ
ク端子(Cin3,Cin4)は外部の別のクロックバ
ッファ(203B)に共通接続され、前記クロックバッ
ファ(203A,203B)にはその前段のクロックバ
ッファ(202)からクロック信号が供給される。マク
ロブロック(101)はその他の回路として別のマクロ
ブロック(204)やランダムブロック(205)等に
接続されて半導体集積回路を構成する。ここで、上記と
はクロック供給系の異なる別のマクロブロックについて
考えてみる。図24に例示されるように、同一クロック
系のクロック端子(Cin1)が1個とされたマクロブ
ロック(2001)を想定する。当該クロック端子(C
in1)はクロックバッファ203の出力に結合され
る。マクロブロック(2001)内部のクロックディレ
イ値はクロックバッファ3段分の時間になり、図2のマ
クロブロック(101)がクロックバッファ2段分のク
ロックディレイ値を有するのとは相異される。この相異
故に、マクロブロック(2001)とその他のマクロブ
ロック(204)の間のクロックスキューを抑制するた
めに、遅延調整用のダミークロックバッファ(220
1)を挿入しなければならなくなり、図24に例示され
たクロック供給系は、図2に例示されたクロック供給系
に比べてく、全体としてのクロックディレイ値が大きく
なってしまう。上記手段に係るデータ記録媒体に格納さ
れたマクロブロック情報を採用すれば、コンピュータを
用いて半導体集積回路を設計するときクロックスキュー
の抑制を比較的簡単に実現可能になる。
【0019】〔2〕前記クロック配線には、前記同一ク
ロック系に係る複数個の第1のクロック端子をマクロブ
ロック(101B)の内部で相互に短絡する第1配線部
分(L1A)を形成することができる。これにより、第
1の配線部分を共有するクロックバッファへ入力するク
ロック信号の変化をそろえるように強制することがで
き、マクロブロックへ供給されるクロック信号に不所望
な位相差が有っても、これによるクロックスキューを比
較的簡単に抑制できる。
【0020】また、同一クロック系に係る複数個の初段
クロックバッファの出力をマクロブロック(101C)
の内部で相互に短絡する第2配線部分(L2A)を設け
ることができる。これにより、初段クロックバッファの
出力にスキューがあってもこれを容易に抑制することが
できる。
【0021】前記第2配線部分を前記マクロブロックの
外部に接続可能にする第2のクロック端子(Cout
3)を設け、前記機能データ情報には、前記第2のクロ
ック端子のタイミング情報を記録すれば、マクロブロッ
ク内部におけるクロックバッファの駆動能力が不足する
場合には、第2のクロック端子に外部からクロックバッ
ファ(221)の出力を接続して、駆動能力を補うこと
ができる。逆に、マクロブロック内部のクロックバッフ
ァの駆動能力が大き過ぎる場合には、第2のクロック端
子に外部のクロックバッファ(232,233)の入力
を接続して、駆動負荷を増やすことができる。これによ
り、クロックスキューの抑制をさらに自由に行えるよう
になる。
【0022】〔3〕前記マクロブロック(101A)に
は、一部のクロックバッファの出力に前記順序回路に代
えて接続した第3のクロック端子(Cout1〜Cou
t3)を追加し、前記機能データ情報には、前記第3の
クロック端子のタイミング情報を追加することができ
る。これにより、マクロブロックに隣接する他のブロッ
クに、当該マクロブロック内部のディレイ値に応じたク
ロック信号を供給することが可能になる。
【0023】前記第3のクロック端子を複数個設け、複
数個の第3のクロック端子の全部又は一部には、その前
段に、相互に段数の異なるクロックバッファを接続すれ
ば、クロックディレイ値の異なるクロック信号をマクロ
ブロックに隣接する他のブロックに供給することが可能
になる。内部のクロックディレイ値が相互に異なる複数
個の隣接ブロックの順序回路を、マクロブロック内部の
順序回路と同じクロックディレイ値で同期動作させる事
が容易になる。
【0024】〔4〕マクロブロックには、クロック発生
回路を含めることができる。即ち、マクロブロック(1
01D)は、クロック発生回路(600)と、前記クロ
ック発生回路から出力されたクロック信号を伝達するク
ロック配線(L20〜L22)と、前記クロック配線の
途中に配置されたクロックバッファ(601〜607)
と、前記クロック配線及びクロックバッファを介して伝
達されるクロック信号を入力する複数個の順序回路(6
08)と、前記クロックバッファの出力に接続され前段
のクロックバッファの数が相互に異なる複数個のクロッ
ク出力端子(Cout11〜Cout13)とを含む。
前記マクロブロック情報は、前記マクロブロックにその
他の回路を接続した半導体集積回路の設計に用いられる
情報であって、図形パターン形成用の描画データ情報
(DF1)と、前記マクロブロックの機能を特定する機
能データ情報(DF2)とを含む。前記描画データ情報
は、半導体集積回路を構成する回路形成層の識別名とそ
こに形成すべき図形パターンを決定するための図形パタ
ーン形成用の描画データとを対応付けた情報(DT1)
を有する。前記機能データ情報は、前記マクロブロック
の外部端子の平面座標と当該外部端子が形成される回路
形成層の識別名とを対応付けた情報(DT4)と、前記
クロック出力端子のタイミング情報(DT3,DT5)
とを有する。
【0025】コンピュータを用いて前記マクロブロック
にその他の回路を接続して半導体集積回路を設計すると
き、コンピュータは、その他の回路のレイアウト設計を
支援すると共に、それによって得られたレイアウトパタ
ーンに、前記データ記録媒体から読み取った前記描画デ
ータ情報で特定される各回路形成層のレイアウトパター
ンを接続する処理を支援する。このとき、前記マクロブ
ロックの外部端子の座標位置や回路形成層の名前は機能
データの情報から把握される。マクロブロックからその
他の回路へのロック供給に関しては、クロックディレイ
値が相互に異なる複数のクロック出力端子を有している
から、前記機能データ情報から所要のクロックディレイ
値に応ずるクロック出力端子を把握して、そのクロック
出力端子にその他の回路へのクロック配線を接続するこ
とができる。これにより、マクロブロックに隣接する他
のブロックに、当該マクロブロック内部のディレイ値に
応じたクロック信号を供給することが可能になる。しか
も、複数のクロック出力端子は複数種類のクロックディ
レイ値を有しているから、クロックディレイ値の異なる
クロック信号をマクロブロックに隣接する他のブロック
に供給することが可能になる。内部のクロックディレイ
値が相互に異なる複数個の隣接ブロックの順序回路を、
マクロブロック内部の順序回路と同じクロックディレイ
値で同期動作させる事が容易になる。
【0026】〔5〕上記マクロブロック(101)を適
用して設計された半導体集積回路は、半導体チップに、
クロック発生回路と、前記クロック発生回路(201)
から出力されるクロック信号に同期動作される第1の回
路ブロック(101)と、前記クロック発生回路から出
力されるクロック信号に同期動作される第2の回路ブロ
ック(204)とを含んで構成することができる。前記
第1の回路ブロックは、例えば、レイアウト設計が完了
した回路部品を再現可能とする設計データに従って構成
されるマクロブロックであり、同一クロック系について
複数個配置され外部からクロック信号を入力する複数個
の第1のクロックノード(Cin1〜Cin4)と、前
記第1のクロックノードから供給されるクロック信号を
伝達するクロック配線(L1〜L3)と、前記クロック
配線の途中に配置されたクロックバッファ(103〜1
10)と、前記クロック配線及びクロックバッファを介
して伝達されるクロック信号を入力する複数個の順序回
路(111)とを含む。前記第2の回路ブロック(20
4)は、例えば、レイアウト設計が完了した回路部品を
再現可能とする設計データに従って構成されるマクロブ
ロックであり、同一クロック系について1個配置され外
部からクロック信号を入力する第1のクロックノード
(Cin1)と、前記第1のクロックノードから供給さ
れるクロック信号を伝達するクロック配線と、前記クロ
ック配線の途中に配置されたクロックバッファ(24
1,242)と、前記クロック配線及びクロックバッフ
ァを介して伝達されるクロック信号を入力する複数個の
順序回路(243)とを含む。前記第2の回路ブロック
が含む順序回路の数は同一クロック系に係る第1の回路
ブロックが含む順序回路の数よりも少なくされ、前記ク
ロック発生回路から前記夫々の第1のクロック配線ノー
ドに至るクロック伝達経路には、等しい段数のクロック
バッファ(202A〜202B,203A〜203D)
が配置されている。
【0027】第1の回路ブロックは第2の回路ブロックよ
りも数の多い順序回路によって論理を構成しており、そ
の分だけ同一クロック系に係る第1のクロックノードを
多く有している。換言すれば、同一クロック系に係る第
1のクロックノードの数を増やすことによって、第1の
クロックノードから順序回路までのクロックディレイ値
を小さくしている。したがって、図2に例示されるよう
に、第1の回路ブロックに至るクロック供給系と第2の
回路ブロックに至るクロック供給系の夫々に配置される
クロックバッファの段数を同一にでき、図24に例示さ
れるように、論理規模の大きい方の回路ブロック内部の
クロックディレイ値に他の回路ブロックを整合させるた
めに遅延調整用のダミークロックバッファ(2201)
を挿入しなければならなくなる、とうい事態を生じな
い。したがって、この半導体集積回路によれば、プロセ
スばらつきや設計時の計算誤差によるクロックスキュー
への影響を極力小さくでき、高速動作並びに同期動作の
信頼性向上を実現することができる。
【0028】この半導体集積回路においても、第1の回
路ブロック(101B,101C)として前記第1の配
線部分(L1A)、第2の配線部分(L2A)を内部に
追加したものを採用することができる。また、第1の回
路ブロック(101C)として、前記第2のクロック端
子に相当する第2のクロックノード(Cout3)を追
加した構成を採用することも可能である。
【0029】さらに、第1の回路ブロック(101A)
として、クロックディレイ値の相異される複数個の前記
第3のクロック端子に相当する第3のクロックノード
(Cout1〜Cout3)を複数個追加することも可
能である。このとき、第1の回路ブロックの外部におい
て、前記複数個の第3のクロックノードの一部はクロッ
ク配線を介して別のクロックバッファの入力に接続さ
れ、残りの第3のクロックノードは未接続状態にされて
残っている。換言すれば、第1の回路ブロックと一緒に
用いられる他の回路はユーザの要求仕様に応じてまちま
ちである。このため、前記複数個の第3のクロックノー
ドのディレイ値は、予め種々の用途を想定して、その最
大公約数的に、多種類用意される性質を持つことにな
る。このため、図6に例示されるように、半導体集積回
路に、マクロブロック(101A)としての第1の回路
ブロックを用いれば、クロックバッファ(109)から
第3のクロックノード(Cout2)に至るクロック配
線片が、未接続状態で残存することになる。
【0030】〔6〕半導体集積回路には、前記回路ブロ
ックとしてクロック発生回路を含んだものを用いること
も可能である。即ち、半導体集積回路は、第1の回路ブ
ロック(101D)と、前記第1の回路ブロックから出
力されるクロック信号に同期動作される第2の回路ブロ
ック(630)とを含む。前記第1の回路ブロックは、
レイアウト設計が完了した回路部品を再現可能とする設
計データに従って構成されるマクロブロックであり、ク
ロック発生回路(600)と、前記クロック発生回路か
ら出力されたクロック信号を伝達するクロック配線(L
20〜L24)と、前記クロック配線の途中に配置され
たクロックバッファ(601〜607)と、前記クロッ
ク配線及びクロックバッファを介して伝達されるクロッ
ク信号を入力する複数個の順序回路(608)と、所定
の前記クロックバッファの出力に接続され前段のクロッ
クバッファの数が相互に異なる複数個のクロックノード
(Cout11〜Cout13)とを含む。前記複数個
のクロックノードの内の一部のクロックノードは、前記
第1の回路ブロックの外部とは未接続状態にされてい
る。この事情は、前記第3のクロックノードが未接続状
態で残存するのと同じである。前記第2の回路ブロック
は、前記複数個のクロック配線ノードの内の残りのクロ
ックノードから供給されるクロック信号を伝達するクロ
ック配線と、前記クロック配線の途中に配置されたクロ
ックバッファと、前記クロック配線及びクロックバッフ
ァを介して伝達されるクロック信号を入力する複数個の
順序回路とを含んでいる。
【0031】半導体集積回路はマクロブロックとしてさ
らに別の第3の回路ブロック(610,620)を含む
ことができる。このとき、第3の回路ブロックはクロッ
ク発生回路を含まず、必要なクロック信号は第1の回路
ブロックから得る。
【0032】前記第1の回路ブロックは例えばCPU
(701)の機能を有することができる。これを採用し
た半導体集積回路はマイクロコンピュータ(700)を
実現する。マイクロコンピュータにおいてCPUは制御
の要であり、高速動作が保証され、動作に高い信頼性が
要求される。この意味でCPU機能を最適化したマクロ
ブロックを予め用意することの優位性が有る。このよう
な論理規模の大きなマクロブロックに対して上記説明し
た技術的手段はクロックスキューの抑制を担っている。
【0033】
【発明の実施の形態】《マクロブロック及びLSI》図
1にはマクロブロックの一例が示される。マクロブロッ
クを設計する際に、その内部のクロック供給系のクロッ
クディレイ値の許容値を予め設定しておき、そのディレ
イ値を超えるような場合にはクロック供給系を複数に分
割する。図1のマクロブロック101の場合、クロック
ディレイ値の許容値はクロックバッファ2段分の遅延時
間(クロックバッファの動作遅延時間と、その出力に接
続されたクロック配線上での信号の伝播遅延時間の合計
時間)である。ここでは、同一クロック系を4個のクロ
ック供給経路に分割してある。各クロック供給経路はク
ロック端子Cin1〜Cin4から第1段目のクロック
バッファ103〜106、第2段目のクロックバッファ
107〜110を介してフリップフロップ111にクロ
ック信号を供給する回路構成を持つ。図1において、L
1はクロック端子Cin1〜Cin4から初段クロック
バッファ103〜106の入力に至るクロック配線、L
2は初段クロックバッファ103〜106の出力から次
段クロックバッファ107〜110の入力に至るクロッ
ク配線、L3は次段クロックバッファ107〜110の
出力からフリップフロップ111のクロック入力端子に
至るクロック配線を意味する。クロック配線L2、L3
は途中で複数経路に分岐されている。尚、図において1
段のクロックバッファは2個のインバータを直列接続し
た回路記号で示されている。
【0034】特に制限されないが、クロック端子Cin
1〜Cin4からフリップフロップ111に至るまでに
直列に複数段配置されたクロックバッファ103〜10
6,107〜110は、同一段若しくは同一階層毎に駆
動能力が等しくされ、クロック配線L1〜L3の個々の
経路は同一段若しくは同一階層毎に等ディレイ配線(等
幅、等長配線)になるように設計されている。これによ
って、マクロブロック内部の同一クロック系の順序回路
にはクロックスキューを抑止したクロック信号が供給さ
れる。尚、クロック端子Cin1〜Cin4からフリッ
プフロップ111に至る各クロック供給経路のクロック
ディレイ値が等しくなれば、クロックバッファの駆動能
力をそろえたり等ディレイ配線を採用しなくても良い場
合がある。
【0035】図1の例では、クロックバッファは直列2
段である。クロック供給系を分割することで、分割しな
い場合に比べクロックバッファの段数を減らすことがで
き、クロックディレイ値の削減が可能になる。例えば図
22に示されるマクロブロック2001と比較した場
合、クロックバッファ1段分のクロックディレイ値を減
らすことができる。特に全てのクロック供給系の構造を
同一にすることでクロック供給系間のスキューを抑える
ことが容易である。
【0036】図2に前記マクロブロック101を含む半
導体集積回路全体のクロック供給系の一例を示す。ここ
にはマクロブロック101の他に、内部のフリップフロ
ップ数が少ないマクロブロック204、ランダムブロッ
ク205,206のクロック供給系が含まれる。マクロ
ブロック204は代表的に示されたクロックバッファ2
41,242を介してフリップフロップ243にクロッ
ク信号を供給する。ランダムブロック205は代表的に
示されたクロックバッファ251,252を介してフリ
ップフロップ253にクロック信号を伝達する。ランダ
ムブロック206は代表的に示されたクロックバッファ
261〜264を介してフリップフロップ265,26
6にクロック信号を伝達する。
【0037】クロック発生回路201からマクロブロッ
ク101,204及びランダムブロック205,206
へのクロック信号の供給は直列2段のクロックバッファ
202A,202B、203A〜203Dを用いて行な
われる。各ブロック101,204〜206内では全て
2段のクロックバッファを介しフリップフロップにクロ
ック信号が供給される。フリップフロップ数の多いマク
ロブロック101へは2個のクロックバッファ203
A,203Bを用いてクロック信号を供給する。これに
対して、フリップフロップ数の少ないマクロブロック2
04へは、1個のクロックバッファ203Cを介して供
給する。
【0038】このようにマクロブロック101のクロッ
ク供給経路をフリップフロップの数(論理規模)に応じ
て4分割し、それに応じてマクロブロック101が複数
個のクロック端子Cin1〜Cin4を持つことによ
り、クロック発生回路201からマクロブロック10
1,204、ランダムブロック205,206へのクロ
ック供給経路を、どの部分もクロックバッファを直列2
段配置した構造に統一することができる。論理規模の大
きなマクロブロックが図24のマクロブロック2001
ように1個のクロック入力端子Cin1しか持たない場
合には、その他の回路ブロックの前段に遅延用のクロッ
クバッファ2201を追加しなければならなくなる。し
たがって、マクロブロック101のような構成を採用す
ると、半導体集積回路内においてクロック発生回路から
順序回路までのクロックバッファ段数を少なくすること
が可能になる。これにより、プロセスばらつきや設計時
の計算誤差によるクロックスキューへの影響を小さくで
きる。
【0039】図3には図2の半導体集積回路全体のクロ
ック供給系のレイアウトの一例を示す。クロック発生回
路(CPG)201は、チップ301の内部領域の角付
近に位置され、一段目のクロックバッファ202A,2
02Bはチップ301の中央付近に配置されている。2
段目のクロックバッファ203A〜203Dは、ランダ
ムブロック205,206内部の一段目のクロックバッ
ファの配置や、マクロブロック101,204のクロッ
ク入力端子Cin1〜Cin4の位置に応じて配置され
る。チップ301の周縁部には入出力バッファや外部接
続電極などの入出力回路(I/O)が配置されている。
尚、図3では、フリップフロップの図示を省略してあ
る。そして、作図上、クロック配線の幅は全て同一にな
っているが、実際は配線幅が途中で変更されて、等ディ
レイ配線が実現されているものと理解された。以下に示
すその他のレイアウト図面も同様である。
【0040】図4にはマクロブロックの別に例が示され
る。同図に示されるマクロブロック101Aは図1に示
した複数に分割されたクロック供給経路に加え、クロッ
クバッファ109,106からのクロック端子Cout
1〜Cout3を持つ。この例では、2段目のクロック
バッファ109からのクロック端子Cout1,Cou
t2、1段目のクロックバッファ106からのクロック
端子Cout3を持つ場合を示す。クロック端子Cou
t1〜Cout3を持つことでマクロブロック101A
に隣接する他のブロックにその内部クロックディレイに
応じたクロック信号を供給することが可能になる。L1
0〜L12はクロック端子Cout1〜Cout3に至
るクロック配線である。
【0041】図5には図4のマクロブロック101Aを
含む半導体集積回路全体のクロック供給系の一例を示
す。マクロブロック101Aに隣接するランダムブロッ
ク501に含まれるフリップフロップ503はクロック
端子Cout1からクロック配線502を介して直接ク
ロック信号を受け取る。また、別のランダムブロック5
04に含まれるフリップフロップ507はクロック端子
Cout3からクロック配線5051段のクロックバッ
ファ506を介してクロック信号を受け取る。図5の例
では、クロック端子Cout2は用いられずに、未接続
状態のままになっている。
【0042】図6は図5に示される半導体集積回路全体
のクロック供給系のレイアウトの一例を示す。図5及び
図6から理解されるように、マクロブロック101Aに
クロック端子Cout1〜Cout3を備えることで、
マクロブロック101Aの周辺の小領域内のフリップフ
ロップ503,504に対するクロック供給が容易にな
る。また、クロック端子Cout1〜Cout3の内、
例えばクロック端子Cout2を使用しない場合は、当
該未使用クロック端子Cout2がクロックバッファ1
09の出力端子に接続されているクロック配線L11
が、半導体集積回路のレイアウト設計完成後も残る。こ
の点は、マクロブロックを使用した際の特徴である。す
なわち、マクロブロックは前述のハードIPのように回
路のレイアウトパターンまで特定されたマクロブロック
情報に基づいて規定される回路であって、その回路特性
まで保証されている性質上、原則的に、後からレイアウ
トパターンの形状変更などは行なわれない。また、マク
ロブロック101Aと一緒に用いられる他の回路はユー
ザの要求仕様に応じてまちまちである。このため、前記
複数の複数個のクロック端子Cout1〜Cout3の
クロックディレイ値は、予め種々の用途を想定して、多
種類用意される性質を持つことになる。このため、マク
ロブロック101Aを用いて所要の半導体集積回路を設
計すると、前記Cout2及びL11のように一部のク
ロック端子とクロック配線が、未接続状態で残存するこ
とになる。
【0043】図7及び図8にはマクロブロックの更に別
の例が示される。図7に示されるマクロブロック101
Bは、夫々のクロック入力端子Cin1〜Cin4を起
点とする全てのクロック供給系をクロック入力端子Ci
n1〜Cin4の直後で、換言すれば、クロックバッフ
ァ103〜106の入力側で、配線L1Aにより短絡し
てある。短絡することによってマクロブロック内の1段
目のクロックバッファ103〜106へのクロック信号
の入力タイミングを全て揃えることが可能になる。
【0044】図8に示されるマクロブロック101C
は、夫々のクロック入力端子Cin1〜Cin4を起点
とする全てのクロック供給経路を一段目のクロックバッ
ファ103〜106の出力側で配線L2Aにより短絡し
てある。短絡することによってマクロブロック101C
内の2段目のクロックバッファのクロック信号の入力タ
イミングを全て揃えることが可能になる。尚、図7及び
図8に示されるその他の構成は図4と同じであるからそ
の詳細な説明は省略する。前記配線L1A、L2Aによ
って短絡させる構成は図1のマクロブロック101を初
めとしてその他のマクロブロックにも適用可能であるこ
とは言うまでもない。
【0045】図9には図8のマクロブロック101Cを
含む半導体集積回路全体のクロック供給系の一例を示
す。マクロブロック101Cにおいてクロック端子Co
ut3は、クロック配線L2及びL2Aを介して第2段
目の全てのクロックバッファ107〜109の入力端子
に接続されている。図9の例では、マクロブロック10
1Cの外部からクロックバッファ202B220,22
1を介してクロック端子Cout3にクロック信号を供
給する。これにより、マクロブロック101Cの外部か
らマクロブロック101Cの内部のクロックバッファ1
03〜106の駆動力を増強でき、内部のクロックバッ
ファの駆動能力が全体的に小さ過ぎる場合にそれを補強
でき、クロックディレイ値が不所望に増える事態を阻止
できる。
【0046】図10には図9のチップ全体のクロック供
給系のレイアウトを示される。マクロブロック101C
のクロック端子Cout1〜Cout3の内、使用され
ていない端子Cout2、Cout1に関しても、当該
端子Cout2、Cout1からクロックバッファ10
9の出力に延存するクロック配線L11が、半導体集積
回路のレイアウト設計完成後も残ることになる。
【0047】図11には図8のマクロブロック101C
を含む半導体集積回路全体のクロック供給系の別の例を
示す。マクロブロック101Cにおいてクロック端子C
out3には負荷としてのダミークロックバッファ23
2,233が接続されている。ダミークロックバッファ
232,233をクロック端子Cout3に付加するこ
とで、マクロブロック101Cの外部からマクロブロッ
ク101C内部のクロックバッファ103〜106の負
荷容量成分を増加でき、マクロブロック101C内部の
クロックディレイ値が小さすぎる場合には、これを増加
させることが可能になる。
【0048】図12には図11のチップ全体のクロック
供給系のレイアウトを示される。マクロブロック101
Cのクロック端子Cout1〜Cout3の内、使用さ
れていない端子Cout2、Cout1に関しても、当
該端子Cout2、Cout1からクロックバッファ1
09の出力に延存するクロック配線L11が、半導体集
積回路のレイアウト設計完成後も残ることになる。
【0049】図13にはクロック発生回路を有するマク
ロブロックの例が示される。クロック発生回路600を
起点に、第1段目のクロックバッファ601〜603、
第2段目のクロックバッファ604,605、第3段目
のクロックバッファ606、第4段目のクロックバッフ
ァ607が、順次途中で分岐され、クロック配線L20
〜L23を介して複数の直列のクロック経路を構成す
る。終段のクロックバッファ607の出力はクロック配
線L24を介してフリップフロップ608のクロック入
力端子に接続される。特に制限されないが、クロック発
生回路600からフリップフロップ608に至るまでに
直列に複数段配置されたクロックバッファ601〜60
3、604〜605、6060、607は、同一段若し
くは同一階層毎に駆動能力が等しくされ、クロック配線
L21〜L24の個々の経路は同一段若しくは同一階層
毎に等ディレイ配線(等幅、等長配線)になるように設
計されている。これによって、マクロブロック内部の同
一クロック系の順序回路にはクロックスキューを抑止し
たクロック信号が供給される。尚、クロック発生回路6
00からフリップフロップ608に至る各クロック供給
系のクロックディレイ値が等しくなれば、クロックバッ
ファの駆動能力をそろえたり、等ディレイ配線を採用し
なくても良い場合がある。
【0050】前記クロックバッファ603、605の出
力はクロック配線L21、L22を介してクロック出力
端子Cout11〜Cout13に接続されている。図
から明らかなように、クロックバッファの直列段数の相
異により、クロック出力端子Cout11、Cout1
2nのクロックディレイ値と、クロック出力端子Cou
t13のクロックディレイ値は相異されている。
【0051】図14には前記マクロブロック101Dを
含む半導体集積回路全体のクロック供給系の一例を示
す。ここにはマクロブロック101Dの他に、内部のフ
リップフロップの数が相異される2個のマクロブロック
610、620、ランダムブロック630のクロック供
給系が含まれる。マクロブロック610は、クロック入
力端子の数やフリップフロップの数は少ないが図1のマ
クロブロックと基本的に同じ構成を有する。そのマクロ
ブロック610のクロック入力端子Cin1,Cin2
はマクロブロック101Dのクロック出力端子Cout
11に接続され、マクロブロック610の内部において
クロック入力端子Cin1,Cin2は2段のクロック
バッファ611,612を介してフリップフロップ61
3に接続される。他方のマクロブロック620は更に規
模が小さく、そのクロック入力端子Cin1がマクロブ
ロック101Dのクロック出力端子Cout12に接続
され、マクロブロック620の内部においてクロック入
力端子Cin1は2段のクロックバッファ621,62
2を介してフリップフロップ623に接続される。64
3で示されるものは、出力端子Cout12に関する負
荷容量調整用のダミークロックバッファである。ランダ
ムブロック630は、マクロブロック101Dのクロッ
ク出力端子Cout13に接続されたクロックバッファ
640からランダムブロック630内部のクロックバッ
ファ631,632を介してフリップフロップ633に
クロック信号が供給される。641で示されるものは、
出力端子Cout13に関する負荷容量調整用のダミー
クロックバッファ、642はクロックバッファ640に
関する負荷容量調整用のダミークロックバッファであ
る。
【0052】図15には図14の半導体集積回路全体の
クロック供給系のレイアウトを示す。図14及び図15
ではマクロブロック101Dのクロック出力端子Cou
t11〜Cout13は全て外部の回路と接続されてい
るように図示されているが、実際には未接続状態のまま
にされたものが存在する。前述のとおり、マクロブロッ
ク101Dの外部にどのような回路が接続されるかはユ
ーザの要求使用に応じてきまるから、通常そのためには
相互にクロックディレイ値の異なる多数のクロック出力
端子を予め配置しておく必要性が有るので、それを全て
使い切ることは殆ど有り得ないと考えられる。要する
に、マクロブロック101Dを用いて半導体集積回路を
形成すると、クロックバッファの出力端子に接続された
クロック配線が途中でそのまま放置された配線パターが
残ることになる。
【0053】このように、マクロブロック101Dにク
ロック発生回路600を設け、マクロブロック101D
内部へのクロック供給系を構成すると共に、当該マクロ
ブロック101Dを適用した半導体集積回路のその他に
回路部分へのクロック供給を考慮したクロック出力端子
Cout11〜Cout13をマクロブロックに形成し
ておくことにより、半導体集積回路の設計時におけるク
ロック供給系の設計が簡単になる。特に、複数のクロッ
ク出力端子Cout11〜Cout13は複数種類のク
ロックディレイ値を有しているから、クロックディレイ
値の異なるクロック信号をマクロブロックに隣接する他
のブロックに必要に応じて供給することが可能になる。
内部のクロックディレイ値が相互に異なる複数個の隣接
ブロックの順序回路を、マクロブロック内部の順序回路
と同じクロックディレイ値で同期動作させる事も容易に
なる。したがって、他のマクロブロック等との間でのス
キューを抑制することが容易になる。
【0054】《マクロブロック情報》半導体集積回路の
設計において前記マクロブロックは完成されている部品
として取り扱うことが出来る。その部品としての実体
は、完成された回路を再現可能にする設計データであ
り、そのデータをマクロブロック情報と称する。マクロ
ブロック情報は、所定の形式を持って、磁気テープ、C
D−ROM、磁気ディスク等のデータ記録媒体に格納さ
れて、半導体集積回路の設計者に提供される。図20に
例示されるように、前記データ記録媒体MDAは、その
記録情報をコンピュータEWSによって読み取り可能で
ある。データ記録媒体MDAは、例えばコンピュータE
WSの固定補助記憶装置の記憶媒体を構成し、或いはデ
ィスクドライブ装置のリムーバブルなデータ記録媒体を
構成する。
【0055】図16にはマクロブロック情報の一覧を例
示する。情報の種類は、マスクレイアウトデータDT
1、シミュレーションモデルデータDT2、タイミング
モデルデータDT3、フロアプランモデルデータDT
4、及び仕様データDT5である。
【0056】前記マスクレイアウトデータDT1は、半
導体集積回路を構成する回路形成層の識別名とそこに形
成すべき図形パターンを決定するための図形パターン形
成用の描画データとを対応付けて記録されたデータであ
り、図20の描画データファイルDF1として記録され
ている。前記マスクレイアウトデータDT1は、例え
ば、半導体集積回路の回路形成層が、回路半導体領域、
複数の金属配線層、ポリシリコン配線層、複数の絶縁層
であるなら、各層の層名と、そこに形成すべき図形パタ
ーンを決定するためのマスクパターン描画データとを対
応させたデータである。描画データはフォトマスク形成
用の描画データに限定されず、ウェーハ上のフォトレジ
ストに直接パターンを描画するためのデータであっても
よい。記録データの形式は例えばGDSIIと称されるよ
うなストリームフォーマットのデータとされ、エンジニ
アリングワークステーションによって構成されるような
マスク設計装置に読み込まれて、フォトマスクのパター
ンを生成することができるようなデータとされる。
【0057】前記シミュレーションモデルデータDT
2、タイミングモデルデータDT3、フロアプランモデ
ルデータDT4、及び仕様データDT5は、図20の機
能データファイルDF2として記録されている。前記シ
ミュレーションモデルデータDT2は、マクロブロック
を用いた半導体数積回路の設計時に必要になるシミュレ
ーションのための、当該マクロブロックのシミュレーシ
ョン用機能記述データである。例えば、その記述は、マ
クロブロックに対するレジスタ・トランスファ・レベル
の機能記述とされる。このシミュレーションモデルデー
タDT2には、マクロブロックの外部端子に対する機能
記述が含まれている。当然クロック端子Cin1〜Ci
n4等に対する機能記述も含まれている。
【0058】前記タイミングモデルデータDT3は、マ
クロブロックを用いた半導体数積回路の設計時に必要と
なる、当該マクロブロックのタイミング解析及びタイミ
ング制約生成用の端子毎のタイミング記述データであ
る。このタイミングモデルデータDT2にはマクロブロ
ックにおけるCin1〜Cin4等のクロック端子に対
する入力クロック波形や出力クロック波形の基本的もし
くは代表的なタイミング記述が含まれている。
【0059】前記フロアプランモデルデータDT4は、
チップ上でのマクロブロックのレイアウトを行う場合に
必要なデータであって、マクロブロックの輪郭を特定す
るデータ(マクロ形状)、マクロブロックの外部端子の
平面座標と当該外部端子が形成される回路形成層の識別
名とを対応付けて記録されたデータ(ピン座標/層)
と、マクロブロック上の配線禁止領域を特定するデータ
(マクロ上の配線禁止領域)とされる。
【0060】仕様データDT5は、チップ上のマクロブ
ロックの配置制限データDT51、マクロブロックと電
源幹線との接続手法に関するデータDT52、マクロブ
ロック内クロック論理仕様データDT53、クロック接
続基準データDT54などを含んでいる。
【0061】前記機能データファイルDF2に含まれる
前記シミュレーションモデルデータDT2、タイミング
モデルデータDT3、フロアプランモデルデータDT
4、及び仕様データDT5の内の少なくともどれかに
は、前記クロック端子Cin1〜Cin4、Cout1
〜Cout3のタイミング情報が記録されている。タイ
ミング情報とは、クロック端子がどのクロック系に属す
るかを示す情報、クロック端子のクロックディレイ値を
間接的にもしくは直接的に示す情報である。それら情報
は、図16の場合、タイミングモデルデータDT3及び
仕様データDT5に全て含まれている。同一クロック系
統とは、クロック信号の相毎という意味である。ノンオ
ーバーラップ2相クロック信号を用いる構成では、クロ
ック系は2系統になる。今まで説明したマクロブロック
では、容易に理解できるように1相のクロック系統だけ
に着目した説明になっている。
【0062】図17には前記マクロブロック内クロック
論理仕様データDT53の一例を示す。この仕様データ
DT53は図1のようにマクロブロック101の入力ク
ロック端子が4個ある場合を想定している。クロック入
力端子毎にデータDT53−1,DT53−2,DT5
3−3,DT53−4が用意されている。
【0063】図においてpin−1stはクロック入力
端子から初段クロックバッファの入力までのクロックパ
スを意味し、1st−2ndは初段クロックバッファの
入力から第2段目クロックバッファの入力までのクロッ
クパスを意味し、2nd−FFは第2段目クロックバッ
ファの入力からフリップフロップの入力までのクロック
パスを意味する。図17の仕様データDT53には、そ
のようなクロック論理の各段毎に、クロックバッファ仕
様データDdrv、クロックネット仕様データDnet
及びクロックディレイ値データDdelを有する。
【0064】クロックバッファ仕様データDdrvに
は、論理セルタイプ名、入力ピン容量、セル内部ディレ
イ(動作遅延時間)、駆動力、ゲートサイズのデータが
含まれる。また、クロックネット仕様データDnetに
は、ファンアウト数、総配線長、配線容量、ピン容量の
データが含まれる。クロックディレイ値データDdel
には、入力ピンから該当段数までの立ち上がり、及び立
ち下がりクロックディレイ値のデータがそれぞれ含まれ
ている。
【0065】データDT53−1〜DT53−4のデー
タ形式はDT53−1と同様であり、クロック供給系の
仕様データが全クロック系に対して含まれている。マク
ロブック内クロック論理仕様データは、チップ設計者が
ランダムブロック内のクロック供給系の仕様を決定する
際の指標となる。例えば、マクロブロックを含むチップ
を設計する場合には、チップ内のランダムブロックのク
ロック供給系はマクロブックと同一のクロックバッファ
を使用し、段数も揃えた方がクロックスキューを削減し
やすい。
【0066】図18及び図19には前記クロック接続基
準データDT54の一例が示される。クロック入力端子
側のクロック接続基準データを示す図18において、各
クロック入力ピン(Cin1,Cin2,Cin3,
…)毎に、動作条件に応じた立ち上がり基準クロックデ
ィレイ値DT54a、立ち下がり基準クロックディレイ
値DT54b、立ち上がりクロックスキュー値DT54
c、立ち下がりクロックスキュー値DT54d、及びピ
ン容量DT54eが記載されている。ここでのクロック
ディレイ値とは入力端子からクロック供給先までの信号
到達時間であり、動作環境に応じた値が記載されてい
る。ここでは、最良(best)、典型(typica
l)及び最悪(worst)の3種類の値を記載してい
る。基準クロックディレイ値の最小値と最大値の差がス
キュー値であり、これも同じく動作環境毎の値が記載さ
れている。ここでは、最良(best)、典型(typ
ical)及び最悪(worst)の3種類の値を記載
している。
【0067】一方、出力端子側のクロック接続基準デー
タを示す図19において、各クロック出力ピン(Cou
t1,Cout2,Cout3,…)毎に、動作条件に
応じた立ち上がりクロックディレイ値DT54f、立ち
下がりクロックディレイ値DT54g、ピン容量DT5
4h、及び駆動力として立ち上がり/立ち下がり出力抵
抗値DT54iを有している。ここでのクロックディレ
イ値は入力ピンから該当出力ピンまでの信号到達時間で
あり、動作環境毎の値が記載されている。ここでは、最
良(best)、典型(typical)及び最悪(w
orst)の3種類を記載している。それら図18及び
図19に例示されたクロック接続基準データDT54
は、チップ設計者が101などの前記マクロブロックと
その他のマクロブロックや回路ブロックとの間でのクロ
ック供給系の設計に際して一つの指標となる。
【0068】《マクロブロック情報の利用》前記マクロ
ブロック情報を用いて半導体集積回路を設計するとき、
エンジニアリングワークステーションや高位のパーソナ
ルコンピュータなどのコンピュータEWS(図20参
照)を用いることができる。前記コンピュータEWSは
既に公知であるからその詳細については図示を省略する
が、マイクロプロセッサやワークRAM(Random Acces
s Memory)などを搭載したプロセッサボードを中心に、
キーボードコントローラ、ディスプレイコントローラや
IDE(Integrated Device Electronics)コントロー
ラなどの周辺コントローラを有し、それら周辺コントロ
ーラに、キーボード、ディスプレイ、補助記憶装置等の
入出力装置が接続されて、そのシステムが構成されてい
る。図20においてデータ記録媒体MDAがCD−RO
Mであれば、コンピュータEWSが内蔵するCD−RO
Mドライブを介してそのCD−ROMの記録情報を読み
取ることができる。読み取ったデータは、例えばワーク
RAM等に展開されて利用される。
【0069】前記マクロブロックにその他のマクロブロ
ックやランダムブロックを接続して半導体集積回路を設
計するとき、コンピュータEWSは、図3に例示される
前記ランダムブロック205,206のレイアウト設計
を支援すると共に、それによって得られたレイアウトパ
ターンに、前記データ記録媒体MDAから読み取ったマ
クロブロック101等の前記描画データファイルDF1
で特定される各回路形成層のレイアウトパターンを接続
する処理を支援する。このとき、図3に例示されるよう
な前記マクロブロック101の外部端子の座標位置や回
路形成層の名前は機能データファイルDF2のフロアプ
ランモデルデータDT4から把握することができる。ク
ロック系の接続に関しては、図3のマクロブロック10
1で代表されるように、同一クロック系について複数個
のクロック端子Cin1〜Cin4があるので、前記機
能データファイルDF2のタイミングモデルデータDT
3や仕様データDT5から同一クロック系であることが
示されているクロック端子に同一クロック系のクロック
配線を接続することができる。前記マクロブック内クロ
ック論理仕様データDT53は、チップ設計者が図3に
例示されるようなランダムブロック205,206内の
クロック供給系の仕様を決定する際の指標とすることが
できる。クロック接続基準データDT54は、図3に例
示されるような前記マクロブロック101とランダムブ
ロック205,206等との間でのクロック供給系の設
計に際して一つの指標とされる。
【0070】例えば、図2の例では、マクロブロック1
01へのクロック供給経路に関し、マクロブロック10
1における2個のクロック端子Cin1,Cin2は外
部のクロックバッファ203Aに、別のクロック端子C
in3,Cin4は外部の別のクロックバッファ203
Bに共通接続され、前記クロックバッファ203A,2
03Bにはその前段のクロックバッファ202Aからク
ロック信号が供給される。クロックバッファ202Aと
同一階層のクロックバッファ202Bはその他の回路と
して別のマクロブロック204やランダムブロック20
5等に接続されて、一つのクロック系に関するクロック
供給が行なわれる。
【0071】ここで、比較例として、クロック供給経路
の異なる別のマクロブロックについて考えてみる。図2
2に例示されるマクロブロック2001は、図1と同じ
数のフリップフロップを有し、同一クロック系のクロッ
ク端子Cin1を1個有する。同一クロック系のクロッ
ク入力端子が1個の場合、通常フリップフロップ数の多
いマクロブロックはフリップフロップ数の少ないマクロ
ブロックに比べてクロックバッファの直列段数が多くな
る。図22のマクロブロック2001は、クロック端子
Cin1からフリップフロップ2006まで直列3段の
クロックバッファ2003,2004,2005を有す
る。図23に例示されるチップ全体のクロック論理の様
に、フリップフロップ数の多いマクロブロック2001
とフリップフロップ数の少ないマクロブロック204や
ランダムブロック205,206が混在する場合、フリ
ップフロップ数の多いマクロブロック2001だけ内部
のクロックバッファの段数が増加するような状況が起こ
り得る。例えば図23に例示されるように、マクロブロ
ック2001と2101の内部のクロックバッファの直
列段数が異なるとき、クロック発生回路201からマク
ロブロック2001,204に至る外部のクロックバッ
ファ202A,202B,203A〜203Dの直列段
数がマクロブロック2001と2101との間で等しい
場合には、クロック発生回路201からフリップフロッ
プに至るまでのクロックディレイ値に差が生じ、クロッ
クスキュー値が増大する。このような状況を回避するた
め、図24に示す様にフリップフロップ数が少ないマク
ロブロック204やランダムブロック205のクロック
入力側に段数調整用のクロックバッファ2201を挿入
することでクロックバッファの直列段数段数を揃え、ク
ロックスキュー値を抑制することができる。単なる遅延
調整用のダミークロックバッファ2201を挿入する
と、図24に例示されたクロック供給系は、図2に例示
されたクロック供給系に比べて、全体としてのクロック
ディレイ値が大きくなってしまう。また、図25に示す
ようにディレイ値の小さいマクロブロック2104のク
ロック端子の直後にディレイ調整セル2301を設置す
ることでマクロブロック2104内部のクロックディレ
イ値をフリップフロップ数の多いマクロブロック200
1のクロックディレイ値に合せることが可能であるが、
この場合も、図2に例示されたクロック供給系に比べ
て、全体としてクロックディレイ値が大きくなることに
は変わり無い。このディレイ調整セル2301は、マク
ロブロック2104の外部から端子2302にディレイ
制御信号を供給することでディレイ値を設定しなければ
ならない。図24及び図25に例示した手法は、何れも
クロックディレイ値が小さい経路を遅い方に合わせるこ
とが必要になる。しかしながら、クロックディレ値が増
加すればプロセスばらつきや計算誤差の影響が大きくな
り、これらはクロックスキュー値の増大に繋がる。この
点に関し、前述の図1に代表されるように、同一クロッ
ク系の入力端子を複数個有するマクロブロック101を
用いれば、コンピュータを用いて半導体集積回路を設計
するときクロックスキューの抑制を比較的簡単に実現可
能になる。したがって、そのようなマクロブロックを用
いて形成された半導体集積回路は、高速動作並びに論理
動作の信頼性向上を実現することができる。
【0072】図21には図1で代表されるようなマクロ
ブロック101を用いて設計された半導体集積回路の一
例が示される。同図に示される半導体集積回路は、特に
制限されないが、シングルチップのマイクロコンピュー
タ700である。マイクロコンピュータ700は、フェ
ッチした命令を解読して実行するための演算制御を行う
CPU(中央処理装置)701、積和演算処理に特化さ
れたDSP(ディジタル信号処理プロセッサ)702、
キャッシュメモリ703、PLL回路などによって構成
され内部の動作基準クロック信号を発生するクロック発
生回路704、外部からのアナログ信号をディジタル信
号に変換するA/D変換回路(ADC)705、内部の
ディジタル信号をアナログ信号に変換して出力するD/
A変換回路(DAC)706、マイクロコンピュータ7
00の動作モード等を制御するシステムコントローラ7
07、CPUに対する割込み要求の調停や優先制御を行
う割込みコントローラ708を有する。さらに、リアル
タイムクロック回路710、タイマ回路711、シリア
ルインタフェース回路712、液晶ディスプレイに対す
る表示制御を行うLCDコントローラ713、シリアル
インタフェースの一種であるUSB(ユニバーサル・シ
リアル・バス)インタフェース714等の周辺回路を内
蔵し、また、CPU701に代わって選択的にバス権を
獲得することができるDMAC(ダイレクトメモリアク
セスコントローラ)720、そして、CPUによるアク
セス対象に適合したバスサイクルを制御するバスコント
ローラ721を有している。チップの周縁には入出力バ
ッファなどの外部入出力回路(I/O)が配置されてい
る。
【0073】図においてハッチングが施された部分がマ
クロブロックである。マクロブロックの内、論理規模の
比較的大きなCPU701やDSP702は図1に代表
されるように、同一クロック系に係るクロック入力端子
を複数個持つ構成を有している。したがって、このマイ
クロコンピュータ700は、前述のようにクロックスキ
ューが抑制され、高速動作並びに論理動作の信頼性向上
が実現されている。
【0074】以上本発明者によってなされた発明を実施
形態に基づいて具体的に説明したが、本発明はそれに限
定されるものではなく、その要旨を逸脱しない範囲にお
いて種々変更可能であることは言うまでもない。
【0075】例えば、クロック信号は1相に限定され
ず、2相以上であってもよい。また、具体的なクロック
配線のレイアウトは図3などに限定されず適宜変更可能
であることは言うまでもない。また、前記マクロブロッ
ク情報のデータ形式は適宜変更可能である。例えば、マ
クロブロック内クロック論理仕様データDT53、クロ
ック接続基準データDT54などのデータ形式は図1
7,図18、図19の内容に限定されない。また、タイ
ミングモデルデータ、フロアプランモデルデータ、仕様
データなどはテキストファイルに限定されず、コンピュ
ータによるデータ処理上好都合な別のファイル形式で提
供されていもよい。
【0076】マクロブロックを適用する半導体集積回路
はマイクロコンピュータに限定されず、音声処理や表示
制御等に専用化されたマルチメディアプロセッサや、そ
の他の論理LSI等に広く適用することができる。
【0077】
【発明の効果】本願において開示される発明のうち代表
的なものによって得られる効果を簡単に説明すれば下記
の通りである。
【0078】すなわち、同一クロック系に係るクロック
入力端子を複数個有するから、マクロブロックのクロッ
クディレイ値を小さくすることができる。
【0079】データ記録媒体に記録された前記マクロブ
ロック情報を採用することにより、コンピュータを用い
て半導体集積回路を設計するときクロックスキューの抑
制を比較的簡単に実現できる。
【0080】上記マクロブロック情報を用いて形成され
た半導体集積回路は、プロセスばらつきや設計時の計算
誤差によるクロックスキューへの影響を極力小さくで
き、高速動作並びに同期動作の信頼性向上を実現するこ
とができる。
【図面の簡単な説明】
【図1】本発明に適用されるマクロブロックにおける内
部のクロック供給系の一例を示す論理回路図である。
【図2】図1のマクロブロックを含む半導体集積回路に
おけるクロック供給系の一例を全体的に示す論理回路図
である。
【図3】図2のクロック供給系のレイアウトの一例を示
すレイアウト図である。
【図4】本発明に適用されるマクロブロックにおける内
部のクロック供給系の第2の例としてクロック出力端子
を持つ場合を示す論理回路図である。
【図5】図4のマクロブロックを含む半導体集積回路に
おけるクロック供給系の一例を全体的に示す論理回路図
である。
【図6】図5のクロック供給系のレイアウトの一例を示
すレイアウト図である。
【図7】本発明に適用されるマクロブロック内部のクロ
ック供給系の第3の例としてクロック入力端子直後のク
ロック配線を短絡させた場合を示す論理回路図である。
【図8】本発明に適用されるマクロブロック内部のクロ
ック供給系の第4の例として初段クロックバッファの出
力端子を短絡させた場合を示す論理回路図である。
【図9】図8のマクロブロックを含む半導体集積回路に
おける前記短絡クロック配線に外部からクロックバッフ
ァを接続してマクロブロック内クロックバッファの駆動
能力を補強する例を全体的に示す論理回路図である。
【図10】図9のクロック供給系のレイアウトを示すレ
イアウト図である。
【図11】図8のマクロブロックを含む半導体集積回路
における前記短絡クロック配線にダミークロックバッフ
ァを接続してマクロブロック内クロックバッファの負荷
を調整する例を全体的に示す論理回路図である。
【図12】図11のクロック供給系のレイアウトの一例
を示すレイアウト図である。
【図13】本発明に適用されるマクロブロック内部のク
ロック供給系の第5の例としてクロック発生回路を内蔵
する場合を示す論理回路図である。
【図14】図13のマクロブロックを含む半導体集積回
路におけるクロック供給系の一例を全体的に示す論理回
路図である。
【図15】図14のクロック供給系のレイアウトの一例
を示すレイアウト図である。
【図16】マクロブロック情報の一覧を例示する説明図
である。
【図17】マクロブロック内クロック論理仕様データの
一例を示す説明図である。
【図18】クロック入力端子側のクロック接続基準デー
タを示す説明図である。
【図19】出力端子側のクロック接続基準データの一例
を示す説明図である。
【図20】マクロブロック情報を記録するデータ記録媒
体の基本的なデータ構造の一例を示す説明図である。
【図21】本発明に適用されるマクロブロックを用いて
設計されたマイクロコンピュータの概略レイアウト図で
ある。
【図22】比較例として単一のクロック系に1個のクロ
ック入力端子を持つマクロブロックの論理回路図であ
る。
【図23】図22のマクロブロックを採用したためにス
キュー値が増加した場合を示す比較例の説明図である。
【図24】図23のスキュー値の増加を抑えるためにク
ロックバッファの段数を揃えた場合を示す比較例の説明
図である。
【図25】図23におけるスキュー値の増加を抑えるた
めにディレイ調整セルを有するマクロブロックを使用し
た場合を示す比較例の説明図である。
【符号の説明】
101、101A,101B,101C,101D マ
クロブロック Cin1〜Cin4 クロック端子 103〜110 クロックバッファ 111 フリップフロップ L1、L2,L3 クロック配線 201 クロック発生回路 202A〜202B、203A〜203D クロックバ
ッファ 204 マクロブロック 205,206 ランダムブロック L10、L11,L12 クロック配線 Cout1〜Cout3 クロック端子 L1A 短絡用クロック配線 L2A 短絡用クロック配線 220,221 補強用クロックバッファ 232,233 負荷調整用ダミークロックバッファ 600 クロック発生回路 601〜607 クロックバッファ 608 フリップフロップ L20,L21,L22 クロック配線 Cout11〜Cout13 クロック端子 MDA データ記録媒体 DF1 描画データファイル DF2 機能データファイル DT1 マスクレイアウトデータ DT2 シミュレーションモデルデータ DT3 タイミングモデルデータ DT4 フロアプランモデルデータ DT5 仕様データ EWS コンピュータ 700 マイクロコンピュータ 701 CPU
フロントページの続き (72)発明者 服部 俊洋 東京都小平市上水本町五丁目20番1号 株 式会社日立製作所半導体事業本部内 Fターム(参考) 5B062 BB10 HH01 5F038 CA03 CD06 CD08 CD09 DF04 DF11 5F064 AA04 BB09 BB10 BB19 BB26 DD03 DD04 DD20 DD25 DD32 EE03 EE15 EE47 EE54 HH02 HH06 HH11

Claims (16)

    【特許請求の範囲】
  1. 【請求項1】 マクロブロック情報をコンピュータによ
    って読み取り可能に記録したデータ記録媒体であって、 前記マクロブロック情報はマクロブロックを半導体集積
    回路によって実現するための情報であり、 前記マクロブロックは、同一クロック系について複数個
    配置され外部からクロック信号を入力する複数個の第1
    のクロック端子と、前記第1のクロック端子から供給さ
    れるクロック信号を伝達するクロック配線と、前記クロ
    ック配線の途中に配置されたクロックバッファと、前記
    クロック配線及びクロックバッファを介して伝達される
    クロック信号を入力する複数個の順序回路とを含み、 前記マクロブロック情報は、前記マクロブロックにその
    他の回路を接続した半導体集積回路の設計に用いられる
    情報であって、図形パターン形成用の描画データ情報
    と、前記マクロブロックの機能を特定する機能データ情
    報とを含み、 前記描画データ情報は、半導体集積回路を構成する回路
    形成層の識別名とそこに形成すべき図形パターンを決定
    するための図形パターン形成用の描画データとを対応付
    ける情報を有し、 前記機能情報は、前記マクロブロックの外部端子の平面
    座標と当該外部端子が形成される回路形成層の識別名と
    を対応付けた情報と、前記第1のクロック端子のタイミ
    ング情報とを有するものであることを特徴とするデータ
    記録媒体。
  2. 【請求項2】 前記クロック配線は、前記同一クロック
    系に係る複数個の第1のクロック端子を前記マクロブロ
    ックの内部で相互に短絡する第1配線部分を有するもの
    であることを特徴とする請求項1記載のデータ記録媒
    体。
  3. 【請求項3】 前記クロック配線は、同一クロック系に
    係る複数個の同一段のクロックバッファの出力を前記マ
    クロブロックの内部で相互に短絡する第2配線部分を有
    するものであることを特徴とする請求項1記載のデータ
    記録媒体。
  4. 【請求項4】 前記第2配線部分を前記マクロブロック
    の外部に接続可能にする第2のクロック端子を有し、 前記機能データ情報は、前記第2のクロック端子のタイ
    ミング情報を更に有するものであることを特徴とする請
    求項3記載のデータ記録媒体。
  5. 【請求項5】 前記マクロブロックは、一部のクロック
    バッファの出力が前記順序回路に代えて接続されている
    第3のクロック端子を有し、 前記機能データ情報は、前記第3のクロック端子のタイ
    ミング情報を更に有するものであることを特徴とする請
    求項1乃至4の何れか1項記載のデータ記録媒体。
  6. 【請求項6】 前記第3のクロック端子を複数個有し、
    複数個の第3のクロック端子の全部又は一部は、その前
    段に、相互に段数の異なるクロックバッファが接続され
    ているものであることを特徴とする請求項5記載のデー
    タ記録媒体。
  7. 【請求項7】 マクロブロック情報をコンピュータによ
    って読み取り可能に記録したデータ記録媒体であって、 前記マクロブロック情報はマクロブロックを半導体集積
    回路によって実現するための情報であり、 前記マクロブロックは、クロック発生回路と、前記クロ
    ック発生回路から出力されたクロック信号を伝達するク
    ロック配線と、前記クロック配線の途中に配置されたク
    ロックバッファと、前記クロック配線及びクロックバッ
    ファを介して伝達されるクロック信号を入力する複数個
    の順序回路と、前記クロックバッファの出力に接続され
    前段のクロックバッファの数が相互に異なる複数個のク
    ロック出力端子とを含み、 前記マクロブロック情報は、前記マクロブロックにその
    他の回路を接続した半導体集積回路の設計に用いられる
    情報であって、図形パターン形成用の描画データ情報
    と、前記マクロブロックの機能を特定する機能データ情
    報とを含み、 前記描画データ情報は、半導体集積回路を構成する回路
    形成層の識別名とそこに形成すべき図形パターンを決定
    するための図形パターン形成用の描画データとを対応付
    ける情報を有し、 前記機能データ情報は、前記マクロブロックの外部端子
    の平面座標と当該外部端子が形成される回路形成層の識
    別名とを対応付けた情報と、前記クロック出力端子のタ
    イミング情報とを有するものであることを特徴とするデ
    ータ記録媒体。
  8. 【請求項8】 クロック発生回路と、第1の回路ブロッ
    クと、第2の回路ブロックとを含み、 前記第1の回路ブロックは、同一クロック系について複
    数個配置されクロック信号を入力する複数個の第1のク
    ロック入力ノードと、前記第1のクロック入力ノードの
    それぞれから供給されるクロック信号を伝達する複数の
    クロック配線と、前記クロック配線の間に結合されたク
    ロックバッファと、前記クロック配線及びクロックバッ
    ファを介して伝達されるクロック信号が入力される複数
    個の順序回路とを含み、 前記第2の回路ブロックは、同一クロック系について1
    個配置されクロック信号を入力する第2のクロック入力
    ノードと、前記第2のクロック入力ノードから供給され
    るクロック信号を伝達するクロック配線と、前記クロッ
    ク配線の間に結合されたクロックバッファと、前記クロ
    ック配線及びクロックバッファを介して伝達されるクロ
    ック信号が入力される複数個の順序回路とを含み、 前記第2の回路ブロックに含まれる順序回路の数は同一
    クロック系に係る第1の回路ブロックに含まれる順序回
    路の数よりも少なくされ、 前記クロック発生回路から前記夫々の第1のクロック入
    力ノードに至るクロック伝達経路と前記クロック発生回
    路から前記第2のクロック入力ノードに至るクロック伝
    達経路とには、等しい数のクロックバッファが結合され
    て成るものであることを特徴とする半導体集積回路。
  9. 【請求項9】 前記第1の回路ブロックの内部におい
    て、前記クロック配線は、前記同一クロック系に係る複
    数個の第1のクロック入力ノードを相互に結合する第1
    配線を有するものであることを特徴とする請求項8記載
    の半導体集積回路。
  10. 【請求項10】 前記第1の回路ブロックの内部におい
    て、前記クロック配線は、同一クロック系に係る複数個
    の同一段のクロックバッファの出力を相互に結合する第
    2配線を有するものであることを特徴とする請求項8記
    載の半導体集積回路。
  11. 【請求項11】 上記第1回路ブロックは前記第2配線
    を前記第1の回路ブロックの外部に接続可能にするノー
    ドを有し、 前記ノードには、第1の回路ブロックの外部に配置され
    たクロックバッファの出力が結合されて成るものである
    ことをと特徴とする請求項10記載の半導体集積回路。
  12. 【請求項12】 上記第1の回路ブロックは前記第2配
    線を前記第1の回路ブロックの外部に接続可能にするノ
    ードを有し、 前記ノードには、第1の回路ブロックの外部に配置され
    たクロックバッファの入力が結合されて成るものである
    ことをと特徴とする請求項10記載の半導体集積回路。
  13. 【請求項13】 前記第1の回路ブロックは、同一クロ
    ック系に係る一部のクロックバッファの出力が前記順序
    回路に代えて接続された複数個のクロック出力ノードを
    有し、 前記複数個のクロック出力ノードの全部又は一部は前記
    第1の回路ブロック内部でその前段に相互に段数の異な
    るクロックバッファが接続され、 前記第1の回路ブロックの外部において、前記複数個の
    クロック出力ノードの一部はクロック配線を介して別の
    クロックバッファの入力に接続され、残りのクロック出
    力ノードは未接続状態にされて成るものであることを特
    徴とする請求項8記載の半導体集積回路。
  14. 【請求項14】 第1の回路ブロックと、前記第1の回
    路ブロックから出力されるクロック信号に同期動作され
    る第2の回路ブロックとを含み、 前記第1の回路ブロックは、クロック発生回路と、前記
    クロック発生回路から出力されたクロック信号を伝達す
    るクロック配線と、前記クロック配線の間に結合された
    クロックバッファと、前記クロック配線及びクロックバ
    ッファを介して伝達されるクロック信号を入力する複数
    個の順序回路と、所定の前記クロックバッファの出力に
    接続され前段のクロックバッファの数が相互に異なる複
    数個のクロックノードとを含み、 前記複数個のクロックノードの内の一部のクロックノー
    ドは、前記第1の回路ブロックの外部とは未接続状態に
    され、 前記第2の回路ブロックは、前記複数個のクロックノー
    ドの内の残りのクロックノードから供給されるクロック
    信号を伝達するクロック配線と、前記クロック配線の間
    に結合されたクロックバッファと、前記クロック配線及
    びクロックバッファを介して伝達されるクロック信号を
    入力する複数個の順序回路とを含んで成るものであるこ
    とを特徴とする半導体集積回路。
  15. 【請求項15】 第1の回路ブロックと、前記第1の回
    路ブロックから出力されるクロック信号に同期動作され
    る第2の回路ブロック及び第3の回路ブロックとを含
    み、 前記第1の回路ブロックは、クロック発生回路と、前記
    クロック発生回路から出力されたクロック信号を伝達す
    るクロック配線と、前記クロック配線の間に結合された
    クロックバッファと、前記クロック配線及びクロックバ
    ッファを介して伝達されるクロック信号を入力する複数
    個の順序回路と、所定の前記クロックバッファの出力に
    接続され前段のクロックバッファの数が相互に異なる複
    数個のクロック配線ノードとを含み、 前記複数個のクロックノードの内の一部のクロックノー
    ドは、前記第1の回路ブロックの外部とは未接続状態に
    され前記第2の回路ブロックは、前記複数個のクロック
    ノードの内の残りの一部のクロックノードから供給され
    るクロック信号を伝達するクロック配線と、前記クロッ
    ク配線の途中に配置されたクロックバッファと、前記ク
    ロック配線及びクロックバッファを介して伝達されるク
    ロック信号を入力する複数個の順序回路とを含み、 前記第3の回路ブロックは、前記複数個のクロックノー
    ドの内の残りのクロックノードから供給されるクロック
    信号を伝達するクロック配線と、前記クロック配線の間
    に結合されたクロックバッファと、前記クロック配線及
    びクロックバッファを介して伝達されるクロック信号を
    入力する複数個の順序回路とを含んで成るものであるこ
    とを特徴とする半導体集積回路。
  16. 【請求項16】 前記第1の回路ブロックはCPUの機
    能を有し、半導体集積回路はマイクロコンピュータを実
    現するものであることを特徴とする請求項8乃至15の
    何れか1項記載の半導体集積回路。
JP11025744A 1999-02-03 1999-02-03 データ記録媒体及び半導体集積回路 Withdrawn JP2000223577A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP11025744A JP2000223577A (ja) 1999-02-03 1999-02-03 データ記録媒体及び半導体集積回路

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP11025744A JP2000223577A (ja) 1999-02-03 1999-02-03 データ記録媒体及び半導体集積回路

Publications (1)

Publication Number Publication Date
JP2000223577A true JP2000223577A (ja) 2000-08-11

Family

ID=12174345

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11025744A Withdrawn JP2000223577A (ja) 1999-02-03 1999-02-03 データ記録媒体及び半導体集積回路

Country Status (1)

Country Link
JP (1) JP2000223577A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7005907B2 (en) 2002-06-12 2006-02-28 Nec Corporation Integrated circuit device with clock skew reduced
JP2010529684A (ja) * 2007-06-07 2010-08-26 ケーエルエー−テンカー・コーポレーション マルチコア構造に基づくウエハー上の欠陥を検出するためのコンピューターに実装された方法、キャリア媒体、およびシステム

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7005907B2 (en) 2002-06-12 2006-02-28 Nec Corporation Integrated circuit device with clock skew reduced
JP2010529684A (ja) * 2007-06-07 2010-08-26 ケーエルエー−テンカー・コーポレーション マルチコア構造に基づくウエハー上の欠陥を検出するためのコンピューターに実装された方法、キャリア媒体、およびシステム

Similar Documents

Publication Publication Date Title
US8386690B2 (en) On-chip networks for flexible three-dimensional chip integration
US8418092B2 (en) Source-synchronous data link for system-on-chip design
US7461187B2 (en) Bus system and data transfer method
Singh et al. Generalized latency-insensitive systems for single-clock and multi-clock architectures
US20110254588A1 (en) Power saving circuit using a clock buffer and multiple flip-flops
JP2001357090A (ja) 論理合成方法及び論理合成装置
JP2005050030A (ja) 半導体集積回路装置、クロック制御方法及びデータ転送制御方法
JP2010086030A (ja) 情報処理装置
WO2000049653A1 (fr) Support de stockage et procede de fabrication d'un circuit integre a semi-conducteur
JP4436902B2 (ja) 割り込みをクリアするロジック・ユニット及び集積回路
JP2000223577A (ja) データ記録媒体及び半導体集積回路
JP2000113025A (ja) ハードマクロ作成方法、半導体チップ設計方法及び記録媒体
JP2008041106A (ja) 半導体集積回路装置、クロック制御方法及びデータ転送制御方法
EP3173895B1 (en) Clock tree implementation method, system-on-chip and computer storage medium
JP3562583B2 (ja) プロセッサ・ローカル・バスを管理する装置、方法およびコンピュータ・プログラム・プロダクト
Agiwal et al. An architecture and a wrapper synthesis approach for multi-clock latency-insensitive systems
US9053773B2 (en) Method and apparatus for clock power saving in multiport latch arrays
JP2002215333A (ja) データ転送システム及びそれを備えるコンピュータ
JP5882714B2 (ja) リコンフィギュラブルプロセッサの制御方法及びリコンフィギュラブルプロセッサのコンフィギュレーション情報を生成する方法及びコンフィギュレーション情報生成ツール
JP3629019B2 (ja) 半導体集積回路
JP2001053233A (ja) 半導体集積回路及び記憶媒体
JP2002033457A (ja) 半導体集積回路装置
Hellmich et al. Re-usable low power DSP IP embedded in an ARM based SoC architecture
Agiwal et al. Multi-clock latency-insensitive architecture and wrapper synthesis
Schmidt et al. Reconfigurable computing cluster project: Phase I brief

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20060404