JP2000215151A - バイパス回路 - Google Patents

バイパス回路

Info

Publication number
JP2000215151A
JP2000215151A JP11320976A JP32097699A JP2000215151A JP 2000215151 A JP2000215151 A JP 2000215151A JP 11320976 A JP11320976 A JP 11320976A JP 32097699 A JP32097699 A JP 32097699A JP 2000215151 A JP2000215151 A JP 2000215151A
Authority
JP
Japan
Prior art keywords
scsi
data
bus
enclosure
control
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP11320976A
Other languages
English (en)
Inventor
James L White
ジェームズ・エル・ホワイト
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
HP Inc
Original Assignee
Hewlett Packard Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hewlett Packard Co filed Critical Hewlett Packard Co
Publication of JP2000215151A publication Critical patent/JP2000215151A/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/07Responding to the occurrence of a fault, e.g. fault tolerance
    • G06F11/16Error detection or correction of the data by redundancy in hardware
    • G06F11/20Error detection or correction of the data by redundancy in hardware using active fault-masking, e.g. by switching out faulty elements or by switching in spare elements
    • G06F11/2053Error detection or correction of the data by redundancy in hardware using active fault-masking, e.g. by switching out faulty elements or by switching in spare elements where persistent mass storage functionality or persistent mass storage control functionality is redundant
    • G06F11/2094Redundant storage or storage space
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
    • G06F11/2205Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing using arrangements specific to the hardware being tested
    • G06F11/2221Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing using arrangements specific to the hardware being tested to test input/output devices or peripheral units
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/07Responding to the occurrence of a fault, e.g. fault tolerance
    • G06F11/16Error detection or correction of the data by redundancy in hardware
    • G06F11/1658Data re-synchronization of a redundant component, or initial sync of replacement, additional or spare unit
    • G06F11/1662Data re-synchronization of a redundant component, or initial sync of replacement, additional or spare unit the resynchronized component or unit being a persistent storage device

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Bus Control (AREA)
  • Hardware Redundancy (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)

Abstract

(57)【要約】 【課題】高可用性コンピュータ・システムに用いられる
信頼性の高い、フォルト・トレラントな複数周辺デバイ
ス筐体を提供する。 【解決手段】筐体内部の誤動作周辺デバイスのみならず
筐体自体の誤動作を診断および分離するため、3段階ポ
ート・バイパス制御回路を使用する。このバイパス制御
回路は、通信媒体からのIN入力、通信媒体へのOUT出
力、上記デバイスへの上記IN入力のPout出力、上記デバ
イスからのPin入力、上記デバイスからの制御信号線SD
出力および多重化コンポーネントを備え、該多重化コン
ポーネントが、IN入力、上記Pin入力およびFB制御信
号を受け取って、FB制御信号が第1の状態にある時Pi
n入力を上記OUT出力に出力し、FB制御信号が第2の状
態にある時IN入力をOUT出力に出力する用に機能する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、複数周辺デバイス
筐体に関するもので、特に、コンポーネントを分離する
ため制御エレメントを複数周辺デバイス筐体に組み入れ
ることによって、複数周辺デバイス筐体の信頼性および
可用性を増加させる方法およびシステムに関するもので
ある。
【0002】
【従来の技術】ファイバ・チャンネル(すなわちfibre c
hannelで以下"FC"と略称する)は、コンピュータおよび
周辺デバイスの多数の異なる組み合わせを接続させるデ
ータ通信ネットワークのためのアーキテクチャおよびプ
ロトコルである。FCは、小規模コンピュータ・システ
ム・インタフェース(すなわちSCSI)プロトコルを含
む種々の上位レベル・プロトコルをサポートする。コン
ピュータまたは周辺装置は、FCポートおよび銅ワイヤ
または光ファイバを経由してネットワークに接続され
る。FCポートはトランシーバおよびインタフェース・
コントローラを含み、FCは、ホストと呼ばれるコンピ
ュータ周辺装置に含まれる。FCポートは、周辺コンピ
ュータ・インタフェース(すなわちPCI)のようなロー
カル・データ・バスを経由してホストとデータを交換す
る。インタフェース制御装置は、FCポートが存在する
コンピュータまたは周辺デバイスとファイバ・チャンネ
ルの間の下位レベル・プロトコルの交換を制御する。
【0003】FCは、高いバンド幅および柔軟な連続性
を備えているので、複数周辺デバイス筐体の範囲内で例
えばRAIDのような周辺デバイスを相互接続するた
め、および、複数周辺デバイス筐体を1つまたは複数の
コンピュータと接続させるための一般的媒体となりつつ
ある。これらの複数周辺デバイス筐体は、高い可用性シ
ステムにおいて必要とされるミラー機能および故障戦略
を実現する大幅に増加した記憶容量および組み込み冗長
性を経済的に提供する。FCは、容量および接続性に関
する限りこの応用分野に適してはいるが、シリアル通信
媒体である。周辺デバイスおよび筐体の誤動作は、特定
のケースにおいては、通信品質を低下させ、または、使
用不可能にする。
【0004】
【発明が解決しようとする課題】従って、誤動作周辺デ
バイスを分離し回復するためFC型の複数周辺デバイス
筐体の機能を改善し、誤動作複数周辺筐体を分離し回復
するため1つまたは複数のホスト・コンピュータおよび
相互接続された複数の複数周辺デバイス筐体を含む機能
を向上させる方法に対する必要性が存在する。また、複
数周辺デバイス筐体の範囲内に通信およびコンポーネン
ト冗長性を付加することによって一層高いレベルのフォ
ルト・トレラント(fault-tolerant)および高い可用性を
実現する必要性が存在する。
【0005】
【課題を解決するための手段】発明の課題を解決するた
め、本発明は、デバイスによる通信媒体へのアクセスを
制御する、外部から制御可能なバイパス回路を提供す
る。該バイパス回路は、通信媒体からのIN入力、通信媒
体へのOUT出力、デバイスへのIN入力のPout出力、デバ
イスからのPin入力、デバイスからの制御信号線SD出力
および多重化コンポーネントを備え、該多重化コンポー
ネントが、IN入力、Pin入力およびFB制御信号を受け取
って、FB制御信号が第1の状態にある時Pin入力をOUT出
力に出力し、FB制御信号が第2の状態にある時IN入力を
OUT出力に出力する。
【0006】本発明は、複数周辺デバイス筐体の範囲内
で周辺デバイスを接続するために使用される通信媒体か
ら周辺デバイスを分離し、また、多数の複数周辺デバイ
ス筐体をホスト・コンピュータに接続させるために使用
される通信媒体から複数周辺デバイス筐体を分離する方
法およびシステムを提供する。本発明は、単一障害ポイ
ントを除去して複数周辺デバイス筐体のフォルト・トレ
ラント機能性および高い可用性を向上させるため、複数
周辺デバイス筐体の範囲内のコンポーネントの冗長度を
増加させる。
【0007】複数周辺デバイス筐体の範囲内で周辺デバ
イスを相互接続するために使用される通信媒体への周辺
デバイスのアクセスを制御するためポート・バイパス回
路が使用される。ポート・バイパス回路自体はポート・
バイパス回路コントローラによって制御され、次に、こ
のポート・バイパス回路コントローラは複数周辺デバイ
ス筐体の範囲内のマイクロプロセッサ上で稼働するソフ
トウェアまたはファームウェア・ルーチンによって制御
される。このような3レベル制御によって、周辺デバイ
スの高機能な管理、誤動作周辺デバイスの診断および誤
動作周辺デバイスの分離が容易に実施される。また、誤
動作複数周辺デバイス筐体を診断し、該筐体をホスト・
プロセッサに接続する通信媒体コネクタから該筐体を分
離することができるように、この3レベルのポート・バ
イパス回路制御を複数周辺デバイス筐体間接続ポートに
も適用することができる。3段階ポート・バイパス回路
制御を使用して実施される診断および分離戦略の信頼性
を向上させるため、冗長なポート・バイパス回路コント
ローラおよびマイクロプロセッサを使用することができ
る。
【0008】
【発明の実施の形態】以下の記述は6つの節から構成さ
れている。最初の3つの節は、ファイバ・チャンネル・
アーキテクチャおよびプロトコル、SCSIアーキテク
チャおよびプロトコル、およびファイバ・チャンネル・
プロトコルに基づくSCSIプロトコルの実施形態の詳
細を記述する。第4節は、ファイバ・チャンネル仲裁さ
れたループ初期設定プロセスを記述する。第5節は、複
数周辺デバイス筐体を概説し、第6節は、SCSIプロ
トコルを通して1つまたは複数のホスト・コンピュータ
と通信する周辺デバイスのシステムの範囲内のコンポー
ネント管理のために使用される専用SCSIコマンド・
セットおよびプロトコルを記述する。第7節は、本発明
のハードウェア実施形態の詳細を記述する。
【0009】ファイバ・チャンネル ファイバ・チャンネル("FC")は、以下を含む多数のA
NSI規格文書によって定義されている。 (1)ファイバ・チャンネル物理的および信号送信インタ
フェース(Fibre ChannelPhysical and Signaling Inter
face、略して"FC-PH"),ANSIX3.230-1994,("FC-PH-2),AN
SIX3.297-1997; (2)ファイバ・チャンネル仲裁ループ(Fibre Channel
Arbitrated Loop、略して"FC-AL-2"),ANSIX3.272-199
6; (3)ファイバ・チャンネル−SCSI直接接続プライベ
ート・ループ(Fibre Channel-Private Loop SCSI Direc
t Attached、略して"FC-PLDA"); (4)ファイバ・チャンネル−ファブリック・ループ接続
(Fibre Channel-Fabric Loop Attachment、略して"FC-F
LA"); (5)SCSIに関するファイバ・チャンネル・プロトコ
ル(Fibre ChannelProtocol for SCSI、略して"FCP"); (6)ファイバ・チャンネル・ファブリック要件(Fibre Ch
annel FabricRequirements、略して"FC-FG"),ANSIX3.28
9:1996;および (7)ファイバ・チャンネル10ビット・インタフェース
(Fibre Channel10-BitInterface)。
【0010】これらの規格文書は頻繁に改訂されてい
る。ファイバ・チャンネル・システム・イニシアティブ
(Fibre Channel System Initiative、略して"FCSI")規
格文書は更に 以下を含む。 (1)ギガボー・モジュール・ファミリ(Giga baud Link M
odule Family、略して"GLM"),FCSI-301; (2)共通FC-PH機能セット・プロファイル(Common FC-PH
Feature Sets Profiles, FCSI-101);および (3)SCSIプロファイル(SCSI profile, FCSI-201)。
【0011】これらの文書は、次のアドレスを持つワー
ルドワイド・ウェブ・インターネット・ページで見るこ
とができる。 "http://www.fibrechannel.com" 以下のFCに関する記述は、本発明の説明を容易にする
ため、これら文書に含まれている一定の情報を紹介およ
び概説することこと意図している。以下の記述において
紹介される項目のいずれに関してもその一層の詳細は上
記文書を参照して得ることができるであろう。
【0012】FCは、FCノードの間、すなわち、一般
的には、1つまたは複数の通信媒体によって接続される
コンピュータ、ワークステーション、ディスク・アレイ
のような周辺デバイスのアレイまたは集合の間のデータ
通信に関するアーキテクチャおよびプロトコルである。
通信媒体は、シールドされたツイストペア接続線、同軸
ケーブルおよび光ファイバを含む。FCノードは、少な
くとも1つのFCポートおよびFCリンクを通して通信
媒体に接続される。FCポートは、レジスタおよびメモ
リ・インタフェースをFCノードの処理コンポーネント
と共有し、FCプロトコルの下位レベルをハードウェア
およびファームウェアで実施するFCホスト・アダプタ
またはFCコントローラである。FCノードは、一般的
に、共用メモリにおける共用データ構造の使用およびF
Cポートにおける制御レジスタの使用を通して、データ
および制御情報をFCポートと交換する。FCポート
は、電線または光ファイバから構成されるリンクを経由
して通信媒体に接続する直列伝送および受信コンポーネ
ントを含む。
【0013】以下の記述において、"FC"は、一般的フ
ァイバ・チャンネル・アーキテクチャおよびプロトコル
を参照するため形容詞として使用され、ファイバ・チャ
ンネル通信媒体の1つのインスタンスを参照するため名
詞として使用される。このように、(アーキテクチャお
よびプロトコルの)FCポートは、(通信媒体の)FCか
ら(アーキテクチャおよびプロトコルの)FCのシーケン
スを受け取る。
【0014】FCアーキテクチャおよびプロトコルは、
図1に示される3つの異なるタイプの接続トポロジをサ
ポートする。図1の(A)は、"ポイント・ツー・ポイン
ト・トポロジ"と呼ばれる3つのうちでは最も単純な相
互接続トポロジを示す。図1の(A)のポイント・ツー・
ポイント・トポロジにおいて、第1ノード101のFC
ポート104の伝送器103を第2ノード102のFC
ポート106の受信器105に直接接続させることによ
って、そして、第2ノード102のFCポート106の
伝送器107を第1ノード101のFCポート104の
受信器108に直接に接続することによって、第1ノー
ド101は第2ノード102に直接接続される。ポイン
ト・ツー・ポイント・トポロジにおいて使用されるポー
ト104および106は、N_Portと呼ばれる。
【0015】図1の(B)は、"FC仲裁ループ・トポロ
ジ"と呼ばれるいくぶん複雑なトポロジを示す。図1の
(B)は、"FC仲裁ループ・トポロジ"の範囲内で相互接
続された4つのノード110−113を示す。電気的ま
たは光学的2進データから成る信号が、ループを回って
循環形態で1つのノードから次のノードへ伝送される。
例えばノード111に関連する伝送器114のような伝
送器が、ループの次のノードの受信器(伝送器114の
場合にはノード112の受信器115)に直接接続され
る。仲裁ループの範囲内でFCノードを相互接続させる
ため、2つのタイプのFCポートが使用される。仲裁ル
ープで使用される最も一般的タイプのポートは、"NL_Po
rt"と呼ばれる。FC仲裁ループをFCファブリック・
トポロジに接続させるための特別なタイプのポートは"F
L_Port"と呼ばれる。1つのFL_Portだけが仲裁ループ・
トポロジに活動状態のあるものとして組み入れられるこ
とができる。FC仲裁ループ・トポロジは、最高127
の活動的FCポートを含むことができ、更に多くの非活
動状態のFCポートを含むことができる。
【0016】FC仲裁ループ・トポロジにおいて、ノー
ドは、仲裁ループの制御をもとめて争うすなわち仲裁を
求める。一般に、1つ以上のノードが制御を求めて争う
場合、最も低いポート・アドレスを持つノードが制御を
取得する。すべてのノードが最終的には合理的な時間の
範囲内で制御を受け取ることを保証するような公平アル
ゴリズムがノードによって実施される。あるノードがル
ープの制御を取得した時、そのノードは仲裁ループの範
囲内のいかなる別のノードに対してもチャンネルをオー
プンすることができる。半2重通信チャネルにおいて
は、1つのノードがデータを伝送し、別のノードがそれ
を受け取る。全二重通信チャネルにおいては、第1ノー
ドによって伝送されるデータが第2ノードによって受け
取られると同時に、第2ノードによって伝送されるデー
タが第1ノードによって受け取られることができる。例
えば、図1の(B)の仲裁ループにおいてノード111が
ノード113との全二重通信チャネルをオープンすると
すれば、そのチャンネルを経由してノード111からノ
ード113へ伝送されるデータはノード112のNL_Por
t116を通過し、ノード113によってノード111
へ伝送されるデータはノード110のNL_Port117を
通過する。
【0017】図1の(C)は、"FCファブリック"と呼ば
れる最も一般的で最も複雑なFCトポロジを示す。図1
の(C)において、FCファブリックは、図の中央にある
不規則な形のオブジェクト118によって表されてい
る。4つのノード119−122はこのオブジェクトに
接続されている。FCノード119−122の範囲内の
N_Port123−126はファブリック118の範囲内の
F_Port127−130に接続されている。ファブリック
は、機能的には電話システムと同様の交換型またはクロ
スポイント切り換えトポロジである。データは、ファブ
リックによって"ファブリック・エレメント"と呼ばれる
スイッチまたは交換機構を通してF_Portの間で伝送され
る。1つのF_Portと別のF_Portの間でファブリックを経
由する多くの可能なルートが存在する。F_Portと関連す
るファブリックの範囲内のデータの経路指定およびノー
ドのアドレス指定は、FCノードまたはN_Portではなく
FCファブリックによって取り扱われる。
【0018】光ファイバを利用すると、単一のFCファ
ブリックは10キロメータにも延伸することができる。
FCは、16,000,000以上のFCノードの相互接続をサポ
ートすることができる。単一FCホスト・アダプタは毎
秒最高200メガバイトの率でデータの送受信を行うこ
とができる。FCコンポーネントに関する一層高速のデ
ータ交換率が近い将来計画されている。
【0019】FCはシリアル通信媒体である。データ
は、非常に高い転送速度で1時点に1ビット伝送され
る。図2は、FCネットワーク経由の伝送のためデータ
が時間的に構成される非常に単純な階層を図示してい
る。最も低い概念上のレベルにおいて、データはデータ
・ビット200のストリームであると見なされる。FC
ネットワークによってサポートされるデータの最小単位
またはデータ・ビットのグループは、FCポートによっ
て8ビット文字として復号される10ビット文字であ
る。FCプリミティブ(primitive)は、10バイト文字
またはバイトから構成される。FCポートの間で交換さ
れる制御情報を搬送するため特定のFCプリミティブが
使用される。次のレベルは、FCプロトコルに関する基
本レベルで、そのデータ構成はフレームである。図2に
は7つのフレーム2020−208が示されている。フ
レームは、フレームに含まれるデータの特性に従って、
36バイトと2、148バイトの間のデータから構成さ
れる。例えば、最初のFCフレームは、データ・ビット
・ストリーム200のうちの水平大かっこ201によっ
て取り囲まれているデータ・ビットに対応する。
【0020】FCプロトコルは、シーケンスと呼ばれる
次に高位の構成レベルを定義する。第1シーケンス21
0および第2シーケンス212の一部が図2に示されて
いる。第1シーケンス210は、フレームの1から4
(202−205)から構成されている。第2シーケンス
212は、フレーム5から7(206−208)および付
加的フレーム(図示されていない)から構成されている。
FCプロトコルは、交換と呼ばれる第3の構成レベルを
定義している。図2には、交換214の一部が示されて
いる。この交換214は、図2に示されている少なくと
も第1シーケンス210および第2シーケンス212か
ら構成されている。換言すれば、この交換レベルは、フ
レーム1乃至7(2020−208)および第2シーケン
ス212および更に付加されるシーケンスの中の付加的
フレームから構成されると見ることができる。
【0021】FCは全二重データ伝送媒体である。フレ
ームおよびシーケンスは、発信側すなわちイニシエータ
と応答側すなわち目標の間で両方向に同時に伝送される
ことができる。すべてのシーケンスおよびシーケンスの
範囲内のフレームを含む交換は、読み取りI/Oトラン
ザクションまたは書き込みI/Oトランザクションのよ
うな単一のトランザクションの間に発信側および応答側
の間で交換される。FCプロトコルは、インターネット
・プロトコル("IP")、小規模コンピュータ・システム・
インタフェース("SCSI")プロトコル、高性能パラレル・
インターフェース("HIPPI")および高機能周辺インタフ
ェース("IPI")などを含む多数の高位レベル・データ交
換プロトコルのいずれかに従ってデータを伝送するよう
に設計される。SCSIバス・アーキテクチャは、次節
で取り上げられるが、本節の以下の記述および以降の各
節における記述はFCプロトコルに組み込まれるSCS
Iプロトコルに焦点があてられる。ファイバ・チャンネ
ルに対するSCSIプロトコルの標準的適合は本明細書
において以下"FCP"と呼ばれる。このように、FC
は、インターネットを実施するために使用されるような
比較的オープンで構造化されていない通信プロトコルの
他に、SCSIバスおよび他の周辺装置相互接続バスの
特徴であるマスター/スレーブ・タイプの通信パラダイ
ムをサポートすることができる。FCPにおいて実施さ
れるイニシエータおよび目標というSCSIバス・アー
キテクチャ概念は、FC経由の伝送のためSCSIコマ
ンドおよびデータ交換をカプセル化するように設計され
る。
【0022】図3は標準FCフレームの内容を示す。F
Cフレーム302は、5つの高レベル・セクション30
4、306、308、310および312を含む。第1
の高レベル・セクションは、フレーム開始区切り(SOF)
304と呼ばれ、フレームの開始をマークする4バイト
を含む。次の高レベル・セクションは、フレーム・ヘッ
ダ306と呼ばれ、アドレス指定情報、シーケンス情
報、交換情報および種々の制御フラグを含む24バイト
からなる。図3において、フレーム・ヘッダ314の詳
細がFCフレーム302から拡大されて示されている。
行き先識別子("DID")すなわちDESTINATION_DID316は
24ビットFCアドレスであり、当該フレームに関する
行き先FCポートを標示する。ソース識別子("SID")す
なわちSOURCE_ID318は、フレームを送出したFCポ
ートを標示する24ビット・アドレスである。発信側I
DすなわちOX_ID320および応答側IDすなわちRX_ID
322は組み合わせられて32ビット交換IDを形成
し、発信側すなわちイニシエータおよび応答側すなわち
目標FCポートに関してフレームが属する交換を識別す
る。シーケンスIDすなわちSEQ_ID324は、フレーム
が属するシーケンスを識別する。
【0023】次に高いレベルのセクション308は、デ
ータ・ペイロードと呼ばれ、FCフレームの範囲内にパ
ッケージ化される実際のデータを含む。データ・ペイロ
ードは、IPおよびSCSIのような一層高位レベルの
プロトコルに従って伝送されるデータおよびカプセル化
プロトコル情報を含む。図3は、SCSIプロトコルに
従うデータ伝送に関して使用されるデータ・ペイロード
・レイアウトの4つの基本タイプ326−329を示
す。これらの形式の第1のタイプ326は、FCP_CMNDと
呼ばれ、イニシエータから目標へSCSIコマンドを送
るために使用される。FCP_LUNフィールド330は、8
バイト・アドレスを含み、特定の実施形態において、特
定のSCSIバス・アダプタ、そのSCSIバス・アダ
プタに関連する目標装置およびFCP_CMNDに関する目標を
共に表す指定された目標SCSI装置に関連する論理装
置に対応する論理装置番号("LUN")を指定する。別の実
施形態においては、FCP_LUNフィールド330は、SC
SIバス・アダプタ、そのSCSIバス・アダプタに関
連する目標装置および指定された目標SCSI装置に関
連する論理装置に対応するLUNを決定するために使用さ
れるインデックスまたは参照番号を含む。SCSI読み
取りまたは書き込みI/Oコマンドのような実際のSC
SIコマンドは、16バイトFCP_CDBフィールド332
の範囲内に含まれる。
【0024】図3に示されるデータ・ペイロード形式の
第2のタイプ327はFCP_XFER_RDYレイアウトと呼ばれ
る。このデータ・ペイロード形式は、目標がデートの送
信または受信の開始の準備ができている時SCSIプロ
シード・コマンドを目標からイニシエータへ送るため使
用される。図3におけるデータ・ペイロード形式の第3
のタイプ328は、FCP_DATA形式であって、SCSII
/Oトランザクションの実行の結果読み取りまたは書き
込みされる実際のデータを伝送するため使用される。図
3に示される最後のデータ・ペイロード形式329は、
FCP_RSPレイアウトと呼ばれ、SCSI状態バイト33
4を、その他のFCP状態情報と共に、I/Oトランザ
クションの完了とともに目標からイニシエータへ伝送す
るために使用される。
【0025】SCSIバス・アーキテクチャ コンピュータ・バスは、1組の電気信号線であり、それ
を経由してコンピュータ・システムの処理、記憶および
入出力(I/O)コンポーネントの間でコンピュータ・コ
マンドおよびデータが伝送される。SCSII/Oバス
は、ハードディスクおよびCD−ROMのような大容量
記憶装置をコンピュータ・システムのメモリおよび処理
コンポーネントと接続させる最も広範囲に普及したコン
ピュータ・バスである。SCSIバス・アーキテクチャ
は、SCSI-1、SCSI-2およびSCSI-3という3つの主要規格
において定義されている。SCSI-1およびSCSI-2規格は、
ANSI"X3.131-1986"および"X3.131-1994"によってそれぞ
れ出版されている。SCSI-3規格は現在ANSI委員会によっ
て開発されている。SCSIバス・アーキテクチャの概
要は、"The SCSI Bus and IDE Interface, "Freidhelm
Schmidt,Addison-Wesley Publishing Company, ISBN 0-
201-17514-2 1997("Schmidt")によって提供されてい
る。
【0026】図4は、SCSIバスを含む一般的パーソ
ナル・コンピュータ("PC")アーキテクチャのブロック図
である。PC400は、高速CPUバス406によって
システム・コントローラ404に接続された中央処理装
置またはプロセッサ402("CPU")を含む。次に、シス
テム・コントローラは、メモリ・バス410を経由して
システム・メモリ・コンポーネント408に接続され
る。システム・コントローラ404は、更に、周辺装置
コンポーネント相互接続("PCI")バス412を経由して
種々の周辺デバイスに接続される。PCIバス412
は、比較的遅い業界標準アーキテクチャ・バス("ISA")
414およびSCSIバス416に接続される。PCI
バス・アーキテクチャは、"PCI System Architectur
e"(Shanley& Anderson, Mine Share, Inc., Addison-We
sley Publishing Company, ISBN0-201-40993-3, 1995)
に記載されている。
【0027】相互接続されたCPUバス406、メモリ
・バス410、PCIバス412およびISAバス41
4によって、CPUがコンピュータ・システムに含まれ
る種々の処理/メモリ・コンポーネントおよび入出力装
置とデータおよびコマンドを交換することが可能とされ
る。一般に、ビデオ表示装置のような非常に高速で高帯
域の入出力デバイス418はPCIバスに直接接続され
る。キーボード420およびポインティング・デバイス
(図示されていない)のような遅い入出力デバイス420
はISAバス414に接続される。ISAバスは、バス
・ブリッジ・コンポーネント422を経由してPCIバ
スに接続される。大容量記憶装置、ハードディスク、フ
ロッピーディスク・ドライブ、CD−ROMドライブお
よびテープ・ドライブ424−426はSCSIバス4
16に接続される。SCSIバスは、SCSIバス・ア
ダプタ430を経由してPCIバス412に接続され
る。SCSIバス・アダプタ430は、53C8xxSCSI
プロセッサというSymbiosファミリから選択されるプロ
セッサのようなプロセッサ・コンポーネントを含み、標
準PCIバス・プロトコルを使用してPCIバス412
にインタフェースする。
【0028】SCSIバス・アダプタ430は、SCS
Iバス・プロトコルを使用してSCSIバス416にイ
ンタフェースする(詳細は後述)。SCSIバス・アダプ
タ430は、SCSIバスに接続される各大容量記憶装
置424−426またはSCSI装置の範囲内に一般に
組み込まれるSCSIコントローラ(図示されていない)
とコマンドおよびデータを交換する。SCSIコントロ
ーラは、ハードウェア/ファームウェア・コンポーネン
トであって、SCSIバスを経由してSCSIアダプタ
から受け取られるSCSIコマンドを解読および応答
し、論理装置とインタフェースしてそれを制御すること
によってSCSIコマンドを実施する。1つの論理装置
は、1つまたは複数の物理装置あるいは1つまたは複数
の物理装置の部分に対応する。物理装置は、ディスク、
テープおよびCD−ROMドライブのようなデータ記憶
装置を含む。
【0029】I/Oコマンドと呼ばれる2つの重要なタ
イプのコマンドが、論理装置からデータを読み取り、論
理装置へデータを書き込むようにSCSI装置に指示す
る。I/Oトランザクションは、コンピュータ・システ
ムの2つのコンポーネントの間のデータの交換であっ
て、一般的にはCPU402のような処理コンポーネン
トによって始動され、読み取りI/Oコマンドまたは書
き込みI/Oコマンドによって部分的に実行される。こ
のように、I/Oトランザクションは、読み取りI/O
トランザクションおよび書き込みI/Oトランザクショ
ンを含む。
【0030】SCSIバス416は、多数のデータ・ビ
ットを並列的に転送することができるパラレル・バスで
ある。SCSIバスによって並列的に転送されることが
できるデータ・ビット数はバスの幅と呼ばれる。SCS
Iバスは、そのタイプに応じて、8、16および32ビ
ットの幅を持つ。16および32ビットSCSIバス
は、ワイドSCSIバスと呼ばれる。すべてのコンピュ
ータ・バスおよびプロセッサの場合と同様に、SCSI
バスは、バス上の動作およびデータ転送の速度を決定す
るクロックによって制御される。クロック速度はSCS
Iバスによって異なる。SCSIバスが動作するSCS
Iバス幅およびクロック速度の組み合わせが、SCSI
バスを経由して転送されることができる秒当たりのバイ
ト数すなわちSCSIバス・バンド幅を決定する。異な
るタイプのSCSIバスは、毎秒2メガバイト未満から
40メガバイトの範囲のバンド幅を持ち、将来は80か
ら160メガバイトへの増加が計画されている。バンド
幅の増加は、SCSIバスの物理的な長さにおける限界
の増加を伴う。
【0031】図5はSCSIバスのトポロジを示す。コ
ンピュータ・システム502または他のハードウェア・
システムは、1つまたは複数のSCSIバス・アダプタ
504および506を含むことができる。SCSIバス
・アダプタ、SCSIバス・アダプタが制御するSCS
IバスおよびそのSCSIバスに接続される周辺デバイ
スが1つのドメインを形成する。図5におけるSCSI
バス・アダプタ504は、第1のドメイン508に関連
し、SCSIバス・アダプタ506は第2のドメイン5
10に関連する。最新のSCSI-2バス実施形態は、15
の異なるSCSIデバイス513−515および516
−517が単一のSCSIバスに接続されることを可能
にする。図5においては、SCSIデバイス513−5
15がSCSIバス518に接続されSCSIバス・ア
ダプタ506によって制御され、SCSIデバイス51
6−517がSCSIバス520に接続されSCSIバ
ス・アダプタ504によって制御されている。
【0032】各SCSIバス・アダプタおよびSCSI
デバイスは、特定のSCSIバスにおけるデバイスまた
はアダプタをユニークに識別するSCSI識別番号すな
わちSCSI_IDを持つ。習慣として、SCSIバス・アダ
プタはSCSI_ID 7を持ち、SCSIバスに接続されるS
CSIデバイスははSCSI_ID Oから6までおよび8から15
までの範囲を持つ。SCSIデバイス513のようなS
CSIデバイスは、各々が1つまたは複数の物理装置の
部分を含む多数の論理装置とインタフェースする。各論
理装置は、論理装置を制御するSCSIデバイスに対し
て論理装置をユニークに識別する論理装置番号("LUN")
によって識別される。例えば、SCSIデバイス513
は、それぞれLUNO、1および2を持つ論理装置522−5
24を制御する。SCSIに関する用語法に従えば、S
CSIバス上にI/Oコマンドを始動するデバイスは、
イニシエータと呼ばれ、I/O動作の実行を指示するI
/OコマンドをSCSIバス上で受け取るSCSIデバ
イスは目標と呼ばれる。
【0033】一般的に、SCSIバス・アダプタ504
および506のようなSCSIバス・アダプタは、目標
デバイスにコマンドを送り出すことによってI/O動作
を始動する。目標デバイス513−515および516
−517はSCSIバスからI/Oコマンドを受け取
る。次に、目標デバイス513−515および516−
517は、それらが制御する1つまたは複数の論理装置
とインタフェースすることによってコマンドを実施し
て、論理装置からデータを読み取ってそれをSCSIバ
ス経由でイニシエータに返すか、あるいは、SCSIバ
スを経由してイニシエータから受け取ったデータを論理
装置に書き込む。最後に、目標デバイス513−515
および516−517は、コマンドの実行の成功または
失敗を表示する状態メッセージをSCSIバス経由でイ
ニシエータに応答する。
【0034】図6乃至図8は、読み書き入出力動作の始
動および実行に関連SCSIプロトコルを示している。
読み書き入出力動作は、SCSIデバイスによって実行
される大量の入出力動作を含む。SCSIバスによって
接続される大容量記憶装置システムの動作の効率を最大
にする努力は、主として、読み書き入出力動作が実行さ
れる効率の最大化へ向けられている。従って、以下の記
述において、種々のハードウェア・デバイスのアーキテ
クチャ上の機能が読み書き動作の観点から考察される。
【0035】図6は、(最も一般的にはSCSIバス・
アダプタである)SCSIイニシエータから(最も一般的
には1つまたは複数の論理装置に関連するSCSIデバ
イスに組み込まれるSCSIコントローラである)SC
SI目標デバイスへの読み取りまたは書き込みI/Oコ
マンドの送信を示す。読み取りまたは書き込みI/Oコ
マンドの送信はSCSI入出力動作のコマンド・フェー
ズと呼ばれる。図6は、中心線によってイニシエータ6
02および目標604のセクションに分割されている。
イニシエータおよび目標セクションの両方は、SCSI
バスの状態を記述する"状態"欄606、608、およ
び、イニシエータおよび目標それぞれに関連するSCS
Iバス・イベントを記述する"イベント"欄610、61
2を含む。I/Oコマンドの送出に関係するバス状態お
よびバス・イベントは、図6の上から下へ時間の順に並
べられている。図7および図8もこのような形式に従っ
ている。
【0036】図6に示されているイニシエータであるS
CSIバス・アダプタから目標SCSIデバイスへのI
/Oコマンドの送信は、目標SCSIデバイスによる読
み取りまたは書き込み入出力動作を始動する。図4を参
照すれば、SCSIバス・アダプタ430はI/Oトラ
ンザクションの一部として入出力動作を始動する。一般
的には、SCSIバス・アダプタ430は、SCSIバ
ス・アダプタに読み取り動作または書き込み動作の実行
を指示する読み取りまたは書き込みコマンドを、PCI
バス412、システム・コントローラ404およびCP
Uバス406を経由して、CPUから受け取る。
【0037】読み取り動作においては、CPU402
は、大容量記憶装置424−426からデータを読み取
って、SCSIバス416、PCIバス412、システ
ム・コントローラ404およびメモリ・バス410を経
由してシステム・メモリ内の1つの位置にそのデータを
転送するようにSCSIバス・アダプタ430に指示す
る。書き込み動作においては、CPU402は、メモリ
・バス410、システム・コントローラ404およびP
CIバス412を経由してシステム・メモリ408から
SCSIバス・アダプタ430にデータを転送するよう
にシステム・コントローラ404に指示し、SCSIバ
ス416を経由してデータが書き込まれる大容量記憶装
置424−426へデータを送るようにSCSIバス・
アダプタ430に指示する。
【0038】図6は、現在伝送されているコマンドまた
はデータがSCSIデバイスにないことを表示するバス
・フリー状態614におかれているSCSIバスから開
始する。イニシエータすなわちSCSIバス・アダプタ
は、バスを調停状態616にするため、SCSIバスの
BSY、D7およびSEL信号線をオンにする。この状態におい
て、イニシエータはSCSIバス上にコマンドを伝送す
る意志をすべてのデバイスに通知する。1つのデバイス
だけが1時点においてSCSIバスの動作を制御するこ
とができるので、調停が必要である。イニシエータがS
CSIバスの制御を得ると仮定すれば、イニシエータ
は、SCSIバスが選択状態618に入るようにするた
め、目標SCSI_IDに対応するATN信号線およびDX信号線を
オンにする。イニシエータまたは目標デバイスは、上述
のような調停状態から選択状態618への状態変更のよ
うなSCSIバス状態変更を実施するため、特定のシー
ケンスで種々のSCSI信号線をオンおよびオフにす
る。これらのシーケンスは、上記引用Schmidt文献およ
びANSI規格に記載されているので、これ以上の記述は行
わない。
【0039】目標デバイスがイニシエータによって選択
されたことを目標デバイスが検出すると、目標デバイス
は、入出力動作のコマンド・フェーズを完了するためS
CSIバスの制御620を得たものと仮定する。次に、
目標デバイスは、メッセージ送出状態622に入るため
SCSI信号線を制御する。メッセージ送出状態におい
て発生する最初のイベントにおいて、目標デバイスはイ
ニシエータから識別メッセージ623を受け取る。識別
メッセージ623は、その後に続くコマンド・メッセー
ジがアドレスされるLUNを識別するLUNフィールド624
を含む。識別メッセージ623は、また、目標デバイス
による後続のI/Oコマンドの実行の間に目標デバイス
がSCSIバスから切断する権限を与えられていること
を目標に知らせるため一般にセットされるフラグ625
を含む。
【0040】目標デバイスは、次に、後続のI/Oコマ
ンドがどのように待ち行列に入れられるべきかを目標デ
バイスに指示し、同時に目標デバイスに待ち行列タグ6
27を与える待ち行列タグ・メッセージ626を受け取
る。待ち行列タグは、I/Oコマンドを識別するバイト
である。従って、SCSIバス・アダプタは1つのLUN
につき異なるI/Oコマンドを並列的に管理することが
できる。イニシエータであるSCSIバス・アダプタの
SCSI_ID、目標であるSCSIデバイスのSCSI_ID、目標
LUNおよび待ち行列タグの組み合わせが、SCSIバス
の範囲内で後続するI/Oコマンドに対応する識別I/
O動作を識別するI_T_Q_L連結参照番号を形成する。
【0041】次に、目標デバイスは、コマンド状態62
8に入るためSCSIバス信号線を制御する。コマンド
状態において、目標デバイスはイニシエータからI/O
コマンド630を受け取ることを求める。I/Oコマン
ド630は、実行される特定のコマンドこの場合は読み
取りコマンドまたは書み込みコマンドを識別する命令コ
ード632、コマンドによって指定される読み取りまた
は書き込み動作の開始ポイントである論理装置の論理ブ
ロックを識別する論理ブロック番号636、および、コ
マンドの実行の間読み取りまたは書き込みされるブロッ
ク数を指定するデータ長638を含む。
【0042】目標デバイスがI/Oコマンドを受け取っ
て処理した時、イニシエータ・デバイスに切断メッセー
ジ642を送り戻す状態であるメッセージ到来状態64
0に入るため、目標デバイスはSCSIバス信号線を制
御する。コマンドによって指定される読み取りまたは書
き込み動作を行うように論理装置を準備するため目標デ
バイスが一般的に論理装置との交信を開始するので、目
標デバイスはSCSIバスから切断する。目標デバイス
はデータを受け取るバッファを準備する必要があるかも
しれない。ディスク・ドライブまたはCD−ROMドラ
イブの場合、目標デバイスは、読み取りまたは書き込み
コマンドに関する開始位置として指定された適切なブロ
ックにアクセスするように論理装置に指示するかもしれ
ない。切断によって、目標デバイスは、SCSIバス・
アダプタと目標デバイスの間における更なるメッセー
ジ、コマンドまたはデータの伝送のため、SCSIバス
を解放する。このようにして、多数の異なる入出力動作
がSCSIバス上で並列的に多重送信されることができ
る。最後に、目標デバイスは、SCSIバスをバス・フ
リー状態644に戻すため、BSY信号線をオフにする。
【0043】次に、目標デバイスは読み取りまたは書き
込み動作のため論理装置を準備する。論理装置がデータ
の読み取りまたは書き込みの準備ができている時、入出
力動作に関するデータ・フェーズに入る。図7はSCS
I入出力動作のデータ・フェーズを示す。SCSIバス
は、初期的にはバス・フリー状態646にある。目標デ
バイスは、今や、読み取りI/Oコマンドに応答してデ
ータを返すか書き込みI/Oコマンドに応答してデータ
を受け入れる準備ができていて、調停状態648に入る
ためSCSIバス信号線を制御する。目標デバイスがS
CSIバスの制御に関する仲裁に成功していると仮定す
れば、目標デバイスは、再選択状態650に入るためS
CSIバス信号線を制御する。再選択状態は、図6の選
択状態に類似しているが、選択状態においてはSCSI
バス・アダプタが目標デバイスを選択しているのに対し
て、この再選択状態では通信相手のSCSIバス・アダ
プタを選択するのは目標デバイスである点が相違してい
る。
【0044】一旦目標デバイスがSCSIバス・アダプ
タを選択したならば、目標デバイスは、SCSIバスが
メッセージ到来状態652に入るようにするためSCS
Iバス信号線を処理する。メッセージ到来状態におい
て、目標デバイスは、識別メッセージ654および待ち
行列タグ・メッセージ656の両方をSCSIバス・ア
ダプタに送り出す。これらのメッセージは、図6におい
て示された、イニシエータから目標デバイスへのI/O
コマンドの伝送の間にイニシエータから目標デバイスへ
送られた待ち行列タグ・メッセージと同一である。イニ
シエータは、イニシエータおよび目標デバイスのSCSI_I
D、目標LUNおよび待ち行列タグ・メッセージに含まれる
待ち行列タグの組み合わせであるI_T_Q_L連結参照番号
を使用して、読み取り動作の場合目標デバイスからイニ
シエータへ引き続いて送られるデータに関するI/Oト
ランザクションを、書き込み動作の場合イニシエータに
よって引き続き伝送されるデータに関するI/Oトラン
ザクションを識別する。このように、I_T_Q_L連結参照
番号は、読み取りの場合目標デバイスからデータを受け
取るためまたは書き込みの場合目標デバイスへデータを
伝送するための適切なバッファを特定するため処理中I
/OコマンドのテーブルへのインデックスとしてSCS
Iバス・アダプタによって使用されることができる入出
力動作ハンドルである。
【0045】識別および待ち行列タグ・メッセージを送
信した後、目標デバイスはデータ状態658への移行の
ためSCSI信号線を制御する。読み取り入出力動作の
場合、SCSIバスはデータ到来状態へ移行する。書き
込み入出力動作の場合、SCSIバスはデータ送出状態
へ移行する。SCSIバスがデータ状態にある間、目標
デバイスは、SCSIバス・クロック・サイクル毎に、
データが伝送されている特定のSCSIバスの幅に等し
いビット・サイズを持つ1データ単位を伝送する。一般
的には、データの各単位の伝送の一部として信号線ACK
およびREQを伴うSCSIバス信号線ハンドシェークが
存在する。例えば、読み取りI/Oコマンドの場合、目
標デバイスはSCSIバス上に次のデータ単位を送出し
てREQ信号線をオンにする。イニシエータはREQ信号線オ
ンを検出して、SCSIバスから伝送されたデータを取
り出し、データの受け取りを確認するためACK信号線を
オンにする。このようなタイプのデータ転送は非同期伝
送と呼ばれる。
【0046】SCSIバス・プロトコルは、また、イニ
シエータからの最初の肯定応答を受け取ることに先行し
て目標デバイスが一定数のデータ単位を伝送することを
許容する。この伝送モードは同期伝送と呼ばれ、このモ
ードにおいては、最初のデータ単位の送出とその伝送の
ための肯定応答の受け取りの間の待ち時間が回避され
る。データ伝送の間、目標デバイスは、ポインタ保存メ
ッセージおよびそれに続く切断メッセージをイニシエー
タに送信し、バス・フリー状態に入るようにSCSIバ
ス信号線を制御することによって、データ伝送に割り込
むことができる。これによって、更にデータが伝送され
る前に目標デバイスが制御する論理装置と交信するため
目標デバイスは伝送を中断することが可能とされる。S
CSIバスからの切断の後、目標デバイスは、再び、S
CSIバスの制御に対する仲裁を求め、イニシエータへ
付加的識別および待ち行列タグ・メッセージを送信し
て、イニシエータが割り込みされたポイントでデータ受
信または送信を再開することができるようにする。デー
タ状態658に割り込む切断および再接続の例が図7に
示されている。最後に、入出力動作に関するすべてのデ
ータが伝送された時、目標デバイスは、メッセージ到来
状態662に入るためSCSI信号線を制御する。この
際、目標デバイスはイニシエータに切断メッセージを送
る(この前にオプションとしてポインタ保存メッセージ
が送られる場合もある)。切断メッセージを送信した
後、目標デバイスはBSY信号線をオフにし、SCSIバ
スはバス・フリー状態664へ移行する。
【0047】図7に示されるように、入出力動作に関す
るデータ伝送に続いて、目標デバイスは入出力動作の状
態フェーズの間に状態をイニシエータに返す。図8は入
出力動作の状態フェーズを示す。図6および図7の場合
と同様に、SCSIバスは、バス・フリー状態666か
ら調停状態668、再選択状態670およびメッセージ
到来状態672へ移行する。メッセージ到来状態672
の間の目標デバイスによるイニシエータへの識別メッセ
ージ674および待ち行列タグ・メッセージ676の伝
送に続いて、目標デバイスは、状態状態678に入るた
めSCSIバス信号線を制御する。状態状態678にお
いて、目標デバイスは、I/Oコマンドが成功裡に完了
したか否かを標示するため状態バイト684をイニシエ
ータへ送る。0という状態コードによって表されている
成功裡の完了に対応する状態バイト680が目標デバイ
スからイニシエータへ送られていることが図8に示され
ている。状態バイトの伝送に続いて、目標デバイスは、
メッセージ到来状態682に入るためSCSIバス信号
線を制御する。この際、目標デバイスはイニシエータへ
コマンド完了メッセージ684を送る。この時点で入出
力動作は完了した。次に、SCSIバスがバス・フリー
状態686へ戻るように、目標デバイスはBSY信号線を
オフにする。SCSIバス・アダプタは、今や、I/O
コマンドの当該部分を終了し、コマンドを実行するため
に割り当てられた内部資源を解放し、PCIバスを経由
してCPUへ完了メッセージまたは状態を送り戻すこと
ができる。
【0048】SCSIプロトコルのFCPへの対応付け 図9および図10は、イニシエータおよび目標デバイス
の間で交換されるFCPシーケンスと図6乃至図8で示
されたSCSIバス・フェーズおよび状態の対応関係を
示す。図9および図10において、目標SCSIアダプ
タは、FCPホスト・アダプタと共にパッケージ化され
ていると仮定され、従って、目標SCSIアダプタは、
FCを経由してイニシエータと、SCSIバスを経由し
て目標SCSIデバイスと通信することができる。図9
は、FCPシーケンスとI/Oトランザクショに関する
SCSIフェーズおよび状態の間の対応関係を示す。イ
ニシエータがFCを経由して目標SCSIアダプタ70
2にFCP_CMDデータ・ペイロードを含む単一フレームF
CPシーケンスを送り出すと、トランザクションが始動
される。目標SCSIバス・アダプタがFCP_CMNDフレー
ムを受け取ると、目標SCSIバス・アダプタは、図6
に示された仲裁、再選択、メッセージ送出、コマンドお
よびメッセージ到来を含むコマンド・フェーズ704と
いうSCSI状態に進む。
【0049】図6に示されているように、コマンド・フ
ェーズの終了時に、目標SCSIデバイスがトランザク
ションを実行する準備をする間SCSIバスを解放する
ため、I/Oトランザクションの目標であるSCSIデ
バイスはSCSIバスから切断する。その後、目標SC
SIデバイスはSCSIバス制御のため再度仲裁を求
め、I/Oトランザクション706のデータ・フェーズ
を開始する。この時点で、SCSIバス・アダプタは、
データ伝送を現在進めることができることを標示するFC
P_XFER_RDY信号フレーム・シーケンス708をイニシエ
ータへ送り返すことができる。読み取りI/Oトランザ
クションの場合、FCP_XFER_RDY信号フレーム・シーケン
スはオプションである。データ・フェーズが続くにつれ
て、目標SCSIデバイスは論理装置からのデータの読
み取りおよびSCSIバスを経由する目標SCSIバス
・アダプタへのそのデータの伝送を開始する。次に、目
標SCSIバス・アダプタは、目標SCSIデバイスか
ら受け取ったデータを、I/O読み取りトランザクショ
ンに対応する交換の第3のシーケンスを形成する多数の
FCPデータ・フレームにパッケージ化して、それらF
CPデータ・フレームをFC経由でイニシエータへ送り
返す。
【0050】すべてのデータが伝送され、目標SCSI
デバイスがSCSIバスの制御を放棄すると、目標SC
SIデバイスは再びSCSIバスの制御のため仲裁を求
め、I/Oトランザクション714の状態フェーズを始
動する。このフェーズの中で、SCSIバスは、図8に
示されているように、目標SCSIデバイスから目標S
CSIバス・アダプタへSCSI状態バイトを送るた
め、バス・フリー状態から調停、再選択、メッセージ到
来、状態、メッセージ到来およびバス・フリー状態へ移
行する。状態バイトを受け取り次第、目標SCSIバス
・アダプタは、FCP_RSP単一フレーム・シーケンス71
6に状態バイトをパッケージ化して、FCを経由してFC
P_RSP単一フレーム・シーケンスをイニシエータへ送り
返す。これによって読み取りI/Oトランザクションは
完了する。
【0051】多くのコンピュータ・システムにおいて
は、目標FCホスト・アダプタと目標SCSIバス・ア
ダプタの間にはPCIバスのような付加的内部コンピュ
ータ・バスが存在する可能性がある。言い換えると、F
Cホスト・アダプタおよびSCSIアダプタは単一の目
標コンポーネントに一緒にパッケージ化されない可能性
がある。単純化のため、そのような付加的相互接続は図
9および図10に示されていない。
【0052】図10は、図9と同様の形態で、FCP_CMND
フレーム718によって示されている書き込みトランザ
クションの間のFCPシーケンスとSCSIバス・フェ
ーズ/状態の間の対応関係を表している。図10が図9
と相違している点は、書き込みトランザクションの間、
FCP_DATAフレーム722−725がイニシエータから目
標へFC上で伝送され、目標からイニシエータ720へ
送られるFCP_XFER_RDY単一フレーム・シーケンス720
は読み取りI/Oトランザクションの場合のようにオプ
ションではなく必須であるといういう点である。図9の
場合と同様に、書き込みI/Oトランザクションは、目
標がイニシエータへFCP_RSP単一フレーム・シーケンス
726を返す場合を含む。
【0053】仲裁ループ初期設定 上述のように、FCフレーム・ヘッダは、FCフレーム
のソースおよび行き先ファブリック・アドレスを指定す
るフィールドを含む。D_IDおよびS_IDは、特定のFCポ
ートに関する3部分ファブリック・アドレスを指定する
3バイト数量である。これらの3部分は、FCノードの
範囲内におけるFCドメイン、FCノード・アドレスお
よびFCポートの指定を含む。仲裁ループ・トポロジに
おいては、127の可能な活動ノードの各々が、ループ
初期設定の間、仲裁ループ物理アドレス("AL_PA")を取
得する。AL_PAは、FCフレーム・ヘッダのD_IDおよびS
_IDの範囲内のFCポート指定に対応する1バイト数量
である。仲裁ループ・トポロジによって接続される活動
ノードは多くとも127であるので、仲裁ループの範囲
内の各ノードをユニークにアドレスするためには1バイ
トのAL_PPで十分である。
【0054】ループ初期設定プロセスは、様々な理由の
ため、仲裁ループ・トポロジに接続されるノードによっ
て始動される。それらの理由には、ノードの電力リセッ
トに続くループ初期設定、仲裁ループの最初のノードの
スタートアップ後の初期設定、すでに動作中の仲裁ルー
プへFCノードを引き続き含める動作、および種々のエ
ラー回復動作が含まれる。FC仲裁ループ初期設定は、
7つの独立したフェーズを含む。図11は、FC仲裁ル
ープ初期設定の7つのフェーズを示している。図12
は、図11に示されるループ初期設定の7つのフェーズ
の各々の間にFCノードによって伝送される仲裁ループ
・トポロジにおけるFCフレームのデータ・ペイロード
を示す。ループ初期設定の異なるフェーズの各々におい
て使用されるFCフレームに関するデータ・ペイロード
は、図12の欄902−904に示される3つの異なる
フィールドからなる。異なるデータ・ペイロード構造の
各々の範囲内の第1のフィールド902はLI_IDフィー
ルドである。LI_IDフィールドは、グループ初期設定の
7つのフェーズのうちの1つに対応する1つの6ビット
・コードを含む。図12に示されている異なるデータ・
ペイロード・レイアウトの各々に対するLI_FLフィール
ド903は、ループ初期設定の最終の2つのフェーズが
特定のFCポートによってサポートされるか否かを指定
するフラグを含め、種々のフラグを含む。TLは、ループ
初期設定の7つのフェーズすべてをサポートする。最後
に、データ・ペイロード・レイアウト904の各々のデ
ータ・ペイロードのデータ部分は、ループ初期設定の7
つのフェーズの各々に固有の可変長のデータ・フィール
ドを含む。以下において、ループ初期設定の7つのフェ
ーズは図11および図12を参照して記述される。
【0055】"LISM"と呼ばれるループ初期設定802の
第1のフェーズにおいて、ループ初期設定マスタが選択
される。ループ初期設定のこの第1のフェーズは、ルー
プ初期設定プリミティブ("LIP")によるループの充満に
続く。すべての活動ノードは、伝送側ノードの8バイト
・ポート名を含むLISMFC仲裁ループ初期設定フレーム
906を伝送する。ループ初期設定に参加する各FCポ
ートは、LISMFC仲裁ループ初期設定フレームの伝送を
続行し、受け取ったLISMFC仲裁ループ初期設定フレー
ムを仲裁ループにおける後続のFCノードへの送信を続
行する。この動作は、FCポートが一層低い(D_ID、S_I
Dおよび8バイト・ポート名からなる)結合ポート・アド
レスを持つ別のFCポートによって伝送されるFCフレ
ームを検出するか(この場合この別のFCポートがルー
プ設定マスタLIMとなる)、あるいは、FCポートがその
FCポートが元々伝送したFC仲裁ループ初期設定フレ
ームを受け取る(この場合このFCポートがLIMとなる)
か、いずれかまで、続く。このようにして、一般的に
は、FC仲裁ループ初期設定プロセスに参加している最
も低い結合アドレスを持つノードがLIMとなる。定義に
従えば、FL_PORTは、最も低い結合アドレスを持つの
で、LIMになる。ループ初期設定フェーズの各々におい
て、ループ初期設定は、種々の異なる理由から失敗する
可能性があり、その場合ループ初期設定プロセス全体の
再開が必要とされる。
【0056】一旦LIMが選択されると、ループ初期設定
はLIFAフェーズ804へ進み、そこで、AL_PAを割り当
てられたファブリックを持つノードがそのAL_PAの取得
を試みる。LIMは、図12のデータ・ペイロード・レイ
アウト908に従ってフォーマットされたデータ・ペイ
ロードを持つFC仲裁ループ初期設定フレームを伝送す
る。このデータ形式のデータ・フィールドは16バイト
のAL_PAビットマップを含む。LIMがAL_PAを割り当てら
れたファブリックを持つとすれば、LIMは、AL_PAを割り
当てられたそのファブリックに対応するビットマップの
範囲内にビットをセットする。このFCフレームが各F
Cポートを経由して仲裁ループの範囲内を循環するの
で、そのノードがAL_PAを割り当てられたファブリック
を持てばそのことを標示するように各ノードはビットマ
ップにビットをセットする。ビットマップにおけるデー
タが仲裁ループにおける別のFCノードによって既にセ
ットされていれば、FCノードは、3つの後続のグルー
プ初期設定フェーズのうちの1つの間にAL_PAを取得す
ることを試みなければならない。ファブリックに割り当
てられたAL_PAは、FL_Portを経由して仲裁ループに接続
されるFCノードによってAL_PAが指定されることを可
能にする手段を提供する。
【0057】LIPAループ初期設定フェーズ806に
おいて、LIMは、図12のデータ形式910に従って
フォーマットされたデータ・ペイロードを含むFCフレ
ームを伝送する。データ・フィールドは、ループ初期設
定のLIPAフェーズの間に返されるAL_PAビットマッ
プを含む。LIPAフェーズ910の間に、AL_PAをい
まだに取得していない仲裁ループにおけるLIMおよび
他のFCノードは、FCノードのメモリの範囲内に保存
された以前に取得されたAL_PAに対応するビットマップ
の範囲内のビットをセットしようと試みる。FCノード
が、LIPAFCフレームを受け取り、そのノードの以
前に取得されたAL_PAに対応するビットマップの範囲内
のビットがセットされていないことを検出すると、FC
ノードはそのビットをセットして、それによってそのAL
_PAを取得することができる。
【0058】ループ初期設定の次の2つのフェーズのL
IHA808およびLISA810は、上記LIPAフ
ェーズ806と類似している。LIHAフェーズ808
およびLISAフェーズ810は共に、LIPAフェー
ズ910およびLIFAフェーズ908に関するデータ
・レイアウトと同じデータ・レイアウト912および9
14を含むFCフレームを利用する。LIHAフェーズ
808およびLISAフェーズ810の両フェーズにお
いて、前のフェーズからのビットマップがLIMによっ
て再循環されるので、AL_PAをまだ取得していない仲裁
ループにおけるいかなるFCポートもポートのメモリに
含まれるハード的に割り当てられたAL_PAの取得を試み
るか、または最後のよりどころとして仲裁ループ・トポ
ロジの他のFCポートのいずれによってもまだ取得され
ていない恣意的またはソフト的AL_PAのいずれかを取得
することを試みる。FCポートがLISAフェーズ81
0の完了時にAL_PAを取得することができないとすれ
ば、そのFCポートは仲裁ループに参加しないかもしれ
ない。FC-AL-2規格は、ループ初期設定プロセスの再開
を含め、非参加ノードが仲裁ループに加わることを試み
ることを可能にする種々の条項を含む。
【0059】ループ初期設定812のLIPRフェーズ
において、LIMは、図12におけるデータ形式916
を持つデータ・ペイロードを含むFCフレームを伝送す
る。このデータ形式916のデータ・フィールド917
は128バイトのAL_PA位置マップを含む。LIMは、A
L_PAを取得したとすれば、取得したAL_PAをAL_PA位置マ
ップの範囲内で、データ・フィールド917のバイト0
におけるAL_PAカウント・バイトに続く最初のAL_PA位置
に書き込む。LIPRFC仲裁ループ初期設定フレーム
を受け取り再送信する連続FCノードの各々は、FCノ
ードのAL_PAをAL_PA位置マップの範囲内の連続する位置
に書き込む。
【0060】最後のループ初期設定フェーズLILP8
14において、AL_PA位置マップは各FCポートを経由
して仲裁ループ技術で再循環されるので、FCポート
は、完結したAL_PA位置マップを取得しメモリに保存す
ることができる。このAL_PA位置マップによって、仲裁
ループの範囲内の各FCポートは、仲裁ループの範囲内
の他のFCポートに対するその位置を決定することがで
きる。
【0061】SCSI-3筐体サービス・コマンド 過去10年の間に、コンピュータ周辺機器製造業者が単
一筐体の範囲内に多数の異なる周辺デバイスを含めるこ
とが益々一般的となった。そのような筐体の1つの例
は、RAIDである。単一筐体の範囲内に多数の異なる
周辺デバイスをグループ化することによって、周辺装置
メーカは一定の製造効率を達成することができる。例え
ば、筐体の範囲内の周辺デバイスのすべては、1つまた
は複数の共通の電源、冷却装置および相互接続媒体を共
有することができる。そのような筐体は、個別の周辺デ
バイスによって与えられる資源よりも大きい資源の集合
的セットを提供することができる。加えて、個々の周辺
デバイスは、筐体の範囲内の他の周辺デバイスが動作を
続ける間に、筐体からスワップ・インおよびスワップ・
アウトすることが可能である。このようなプロセスはホ
ット・スワッピングとして知られている。また、経済的
で高可用性の資源を達成するため、記憶装置冗長性およ
びミラー機能に関してそのような筐体のバンクを使用す
ることが可能である。
【0062】図13は、単純な複数周辺デバイス筐体を
示す。筐体1002は、電源1004、冷却ファン10
06、4つのディスク・ドライブ1008−1011を
含む。筐体の範囲内の回路ボード1014は、プロセッ
サ1016、内部バス1018、および、プロセッサ1
016、ディスク・ドライブ1008−1011および
筐体1002がそれを経由してホスト・コンピュータ
(図示されていない)に接続されることができるポート1
022を接続する接続媒体1020を含む。システムに
よっては、ホスト・コンピュータが、プロセッサ101
6の他にディスク・ドライブ1008−1011に個別
にアドレスおよび対話するか、あたかも筐体が単一アド
レス基底を持つ1つの非常に大きいディスク・ドライブ
を表すかのように筐体1002と対話するものもある。
プロセッサ1016は、一般的には、電源1004およ
び冷却ファン1006の状態と共に、筐体1002の範
囲内の周辺デバイス1008−1011の各々の状態を
監視するプロセスを実行する。プロセッサ1016は、
図13における内部バス1018のような内部通信媒体
を経由して電源1004および冷却ファン1006と通
信する。
【0063】電源1004および冷却ファン1006の
ような種々のコンポーネントによって筐体の範囲内で提
供される情報へのホスト・コンピュータのアクセスを実
現するため、また、筐体の範囲内の種々のコンポーネン
トを個別に制御する能力をホスト・コンピュータに与え
るため、ホスト・コンピュータと図13の筐体1002
のような筐体の範囲内で稼働する筐体サービス・プロセ
スの間の通信のための通信規格としてSCSIコマンド
・セットが定義されている。SCSI筐体サービス("SE
S"と略称される)コマンド・セットは、"American Natio
nal Standard for Information Technology Standards
Document NCITS 305-199X"に記述されている。SESコ
マンド・セットは、現在X3T10 Committeeによって開発
中の参照規格において定義される予定である。
【0064】図14は、SESコマンド・セットによっ
て表される基本通信パラダイムを示す。ホスト・コンピ
ュータ1102が、筐体1108の範囲内で稼働する筐
体サービス・プロセス1106にSESコマンド110
4を送る。筐体サービス・プロセスは例えば図13にお
けるプロセッサ1016上で実行される。筐体サービス
・プロセス1106は、筐体1108の範囲内の種々の
コンポーネント1110−1113と交信し、次に、ホ
スト・コンピュータ1102によって筐体サービス・プ
ロセス1106に送られたSESコマンドに対する応答
1114を返す。
【0065】SESコマンドおよびSESコマンドへの
応答には多数の異なるタイプがある。そのような種々の
タイプの詳細については上記引用ANSI規格文書を参照す
ることができる。一般的には、ホスト・コンピュータ1
102と筐体サービス・プロセス1106の間の大量の
通信トラフィックは、2つの基本的コマンドを必要とす
る。それらは、(1)ホスト・コンピュータが筐体サービ
ス・プロセスに制御情報を伝送するために使用するSEND
DIAGNOSTICS(診断送信)コマンド、および、(2)ホスト
・コンピュータが、筐体の範囲内の種々のコンポーネン
トに関する状態情報を含む情報の送信を筐体サービス・
プロセスに求めるためのRECEIVE D IAGNOSTIC RESULTS
(診断結果受け取り)コマンドである。ホスト・コンピュ
ータは、筐体制御ページを介して筐体サービス・プロセ
スにSEND DIAGNOSTICSコマンドを伝送する。筐体制御ペ
ージのレイアウトは以下の表1に示されている。
【0066】
【表1】 筐体制御ページ ビット バイト 7 6 5 4 3 2 1 0 0 ページ・コード(02H) UN- 1 <--- 予約 ---> 情報 非CRIT CRIT RECOV (MSB) 2-3 <--- ページ長(N-3) ---> (LSB) 4-7 <--- 生成コード ---> 8-11 OVERALL CONTROL(第1エレメント・タイプ) 12-15 ELEMENT CONTROL(第1エレメント・タイプの第1エレメント) *** (4バイト) ELEMENT CONTROL(第1エレメント・タイプの最後のエレメント) (4バイト) OVERALL CONTROL(第2エレメント・タイプ) 12-15 ELEMENT CONTROL(第2エレメント・タイプの第1エレメント) *** (4バイト) ELEMENT CONTROL(第2エレメント・タイプの最後のエレメント) *** (n-3)-n ELEMENT CONTROL(最後のエレメント・タイプの最後のエレメント)
【0067】筐体制御ページは、筐体の範囲内のコンポ
ーネントの各タイプに関するOVERALL CONTROL(全般的制
御)フィールド、および、筐体の範囲内の各個別コンポ
ーネントに関するELEMENNT CONTROL(エレメント制御)フ
ィールドを含む。特定タイプのコンポーネントすべてに
関するELEMENT CONTROLフィールドは、そのタイプのコ
ンポーネントに関するOVERALL CONTROLフィールドの後
に、グループとして集められる。これらの制御フィール
ドは、コンポーネントまたはエレメントのタイプに依存
する種々の形式を持つ。いくつかのタイプのデバイスに
関する筐体制御ページの制御フィールドに関する形式を
以下に記述する。SESコマンド・セットによって現在
サポートされているエレメントのタイプが次の表2に示
されている。
【0068】
【表2】 タイプ タイプコード エレメント・タイプ コード エレメント・タイプ 00h 未定義 0Dh キーパッド入力装置 01h 装置 0Eh 予約 02h 電源 0Fh SCSIポート/トランシーバ 03h 冷却エレメント 10h 言語 04h 温度センサ 11h 通信ポート 05h ドア・ロック 12h 電圧センサ 06h 警報音 13h 電流センサ 07h 筐体サービスコントローラ 14h SCSI目標ポート 08h SCCコントローラ 15h SCSIイニシエータ・ポート 09h 不揮発性キャッシュ 16h 下位筐体 0Ah 予約 17-7Fh 予約 0Bh 割り込み不能電源 80-FFh メーカー使用コード 0Ch ディスプレイ
【0069】ホスト・コンピュータが筐体サービス・プ
ロセスにRECEIVE DIAGNOSTIC RESULTSコマンドを発する
と、筐体サービス・プロセスは、筐体の範囲内のコンポ
ーネントまたはエレメントの各々から状態情報を収集し
て、収集した状態情報を含む筐体状態ページをホスト・
コンピュータに返す。筐体状態ページのレイアウトは次
の表3に示されている。
【0070】
【表3】 筐体状態ページ ビット バイト 7 6 5 4 3 2 1 0 0 ページ・コード(02H) UN- 1 <--- 予約 ---> 情報 非CRIT CRIT RECOV (MSB) 2-3 <--- ページ長(N-3) ---> (LSB) 4-7 <--- 生成コード ---> 8-11 OVERALL STATUS(第1エレメント・タイプ) 12-15 ELEMENT STATUS(第1エレメント・タイプの第1エレメント) *** (4バイト) ELEMENT STATUS(第1エレメント・タイプの最後のエレメント) (4バイト) OVERALL STATUS(第2エレメント・タイプ) 12-15 ELEMENT STATUS(第2エレメント・タイプの第1エレメント) *** (4バイト) ELEMENT STATUS(第2エレメント・タイプの最後のエレメント) *** (n-3)-n ELEMENT STATUS(最後のエレメント・タイプの最後のエレメント)
【0071】上述された筐体制御ページと同様に、特定
のコンポーネントまたはエレメントに関する筐体状態ペ
ージは、そのタイプのコンポーネントに関するOVERALL
CONTROLフィールドの後にグループとして集められ
る。このように、筐体状態ページは、筐体の範囲内の特
定タイプのエレメントの各々に関する個々のELEMENT S
TATUS(エレメント状態)フィールドが後に続く各タイプ
のエレメントに関するOVERALL STATUS(全般的状態)フィ
ールドを含む。状態フィールドの形式はエレメントのタ
イプによって変わる。いくつかのデバイスに関する状態
フィールド形式が後述される。筐体および筐体の範囲内
のすべてのコンポーネントまたはエレメントを記述する
構成ページを筐体サービス・プロセスから受け取ること
を求めるため、ホスト・コンピュータは、特別なページ
・コードを用いてRECEIVE DIAGONOSTIC RESULTSコマ
ンドを発することができる。次の表4は構成ページのレ
イアウトを示す。
【0072】
【表4】 構成ページ コンポーネント バイト フィールド名 筐体 8 予約 記述子 9 下部筐体識別子 ヘッダ 10 サポートされるエレメント・タイプ数 11 筐体識別子長(m) 筐体 12-19 筐体論理識別子 記述子 20-27 筐体メーカー識別子 28-43 製品標識 44-47 製品改訂レベル 48- メーカー固有情報 (11+m) タイプ (4バイト) タイプ記述子ヘッダ(第1エレメント・タイプ) 記述子 *** ヘッダ・ (4バイト) タイプ記述子ヘッダ(T番目エレメント・タイプ) リスト タイプ 可変 タイプ記述子テキスト(第1エレメント・タイプ) 記述子 *** テキスト -n タイプ記述子テキスト(T番目エレメント・タイプ)
【0073】構成ページは、筐体に含まれるコンポーネ
ントまたはエレメントの各タイプに関する情報を含むタ
イプ記述子ヘッダ・リストと共に、全体として筐体を記
述する筐体記述子ヘッダおよび筐体記述子を含み、更
に、エレメント・タイプの各々に対応する記述子テキス
トを含むタイプ記述子テキスト・リストを含む。次の表
5および表6は、ファンのような冷却エレメントに関す
る筐体制御ページにおけるELEMENT CONTROLフィールド
の形式形式を示す。
【0074】
【表5】 筐体制御ページに関する冷却エレメント ビット バイト 7 6 5 4 3 2 1 0 0 <--- 共通制御 ---> 1-2 <--- 予約 ---> 3 予約 RQST RQST 予約 <-- REQESTED SPEED CODE --> FAIL ON (所望の速度コード)
【0075】
【表6】REQUESTED SPEED CODEの値速度コード 説明 000b 予約 001b 最低スピードファン 010b 2番目に遅い速度のファン 011b 速度3のファン 100b 速度4のファン 101b 速度5のファン 110b 中間速度のファン 111b 最高速度のファン
【0076】ELEMENT CONTROLフィールドの範囲内のビ
ット・フィールドによって、ホスト・コンピュータが筐
体サービス・プロセスに対して特定の冷却エレメントに
関連する一定のアクションを指定することが可能とされ
る。例えば、REQT FAILビットをセットすることによっ
て、ホスト・コンピュータは、冷却エレメントの故障を
標示するため視覚インジケータをオンにすることを指定
する。RQST ONフィールドをセットすることによって、
ホスト・コンピュータは冷却エレメントがオンにされそ
の状態を維持することを要求する。REQUESTED SPEED CO
DE(所望の速度コード)フィールドは、冷却エレメントが
動作すべき特定の冷却ファン速度をホスト・コンピュー
タが指定することを可能にする。表6は、所望の速度コ
ード・フィールドに指定されることができる異なるファ
ン速度設定値を含む。次の表7および表8は、表3に示
された筐体状態ページの範囲内の冷却ELEMENT STATUSフ
ィールドのレイアウトを示している。
【0077】
【表7】 筐体状態ページに関する冷却エレメント ビット バイト 7 6 5 4 3 2 1 0 0 <--- 共通制御 ---> 1-2 <--- 予約 ---> 3 予約 FAIL RQSTED OFF 予約 <-- 実際速度コード--> ON
【0078】
【表8】実際速度コード値速度コード 説明 000b 停止中ファン 001b 最低スピードファン 010b 2番目に遅い速度のファン 011b 速度3のファン 100b 速度4のファン 101b 速度5のファン 110b 中間速度のファン 111b 最高速度のファン
【0079】表7に示されているELEMET STATUSフィー
ルドの範囲内の種々のビット・フィールドは、特定の冷
却エレメントまたはファンの状態をホスト・コンピュー
タに標示する。FAILビットがセットされていると、筐体
サービス・プロセスは、特定のファンに関する故障標識
がセットされたことを示している。RQSTED ONビットが
セットされていると、ファンが手動でオンとされたかま
たはSEND DIAGNOSTICSコマンドを介してオンにするよう
要求されたことを筐体サービス・プロセスはホスト・コ
ンピュータに標示する。OFFビットがセットされている
と、ファンが動作していないことを筐体サービス・プロ
セスがホスト・コンピュータに標示する。筐体サービス
・プロセスは、実際速度コード・フィールドを介してフ
ァンの動作の実際の速度をホスト・コンピュータに通知
することができる。実際速度コード値は上記表8に示さ
れている。
【0080】表1に示された筐体制御ページの範囲内の
電源に関するELEMENT CONTROLフィールドのレイアウト
は次の表9に示されている。表3に示された筐体状態ペ
ージに含まれる電源エレメントに関するELEMENT STATUS
フィールドのレイアウトは次の表10に示されている。
【0081】
【表9】 筐体制御ページに関する電源エレメント ビット バイト 7 6 5 4 3 2 1 0 0 <--- 共通制御 ---> 1-2 <--- 予約 ---> 3 予約 RQST RQST <--- 予約 ---> FAIL ON
【0082】
【表10】 筐体状態ページに関する電源エレメント ビット バイト 7 6 5 4 3 2 1 0 0 <--- 共通制御 ---> 1 <--- 予約 ---> 2 <--- 予約 ---> 過電圧 電圧不足 過電流 予約 3 予約 FAIL RQSTED OFF 温度 温度 バッテリ 交流 ON 超過 警告 不足 切断
【0083】電源制御および状態フィールドにおけるフ
ィールドの多くは、表5および表7の冷却エレメント制
御および状態フィールドと同様であるので、これ以上の
説明は行わない。電源状態フィールドは、また、不足電
圧、過電圧、過電流、電源異常およびその他の温度条件
を標示するビット・フィールドを含む。
【0084】SESコマンド・セットおよびSESプロ
トコルは、ホスト・コンピュータと複数の周辺デバイス
を含む筐体の間の標準SCSI通信を指定する。SES
プロトコルは、ホスト・コンピュータが筐体の範囲内の
個々の周辺デバイスの動作を制御し、周辺デバイスの動
作の状態に関する情報を取得することを可能にする。
【0085】複数ディスク筐体 FCによって提供される高バンド幅および柔軟な接続性
は、SESコマンド・セットおよびプロトコルをサポー
トするFCの能力と共に、複数周辺デバイスを含む筐体
とホスト・プロセッサを接続させ、筐体の範囲内で複数
の周辺デバイスを相互接続させる魅力的通信媒体にFC
をさせた。以下に複数のディスク・ドライブを包含する
筐体について記述するが、筐体の範囲内で複数のディス
ク・ドライブを相互接続し、また、筐体とホスト・コン
ピュータを接続するための技術および手法はその他のタ
イプの周辺デバイスに関しても同様に適用することがで
きる。
【0086】図15は、現在使用可能な特定のFC型複
数ディスク筐体の製造業者によって使用される設計のブ
ロック図である。図15には、8つのディスク・ドライ
ブ1204−1211を内包する筐体1202が示され
ている。ディスク・ドライブは、背面配線板1212に
取り付けられ、それによって相互接続されている。複数
コンポーネント回路ボード1214が、また、背面配線
板1212に接続されている。2つのギガビット・イン
タフェース・コンバータ(略して"GBIC")1216および
1218が筐体1202への外部光ファイバ・ケーブル
接続を提供する。回路ボード1214は、プロセッサ1
220および内部FCループ1230によって相互接続
される多数のポート・バイパス回路(略して"PBC")12
22−1229を含む。筐体サービス・プロセスはプロ
セッサ1220上で動作して、ホスト・コンピュータ
(図示されてない)が筐体の範囲内の(ファン、電源、温
度センサ等々のような)種々の付加的コンポーネントを
制御することを可能にする。
【0087】筐体の個々のディスク・ドライブ1204
−1211は、筐体の別のディスク・ドライブの動作の
間に取り替え、取り外し、または追加を実施することが
可能である。ホット・スワッピングは、図15に示され
ている現在使用可能なシステムにおいてポート・バイパ
ス回路1222−1229によって可能とされている。
ある1つのディスクが存在して機能している時、FC信
号は、FCループ1230から、ポート・バイパス回路
(例えばポート・バイパス回路1225)を経由して、デ
ィスク・ドライブ(例えばディスク・ドライブ1207)
へ送られる。あるディスク・ドライブが取り外されてい
る時、ポート・バイパス回路は、FC信号を次のポート
・バイパス回路に直接送るか、またはFCループ123
0に沿って他のコンポーネントへ送る。例えば、ディス
ク・ドライブ1207がホット・スワッピングによって
取り替えられるとすれば、FC信号は、ディスク・ドラ
イブ1206からポート・バイパス回路1224を経由
してポート・バイパス回路1225へ、そして、ポート
・バイパス回路1225からポート・バイパス回路12
26へ直接送られる。
【0088】単一のGBIC(例えばGBIC1216)
は、光ファイバを介してホスト・コンピュータへの筐体
の接続を可能にする。第2のGBIC(例えばGBIC
1218)は、その筐体の別の筐体へのデージーチェー
ン方式の接続を可能にし、それによって、ファイバ・チ
ャンネル・ループ1230に別のグループのディスク・
ドライブが加えられることになる。第2のGBICが存
在するがその第2のGBICを通してデージーチェーン
方式で接続された筐体がない場合、FC信号を筐体を通
して折り返しさせ、最終的にはホスト・コンピュータへ
送り戻すため、一般的には、折り返しコネクタまたはタ
ーミネータが第2GBICに取り付けられる。
【0089】図16の(A)は、図15におけるポート・
バイパス回路1222−1229のようなポート・バイ
パス回路の概要を示している。入力FC信号("IN")13
02が加算アンプ1304に送られ、微分的に符号化さ
れたFC信号がPBC回路の範囲内で使用される線形信
号に変換される。同様に、加算アンプ1306−130
8は線形および微分信号を相互変換するため使用され
る。変換された入力信号1310は分割され、バッファ
付き出力("Pout")1312およびマルチプレクサ131
4へ送られる。第2のFC信号("Pin")1316が加算
アンプ1307を通過して、マルチプレクサ1314へ
入力される。マルチプレクサ1314からのFC出力信
号("OUT")1318はSEL制御入力線1320によって制
御される。SEL制御入力線がオンにされていると、マル
チプレクサ1314は、Pin入力1316を出力信号(OU
T)1318に渡す。EL制御入力線がオンにされていない
と、マルチプレクサ1314は、IN入力信号1302を
出力信号(OUT)1318に渡す。
【0090】図16の(B)は、ポート・バイパス回路を
経由するファイバ・チャンネル・ループへのディスク・
ドライブの接続を示す。簡潔さのため、図16の(A)に
示されているコンポーネントと同じものであるポート・
バイパス回路のコンポーネントには、(A)と同じラベル
を付け、それらコンポーネントの説明は省略する。ディ
スク・ドライブ1322は、ポート信号1312を介し
てファイバ・チャンネル・ループから入力信号IN130
2を受け取る。ディスク・ドライブがSEL制御信号13
20をオンにする時、ディスク・ドライブは、マルチプ
レクサ1314によって出力信号OUT1318に渡され
る信号Pin1316を提供する。出力信号OUTはFCルー
プを経由してFC信号の方向の次のFCポートに伝送さ
れる。SEL制御信号1320がオフにされる時、ディス
ク・ドライブ1322はバイパスされ、入力信号IN13
02が、出力信号1318として、FC信号方向の次の
FCポートに渡される。ディスク・ドライブ1322
は、筐体に安全に装着され、背面配線板に接続され、F
Cループと機能的に相互動作する準備ができている時に
SEL制御信号をオンにする。
【0091】ディスク・ドライブ1322が装着されて
いないまたは機能的にFCループと相互動作できる状態
にない時、SEL制御線1320はオフにされ、FC信号
はディスク・ドライブをバイパスする。ディスク・ドラ
イブがオンライン筐体との間でホットスワップされてい
る時、機能中のディスク・ドライブを相互接続させるF
Cループは、上述のような再初期設定を実行しなければ
ならないが、発生する割込みは比較的わずかであり、割
り込まれたいかなるデータ伝送も回復される。しかしな
がら、FCループの動作を劣化または停止させ、本質的
に受動的PBCによって検出およびバイパスされること
ができないようなディスク・ドライブの異なる可能な故
障モードが存在する。例えば、ディスク・ドライブは擬
似信号を間欠的に伝送するか、さもなければ、要求され
たデータを伝送した後にFCループの制御の生成に失敗
する可能性がある。このように、受動的PBCは、ディ
スク・ドライブのホット・スワッピングを可能にするけ
れども、高可用性システムに必要な高レベルのコンポー
ネント誤動作検出および回復を提供しない。
【0092】本発明 本発明の方法およびシステムは、信頼性の増加、フォル
ト・トレランスの増大、可用性の増加を提供する新しい
タイプの複数周辺デバイス筐体に関するものである。前
述の場合と同様に、この新しい複数周辺デバイス筐体は
複数ディスク筐体の観点から記述されるが、本発明の技
術および方法は、一般的に、種々のタイプの周辺デバイ
スの異なる組み合わせを内包する筐体に適用することが
できる。本発明の方法およびシステムは、筐体の範囲内
の種々の周辺デバイスの間のみならずホスト・コンピュ
ータと筐体の間のFC相互接続に基づく筐体に関して以
下に記述される。しかしながら、FCに代えて、他のタ
イプの通信媒体を利用することもできる。また、SES
コマンド・セットおよびプロトコルがホスト・コンピュ
ータにコンポーネント・レべル制御を提供する場合の複
数ディスク筐体に関して本発明の方法およびシステムが
記述されるが、このコンポーネント・レべル制御は他の
タイプのプロトコルおよびコマンド・セットによって提
供されることもできる。
【0093】図17は、本発明に関連した技術を組み入
れた高可用性筐体を示す。以下の記述において、高可用
性筐体を、その英語表現の"highly available enclosur
e"の頭文字をとって"HAE"と略称する場合がある。図1
7に示される高可用性筐体は、8つのディスク・ドライ
ブ1402−1409を含む。ディスク・ドライブ14
02−1409は背面配線板1412に接続される。背
面配線板は、ディスク・ドライブをHAEにおける他の
コンポーネントと接続すると共に、ディスク・ドライブ
と独立して、HAEにおける一定の他のコンポーネント
を相互接続する。背面配線板1412は受動的である。
すなわち、それは演算エレメントのような能動的コンポ
ーネントを含まず、従ってHAEの範囲内での故障ポイ
ントになる可能性はきわめて少ない。2つのリンク制御
カード("LCC")1414および1416が背面配線板に
接続される。2つのLCCは本質的に同一である。上部
LCC1414に含まれるコンポーネントだけを以下記
述するので、図ではそれらにだけ符号がつけられてい
る。
【0094】LCCは、2つのGBIC1418および
1420、多数のポート・バイパス回路1422−14
24およびいくつかのポート・バイパス回路チップ14
26および1428を含む。ポート・バイパス回路チッ
プの各々は4つの独立したポート・バイパス回路を含
む。ポート・バイパス回路1422、1423を接続す
る線分1430のような図17において太線で表されて
いるFCループおよびポート・バイパス回路バス143
2の両方によって、ポート・バイパス回路およびポート
・バイパス回路チップは相互接続される。図17に示さ
れているように、ポート・バイパス回路は、ポート・バ
イパス回路1422および1423の間の接続のような
FCループのみならずポート・バイパス回路バスによっ
ても相互接続されている。
【0095】図17において、バイパス回路チップ14
26、1428は、8つのディスク・ドライブ1402
−1409への線1434のような単一線によって集合
的に表されているPout、PinおよびSEL制御線信号をファ
ンアウトする。各ポート・バイパス回路チップは、4つ
のディスク・ドライブに対するFCループ・アクセスを
制御する。LCCは筐体サービス・プロセスおよび他の
制御プログラムを実行するプロセッサ1436を含む。
このプロセッサ1436は、3つの異なる内部バスへの
ポートと共にFCポートを実施する回路を含む。1つの
好ましい実施形態において、内部バス1438のうちの
1つであるI2Cバスが、PBCコントローラ・チップ
1440および1442および(温度検出器および電力
監視器1444および1446のような)他のコンポー
ネントにプロセッサ1436を接続する。1つのLCC
のプロセッサ1446は、背面配線板1412を通過す
る2つの別々の内部バス1450および1452によっ
て別のLCC1448上のプロセッサと接続される。
【0096】HAEは高度に冗長である。ディスク・ド
ライブ1402−1409は、2つのLCCカード14
14および1416上で部分的に実施される2つの別々
のFCループによって相互接続される。従って、1つの
FCループが故障したとしても、ホスト・コンピュータ
(図示されてない)は、他のFCループを経由してその筐
体のディスク・ドライブに対するデータのアクセスおよ
び交換をなおも行うことができる。同様に、2つのプロ
セッサ1436および1448を相互接続する1つの内
部バスが故障しても、2つのプロセッサはもう1つの内
部バスを経由して通信することができる。図14には図
示されていないが、HAEは、二重の電源およびその他
の冗長コンポーネントを含む。2つのプロセッサの各々
が2つの冗長コンポーネントの1つ(例えば1つの電源)
を制御することによって、故障したプロセッサが両方の
電源を遮断してHAEを使用不可能にしないことが保証
される。
【0097】ポート・バイパス回路が、上述の既存の筐
体の場合と同様に、ディスク・ドライブのホット・スワ
ッピングを可能にする。しかしながら、ポート・バイパ
ス回路自体がポート・バイパス回路コントローラ144
0および1442によって制御されるので、更に一層高
いレベルのコンポーネント制御が達成される。例えば、
プロセッサ1436上で稼働するソフトウェア・ルーチ
ンが、故障ディスク・ドライブを識別および分離し、特
定ディスク・ドライブを強制的にバイパスするようにポ
ート・バイパス回路コントローラに信号を送ることがで
きる。冗長な環境監視機構が、両方のプロセッサのHA
Eの範囲内の条件の用心深いフォルト・トレラント監視
機能を可能にする。いかなる特定のセンサまたは相互接
続内部バスの故障もHAE全体の故障を生み出さない。
【0098】図18の(A)は、ポート・バイパス回路制
御チップによるポート・バイパス回路の制御を示す。図
18の(A)に示されている回路は、上述の図16の(B)
に示された回路に類似している。しかしながら、この回
路において、"SD"という符号の制御信号線1502はマ
ルチプレクサ1504の出力を直接制御しない。その代
わりに、SD制御信号線1502はPBC制御回路150
6へ入力される。PBC制御回路は、マイクロプロセッ
サによって実施されるか、あるいは状態マシン論理機構
に基づいて実施される。PBC制御回路1506は、強
制的バイパス制御回路線("FB")を出力する。線"FB"は、
上記図16の(B)の場合と同様に、入力信号IN1508
が出力信号OUT1510にそのまま渡されるか、あるい
は、あるいはその代わりにPin信号1512がマルチプ
レクサ1504によって出力信号OUT1510へ渡され
るかを決定する。PBC制御回路1506は、また、シ
リアル・バス1510経由でマイクロプロセッサ150
8と、あるいは、その他のタイプの通信メディアと、デ
ータを交換することができる。マイクロプロセッサ15
08は、ディスク・ドライブ1514をバイパスするた
めPBC制御回路1506がFC制御信号1503をオ
ンにしなければならないことをPBC制御回路1506
に指示することができる。このようにして、図18(A)
に示される回路において、信号線SD1502を経由して
ディスク1514によってはたらかされる制御の他にい
くつかの付加的レベルの制御が利用できることとなる。
PBC制御回路1506は、内部的規則セットに従って
ディスク1514を強制的にバイパスすることが可能で
あり、マイクロプロセッサ1508の範囲内で稼働する
プログラムによって、PCB制御回路1506に伝送さ
れるデータを介してディスク1514が強制的にバイパ
スされることが可能とされる。これらの付加的レベルの
制御は、環境監視機構およびそのような他のセンサの信
号によって通知されるディスク誤動作または臨界イベン
トの検出の後の個々のディスク・ドライブのマイクロプ
セッサに制御されたバイパスを可能にする。
【0099】図18の(B)はハードウェアで実施される
PBC制御回路の例を示す。Dフリップフロップ151
6は強制的バイパス信号("FB")1518を出力する。D
フリップフロップは、ストローブ入力信号1520を受
け取り次第状態を変更する。Dフリップフロップは、SD
制御信号線1522から入力を、マイクロプロセッサか
ら書き込みデータ1524を受け取る。SD制御線が状態
を変更する時、あるいは、マイクロプロセッサ書き込み
動作が存在する時必ずストローブ信号が生成される。SD
入力1512に対する変更、あるいは、マイクロプロセ
ッサから入力される書き込みデータ1524に対する変
更のいずれかに基づいて、Dフリップフロップはセット
またはクリアされる。強制的バイパス信号("FB")は、SD
制御信号1522を追跡するが、マイクロプロセッサ制
御に優先される場合がある。このようにして、図18の
(B)の制御回路が、PCB制御回路1506として図1
8の(A)に含まれると、マイクロプロセッサがバイパス
すべきディスク自体に従うのではなく、強制的にディス
クをバイパスすることを決めるケースを除いて、図18
の(A)の回路は、図16の(A)の回路と同様に機能する
ことができる。
【0100】また、図18(A)の強化されたPBCC制
御回路をHAEにおいて使用して、種々の入れ替え動作
を実行することができる。例えば、図17におけるPB
C回路1422および1423は、それぞれGBIC1
418および1420をバイパスするようにPBCコン
トローラ1440および1442によって制御されるこ
とができる。図19は、1つのGBICをバイパスする
ために入れ替動作の実施が役立つことを示している。図
19の(A)において、2つのHAE1602および16
04が単一のFCループ1606を通してデージーチェ
ーン方式で接続されている。ホスト・コンピュータ(図
示されてない)から延伸してくるFC光ファイバが、第
1のGBIC1608を通して第1のHAE1602に
接続する。FCループは、GBIC1610において第
1のHAEを出て、GBIC1612において第2のH
AE1604に入る。FCループは、最後に、GBIC
1614において第2のHAE1604を出て、戻りの
経路を通ってホスト・コンピュータに戻る。FC回路
は、外部ループバック・フード1616を使用してGB
IC1614から折り返される。
【0101】図19(A)に例示されている単純な形式の
デージーチェーン方式には問題がある。第2のHAE1
604の範囲内の一定の誤動作が第1のHAE1602
を含むFCループ全体を停止させる可能性がある。すな
わち、図19(A)の方式に従ってデージーチェーン方式
で接続されている場合、HAEは、容易に分離およびバ
イパスすることができない。また、外部ループバック・
フード1616は、システム全体にコストを加える付加
的コンポーネントであり、設置における問題を派生さ
せ、また単一ポイント故障の別の1つの発生源となる。
【0102】図19(A)のデージーチェーン方式の接続
に関連する上記の欠陥は、PBC制御論理回路によって
制御される分路動作を使用して克服することができる。
図19の(B)は、図19の(A)に示されたHAEを示し
ているが、図16の(A)において外部ループバック・フ
ード1616によって提供された機能性がここでは1つ
のPBCによって実施されている。図16の(B)におい
て、HAE1620の右端のGBIC1618はPBC
1622によって制御される。PBC自体は、マイクロ
プロセッサ(図示されていない)によって制御されるPB
Cコントローラ1624によって制御される。
【0103】戻りFC信号1626は、変換の後、制御
信号線1628としてPBCコントローラ1624へフ
ィードバックされる。GBIC1618が別のHAEに
接続される光ファイバ・ケーブルに接続されている場
合、FC戻り信号1626に応じて、制御信号線162
8がオンにされ、PBCコントローラ1624は、当該
HAE内部での信号送付および外部の付加HAEへの信
号送付を行うようにPBC1622を制御する。しかし
ながら、HAEがGBIC1618および光ファイバ・
ケーブルを経由して他のHAEに接続されていない場
合、制御信号線1628はオフとされ、PBCコントロ
ーラ1624はGBIC1618をバイパスするように
PBC1622を制御し、この結果、FC信号は戻り経
路を経由してホスト・コンピュータへ折り返される。こ
のメカニズムは、外部ループバック・フード1616の
必要性を排除し、デージーチェーン方式で接続される筐
体の自動検出機能を提供する。更に、ある1つの筐体が
誤動作するHAE1620の下流側にあれば、ホスト・
コンピュータ(図示されていない)は、そのHAE(やは
り図示されてない)の範囲内のマイクロプロセッサと交
信して、PBCコントローラ1624がPBC1622
を介してGBIC1618を強制的にバイパスするよう
に指示し、それによって、下流筐体はそのFCループか
ら取り外される。このようにして、欠陥筐体は、GBI
Cのマイクロプロセッサ制御の分路動作によって、分離
および除去されることができる。
【0104】以上本発明を1つの特定の実施形態の観点
から記述したが、上記記述は本発明をそのような実施形
態に限定するように意図されていない。本発明の理念を
逸脱することなく上記実施形態の修正が可能である点は
当業者に明らかであろう。例えば、上記実施形態で使わ
れるFC通信媒体とは異なる筐体内または筐体間通信媒
体を使用する複数周辺デバイス筐体において本発明を実
施することは可能である。また、別の例を挙げれば、多
数の異なるタイプのコントローラ、マイクロプロセッサ
およびポート・バイパス回路を任意の多数の異なる構成
で使用することによって、本発明の3段階ポート・バイ
パス回路制御戦略を実現することができる。コントロー
ラ、マイクロプロセッサ、通信バスおよびファームウェ
ア/ソフトウェア・ルーチンにおける冗長性を更に付加
することによって、本発明の方法に従って設計される複
数周辺デバイス筐体の信頼性を更に向上させることがで
きる。
【0105】上記記述は、本発明の完全な理解を提供す
るため、説明の目的から特定の用語を使用したが、本発
明を実施するために特定の詳細は必要とされないことは
当業者に明らかであろう。更に別の例を挙げれば、本題
の発明から関心が不必要にそれることを避けるため、既
知の回路およびデバイスはブロック図形式で示されてい
る。このように、本発明の特定の実施形態の上記記述
は、例示および説明の目的のため提示されているもの
で、本発明を前述された形態に制限またはそれ以外を除
外するように意図されていない。種々の修正およびバリ
エーションは明らかに可能である。本発明の原理および
その現実的応用を最も良く説明し、それによって当業者
が本発明および特定の用途に適するように種々の修正を
加えた上で実施形態を最も良く活用することができるよ
うに、上記実施形態が選択され記述された。
【0106】本発明には、例として次のような実施様態
が含まれる。 (1)デバイスによる通信媒体へのアクセスを制御する、
外部から制御可能なバイパス回路であって、該バイパス
回路が、上記通信媒体からのIN入力と、上記通信媒体へ
のOUT出力と、上記デバイスへの上記IN入力のPout出力
と、上記デバイスからのPin入力と、上記デバイスから
の制御信号線SD出力と、多重化コンポーネントと、を備
え、該多重化コンポーネントが、上記IN入力、上記Pin
入力およびFB制御信号を受け取って、上記FB制御信号が
第1の状態にある時上記Pin入力を上記OUT出力に出力
し、上記FB制御信号が第2の状態にある時上記IN入力を
上記OUT出力に出力する、バイパス回路。 (2)上記デバイスが導入されていて通信媒体とデータを
交換する準備ができている時、該デバイスが上記制御信
号線SDを第1の状態にセットし、上記デバイスが導入さ
れてなく通信媒体とデータを交換する準備ができていな
い時、該デバイスが上記制御信号線SDを第2の状態にセ
ットする、前記(1)に記載のバイパス回路。
【0107】(3)バイパス回路コントローラが、上記デ
バイスからのSD制御信号線を受け取ってそれを多重化コ
ンポーネントに出力する、前記(1)に記載のバイパス回
路。 (4)上記バイパス回路コントローラがプロセッサと読み
取り/書き込みデータを交換する、前記(3)に記載のバ
イパス回路。 (5)上記バイパス回路コントローラがマイクロプロセッ
サに基づくものである、前記(4)に記載のバイパス回
路。 (6)上記バイパス回路コントローラが状態マシンとして
実施される、前記(4)に記載のバイパス回路。 (7)上記バイパス回路コントローラが、上記SD制御信号
線の状態およびバイパス回路コントローラに組み込まれ
た論理および規則に基づいて通信媒体へのデバイスのア
クセスを制御する、前記(4)に記載のバイパス回路。 (8)上記バイパス回路コントローラが、上記SD制御信号
線の状態およびプロセッサから受け取るデータに基づい
て通信媒体へのデバイスのアクセスを制御する、前記
(4)に記載のバイパス回路。
【0108】(9)複数デバイス筐体内部の接続分路であ
って、該接続分路が、該複数デバイス筐体の内部の内部
通信媒体を外部通信媒体と接続する複数デバイス筐体間
通信媒体コネクタと、上記複数デバイス筐体間通信媒体
コネクタによる上記外部通信媒体へのアクセスを制御す
る、外部から制御可能なバイパス回路と、上記複数デバ
イス筐体間通信媒体コネクタを介して上記外部から制御
可能なバイパス回路を制御するコントローラ内部に配置
される制御論理機構と、を備え、該制御論理機構が、該
筐体をホスト・コンピュータおよび多数の他の複数デバ
イス筐体に接続するため上記内部通信媒体を上記外部通
信媒体に接続し、該筐体を上記ホスト・コンピュータお
よび上記多数の他の複数デバイス筐体から分離するため
上記内部通信媒体を上記外部通信媒体から切断する、接
続分路。
【0109】(10)内部および外部通信媒体の両方がファ
イバ・チャンネル仲裁ループの一部であり、該接続分路
が、拡張複数デバイス筐体間通信媒体コネクタからファ
イバ・チャンネル仲裁ループの下流側部分へのアクセス
を制御し、上記コントローラが下流複数デバイス筐体か
ら信号を検出する時、上記コントローラは当該複数デバ
イス筐体をファイバ・チャンネル仲裁ループの下流部分
に接続するように該接続分路に指示し、上記コントロー
ラが下流複数デバイス筐体から信号をなにも検出しない
時、上記コントローラは当該複数デバイス筐体をファイ
バ・チャンネル仲裁ループの下流部分から切断するよう
に該接続分路に指示する、前記(9)に記載の接続分路。
【0110】(11)内部および外部通信媒体の両方がファ
イバ・チャンネル仲裁ループの一部であり、該接続分路
が主複数デバイス筐体間通信媒体コネクタからファイバ
・チャンネル仲裁ループの上流側部分へのアクセスを制
御し、上記コントローラがファイバ・チャンネル仲裁ル
ープの上流部分に接続されるホスト・コンピュータおよ
びその他のデバイスに当該複数デバイス筐体が接続され
るべきであると決定する時、上記コントローラは当該複
数デバイス筐体をファイバ・チャンネル仲裁ループの上
流部分に接続するように該接続分路に指示し、上記コン
トローラがファイバ・チャンネル仲裁ループの上流部分
に接続されるホスト・コンピュータおよびその他のデバ
イスから当該複数デバイス筐体が切断されるべきである
と決定する時、上記コントローラは当該複数デバイス筐
体をファイバ・チャンネル仲裁ループの上流部分から切
断するように該接続分路に指示する、前記(9)に記載の
接続分路。 (12)外部から制御可能なバイパス回路を制御する上記コ
ントローラがマイクロプロセッサに基づくものである、
前記(9)に記載の接続分路。 (13)外部から制御可能なバイパス回路を制御する上記コ
ントローラが状態マシンとして実施される、前記(9)に
記載の接続分路。 (14)上記コントローラそれ自体はプロセッサから受け取
られるデータによって制御される、前記(9)に記載の接
続分路。
【0111】(15)複数周辺デバイス筐体内部のデータ交
換コンポーネントの通信媒体へのアクセスを制御する方
法であって、上記通信媒体からの入力を上記データ交換
コンポーネントへ接続するステップと、上記通信媒体か
らの入力を多重化コンポーネントへ接続するステップ
と、上記多重化コンポーネントからの出力を上記通信媒
体へ接続するステップと、上記データ交換コンポーネン
トからの出力を上記多重化コンポーネントへ接続するス
テップと、FB制御信号線を経由してコントローラを上
記多重化コンポーネントに接続して、上記多重化コンポ
ーネントから上記通信媒体への出力を選択するステップ
と、を含む方法。 (16)上記データ交換エレメントが周辺デバイスであり、
上記通信媒体は上記複数周辺デバイス筐体の内部通信媒
体で、上記複数周辺デバイス筐体の内部の周辺デバイス
を相互接続し、上記コントローラが上記周辺デバイスか
ら制御信号線経由で制御信号を受け取り、上記コントロ
ーラが上記周辺デバイスを上記内部通信媒体に接続する
ため上記FB制御信号線の状態を第1の状態にセット
し、上記周辺デバイスを上記内部通信媒体から切断する
ため上記FB制御信号線の状態を第2の状態にセットす
る、前記(15)に記載の方法。
【0112】(17)上記データ交換エレメントが複数周辺
デバイス筐体間コネクタであり、上記通信媒体は上記複
数周辺デバイス筐体の外部通信媒体で、上記複数周辺デ
バイス筐体を外部デバイスに接続し、上記コントローラ
が上記複数周辺デバイス筐体を上記外部通信媒体に接続
するため上記FB制御信号線の状態を第1の状態にセッ
トし、上記複数周辺デバイス筐体を上記外部通信媒体か
ら切断するため上記FB制御信号線の状態を第2の状態
にセットする、前記(15)に記載の方法。 (18)上記複数周辺デバイス筐体間コネクタが複数周辺デ
バイス筐体をホスト・コンピュータに接続する、前記(1
7)に記載の方法。 (19)上記複数周辺デバイス筐体間コネクタが複数周辺デ
バイス筐体を別の複数周辺デバイス筐体に接続する、前
記(17)に記載の方法。 (20)上記通信媒体がファイバ・チャンネル仲裁ループで
ある、前記(15)に記載の方法。
【0113】
【発明の効果】本発明によると、高い可用性を持ち、フ
ォール・トレラントの複数デバイス筐体が実現される。
【図面の簡単な説明】
【図1】FC相互接続トポロジの3つの異なるタイプを
示すブロック図である。
【図2】FCネットワークを通過する伝送のためデータ
が時間的に編成される非常に単純な階層を示すブロック
図である。
【図3】標準FCフレームの内容を示すブロック図であ
る。
【図4】SCSIバスを含む1つの一般的パーソナル・
コンピュータ・アーキテクチャのブロック図である。
【図5】SCSIバス・トポロジを示すブロック図であ
る。
【図6】図7および図8と共に、読み書き入出力動作の
初期設定および実施に関与するSCSIプロトコルを示
すブロック図である。
【図7】図6および図8と共に、読み書き入出力動作の
初期設定および実施に関与するSCSIプロトコルを示
すブロック図である。
【図8】図6および図7と共に、読み書き入出力動作の
初期設定および実施に関与するSCSIプロトコルを示
すブロック図である。
【図9】図10と共に、始動機構、目標、および図7お
よび図8に示されるSCSIバス・フェーズおよび状態
の間で交換されるFCPシーケンスの対応関係を示すブ
ロック図である。
【図10】図9と共に、始動機構、目標、および図7お
よび図8に示されるSCSIバス・フェーズおよび状態
の間で交換されるFCPシーケンスの対応関係を示すブ
ロック図である。
【図11】FC仲裁ループ初期設定の7つのフェーズを
示す流れ図である。
【図12】ループ初期設定の7つのフェーズの各々の間
に仲裁ループ・トポロジにおけるFCノードによって伝
送されるFCフレームのデータを示す。
【図13】単純な複数周辺デバイス筐体の透視図であ
る。
【図14】SESコマンド・セットによって表される基
本通信パラダイムを示すブロック図である。
【図15】現行の特定のFC型複数ディスク筐体の製造
業者によって使用される設計ブロック図である。
【図16】(A)はポート・バイパス回路の例を示すブロ
ック図であり、(B)はポート・バイパス回路を経由して
ファイバ・チャンネル・ループにディスク・ドライブを
接続する様態を示すブロック図である。
【図17】本発明に関連した技法を組み入れた高可用性
筐体を示すブロックである。
【図18】(A)はポート・バイパス回路制御チップによ
るポート・バイパス回路の制御を示すブロック図であ
り、(B)はハードウェアで実施されたPBC制御回路の
1例を示すブロック図である。
【図19】GBICをバイパスするために分路動作を実
施する効果を示すブロック図である。
【符号の説明】
1400 高可用性フォルト・トレラント
複数デバイス筐体 1405 データ交換デバイス 1418、1420 コネクタ 1426、1428 バイパス回路 1430、1432 内部通信媒体 1436、1448 プロセッサ 1502 SD 1503 FB 1504 多重化コンポーネント(マルチ
プレクサ) 1508 IN 1510 OUT 1512 Pin 1514 Pout

Claims (1)

    【特許請求の範囲】
  1. 【請求項1】デバイスによる通信媒体へのアクセスを制
    御する、外部から制御可能なバイパス回路であって、 該バイパス回路が、 上記通信媒体からのIN入力と、 上記通信媒体へのOUT出力と、 上記デバイスへの上記IN入力のPout出力と、 上記デバイスからのPin入力と、 上記デバイスからの制御信号線SD出力と、 多重化コンポーネントと、 を備え、 該多重化コンポーネントが、上記IN入力、上記Pin入力
    および FB制御信号を受け取って、上記FB制御信号が
    第1の状態にある時上記Pin入力を上記OUT出力に出力
    し、上記FB制御信号が第2の状態にある時上記IN入力を
    上記OUT出力に出力する、バイパス回路。
JP11320976A 1998-11-15 1999-11-11 バイパス回路 Pending JP2000215151A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/192548 1998-11-15
US09/192,548 US6260079B1 (en) 1998-11-15 1998-11-15 Method and system for enhancing fibre channel loop resiliency for a mass storage enclosure by increasing component redundancy and using shunt elements and intelligent bypass management

Publications (1)

Publication Number Publication Date
JP2000215151A true JP2000215151A (ja) 2000-08-04

Family

ID=22710132

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11320976A Pending JP2000215151A (ja) 1998-11-15 1999-11-11 バイパス回路

Country Status (4)

Country Link
US (2) US6260079B1 (ja)
EP (1) EP1005200B1 (ja)
JP (1) JP2000215151A (ja)
DE (1) DE69928202T2 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005165429A (ja) * 2003-11-28 2005-06-23 Hitachi Ltd ディスクアレイ装置及びディスクアレイ装置のデータ中継方法
JP2005339216A (ja) * 2004-05-27 2005-12-08 Hitachi Ltd 記憶制御システム
US7130939B2 (en) 2001-11-13 2006-10-31 International Business Machines Corporation Controlling and managing plurality of disk drives in disk enclosure having serial port wherein serial to parallel converters are connected to individual disk drives
JP2009252029A (ja) * 2008-04-08 2009-10-29 Nec Corp ファイバチャネルスイッチ監視装置、方法及びプログラム

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6477139B1 (en) * 1998-11-15 2002-11-05 Hewlett-Packard Company Peer controller management in a dual controller fibre channel storage enclosure
US6366965B1 (en) * 1998-12-31 2002-04-02 Lsi Logic Corporation System for updating an identity of a device in response to determining that a neighbor device has an identity different from one stored therein
KR100313896B1 (ko) * 1999-01-29 2001-11-15 구자홍 버스 시스템에서의 루프 형성 제거장치 및 방법
US6785742B1 (en) * 1999-02-24 2004-08-31 Brocade Communications Systems, Inc. SCSI enclosure services
US6629216B1 (en) * 1999-06-30 2003-09-30 Emc Corporation Fibre channel by-pass
US6581136B1 (en) * 1999-06-30 2003-06-17 Emc Corporation Fibre channel data storage system having expansion/contraction
US6567890B1 (en) * 1999-06-30 2003-05-20 Emc Corporation Fibre channel port by-pass selector section for dual ported disk drives
US6636934B1 (en) 1999-06-30 2003-10-21 Emc Corporation Fiber channel port by-pass selector section for dual ported disk drives
US6574687B1 (en) 1999-12-29 2003-06-03 Emc Corporation Fibre channel data storage system
US6615315B1 (en) * 1999-12-29 2003-09-02 Emc Corporation Fibre channel data storage system having improved fro-end I/O adapted hub
US6560683B1 (en) * 1999-12-29 2003-05-06 Emc Corporation Fibre channel data storage system having improved rear-end I/O adapted hub
US6571355B1 (en) 1999-12-29 2003-05-27 Emc Corporation Fibre channel data storage system fail-over mechanism
JP2001222385A (ja) * 2000-02-10 2001-08-17 Hitachi Ltd 記憶装置および情報処理システム
US8160863B2 (en) 2000-03-28 2012-04-17 Ionipas Transfer Company, Llc System and method for connecting a logic circuit simulation to a network
US7266490B2 (en) 2000-12-28 2007-09-04 Robert Marc Zeidman Apparatus and method for connecting hardware to a circuit simulation
EP1297662A2 (en) * 2000-06-02 2003-04-02 Inrange Technologies Corporation Address conversion method and device in a fibre channel switch
US7036033B1 (en) * 2001-01-04 2006-04-25 3Pardata, Inc. Disk enclosure with multiplexers for connecting 12C buses in multiple power domains
US7162654B1 (en) * 2001-01-04 2007-01-09 3Pardata, Inc. Isolation of I2C buses in a multiple power domain environment using switches
US6833634B1 (en) * 2001-01-04 2004-12-21 3Pardata, Inc. Disk enclosure with multiple power domains
US7346674B1 (en) * 2001-06-07 2008-03-18 Emc Corporation Configurable fibre channel loop system
US7200108B2 (en) * 2001-06-29 2007-04-03 International Business Machines Corporation Method and apparatus for recovery from faults in a loop network
US7107343B2 (en) * 2001-07-12 2006-09-12 Adaptec, Inc. Method and apparatus for improved RAID 1 write performance in low cost systems
US7206953B1 (en) 2001-12-17 2007-04-17 Adaptec, Inc. Asynchronous fault-tolerant enclosure services interface
US6950969B2 (en) * 2001-12-28 2005-09-27 Hewlett-Packard Development Company, L.P. Cascadable dual fan controller
GB0201208D0 (en) * 2002-01-19 2002-03-06 Ibm Method and apparatus for hard address conflict resolution for enclosures in a loop network
GB2384405B (en) * 2002-01-19 2004-10-06 Ibm Method and apparatus for detection of port name in a loop network
US20030154285A1 (en) * 2002-02-13 2003-08-14 International Business Machines Corporation Method and system for assigning network addreses
JP4166516B2 (ja) * 2002-06-14 2008-10-15 株式会社日立製作所 ディスクアレイ装置
US7055068B2 (en) * 2002-07-25 2006-05-30 Lsi Logic Corporation Method for validating operation of a fibre link
US7085958B2 (en) * 2003-01-17 2006-08-01 International Business Machines Corporation System and method for isolating a faulty switch, storage device or SFP in a daisy-chained configuration
US7317689B1 (en) 2003-02-10 2008-01-08 Foundry Networks, Inc. System and method to access and address high-speed interface converter devices
US7143306B2 (en) * 2003-03-31 2006-11-28 Emc Corporation Data storage system
US7103808B2 (en) * 2003-04-10 2006-09-05 International Business Machines Corporation Apparatus for reporting and isolating errors below a host bridge
US7627780B2 (en) * 2003-04-23 2009-12-01 Dot Hill Systems Corporation Apparatus and method for deterministically performing active-active failover of redundant servers in a network storage appliance
US7380163B2 (en) 2003-04-23 2008-05-27 Dot Hill Systems Corporation Apparatus and method for deterministically performing active-active failover of redundant servers in response to a heartbeat link failure
US7401254B2 (en) * 2003-04-23 2008-07-15 Dot Hill Systems Corporation Apparatus and method for a server deterministically killing a redundant server integrated within the same network storage appliance chassis
US7565566B2 (en) * 2003-04-23 2009-07-21 Dot Hill Systems Corporation Network storage appliance with an integrated switch
US7551850B2 (en) * 2003-05-15 2009-06-23 International Business Machines Corporation Highly available redundant optical modules using single network connection
US7290086B2 (en) * 2003-05-28 2007-10-30 International Business Machines Corporation Method, apparatus and program storage device for providing asynchronous status messaging in a data storage system
US7154723B1 (en) * 2003-06-27 2006-12-26 Emc Corporation Highly available dual serial bus architecture
US8019842B1 (en) * 2005-01-27 2011-09-13 Netapp, Inc. System and method for distributing enclosure services data to coordinate shared storage
US7607043B2 (en) * 2006-01-04 2009-10-20 International Business Machines Corporation Analysis of mutually exclusive conflicts among redundant devices
EP1830268B1 (en) * 2006-03-03 2019-05-08 STMicroelectronics (Research & Development) Limited Multiple purpose integrated circuit
US7478299B2 (en) * 2006-08-14 2009-01-13 International Business Machines Corporation Processor fault isolation
US8850505B2 (en) * 2009-04-01 2014-09-30 David Zilberberg System for reducing noise in a CATV home amplifier upstream path and a method thereof
US20170199834A1 (en) * 2016-01-13 2017-07-13 Ford Global Technologies, Llc Vehicle subsystem communication arbitration
US12086431B1 (en) 2018-05-21 2024-09-10 Pure Storage, Inc. Selective communication protocol layering for synchronous replication
US10310760B1 (en) 2018-05-21 2019-06-04 Pure Storage, Inc. Layering communication fabric protocols
US11954220B2 (en) 2018-05-21 2024-04-09 Pure Storage, Inc. Data protection for container storage
US11150977B1 (en) * 2018-11-14 2021-10-19 Facebook, Inc. Systems and methods for remediating computing resources

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4791531A (en) * 1987-03-09 1988-12-13 Tech-S, Inc. Extruded enclosure for electrical apparatus
US5262990A (en) * 1991-07-12 1993-11-16 Intel Corporation Memory device having selectable number of output pins
US5729763A (en) 1995-08-15 1998-03-17 Emc Corporation Data storage system
US5787265A (en) * 1995-09-28 1998-07-28 Emc Corporation Bus arbitration system having a pair of logic networks to control data transfer between a memory and a pair of buses
US5768551A (en) 1995-09-29 1998-06-16 Emc Corporation Inter connected loop channel for reducing electrical signal jitter
US5812754A (en) 1996-09-18 1998-09-22 Silicon Graphics, Inc. Raid system with fibre channel arbitrated loop
AU4600497A (en) 1996-11-14 1998-06-03 Data General Corporation Dynamically upgradeable disk array system and method
US6504817B2 (en) 1997-03-31 2003-01-07 Hewlett-Packard Company Fiber channel arbitrated loop dynamic loop sizing
US5949272A (en) * 1997-06-12 1999-09-07 International Business Machines Corporation Bidirectional off-chip driver with receiver bypass
US6075398A (en) * 1998-06-01 2000-06-13 S3 Incorporated Tunable digital oscillator circuit and method for producing clock signals of different frequencies

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7130939B2 (en) 2001-11-13 2006-10-31 International Business Machines Corporation Controlling and managing plurality of disk drives in disk enclosure having serial port wherein serial to parallel converters are connected to individual disk drives
JP2005165429A (ja) * 2003-11-28 2005-06-23 Hitachi Ltd ディスクアレイ装置及びディスクアレイ装置のデータ中継方法
JP4480386B2 (ja) * 2003-11-28 2010-06-16 株式会社日立製作所 ディスクアレイ装置及びディスクアレイ装置のデータ中継方法
JP2005339216A (ja) * 2004-05-27 2005-12-08 Hitachi Ltd 記憶制御システム
JP2009252029A (ja) * 2008-04-08 2009-10-29 Nec Corp ファイバチャネルスイッチ監視装置、方法及びプログラム

Also Published As

Publication number Publication date
US20020010881A1 (en) 2002-01-24
EP1005200B1 (en) 2005-11-09
DE69928202D1 (de) 2005-12-15
EP1005200A3 (en) 2000-12-13
EP1005200A2 (en) 2000-05-31
US6260079B1 (en) 2001-07-10
DE69928202T2 (de) 2006-10-26

Similar Documents

Publication Publication Date Title
JP2000215151A (ja) バイパス回路
JP2000187622A (ja) 複数デバイス筐体
JP4497605B2 (ja) 複数デバイス筐体のテスト方法
JP4690202B2 (ja) 高可用性大容量ストレージデバイスシェルフ
JP4686463B2 (ja) ストレージシェルフ・ルータ・インターフェイスのトンネリングシステムおよび方法
JP4871880B2 (ja) ストレージシェルフ・ルータ集積回路
JP5047365B2 (ja) 割り当て単位に基づく仮想フォーマッティング法およびその方法を利用するデバイス
JP4406431B2 (ja) 仮想ディスクをフォーマッティングするシステムおよび方法
US7073022B2 (en) Serial interface for a data storage array
US6470026B1 (en) Fibre channel loop map initialization protocol implemented in hardware
US6578158B1 (en) Method and apparatus for providing a raid controller having transparent failover and failback
US7457902B2 (en) Lock and release mechanism for out-of-order frame prevention and support of native command queueing in FC-SATA
JP5250030B2 (ja) 効率的な待ち行列管理のための方法およびシステム
US20050147117A1 (en) Apparatus and method for port polarity initialization in a shared I/O device
US6336157B1 (en) Deterministic error notification and event reordering mechanism provide a host processor to access complete state information of an interface controller for efficient error recovery
GUENDERT et al. BROCADE MAINFRAME CONNECTIVITY SOLUTIONS

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20041019

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20041026

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20050124

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20050127

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050425

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20051101