JP2000148805A - System and method for analyzing vhdl simulator and program recording medium - Google Patents

System and method for analyzing vhdl simulator and program recording medium

Info

Publication number
JP2000148805A
JP2000148805A JP10315724A JP31572498A JP2000148805A JP 2000148805 A JP2000148805 A JP 2000148805A JP 10315724 A JP10315724 A JP 10315724A JP 31572498 A JP31572498 A JP 31572498A JP 2000148805 A JP2000148805 A JP 2000148805A
Authority
JP
Japan
Prior art keywords
vhdl
source
sources
simulator
analyzing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP10315724A
Other languages
Japanese (ja)
Inventor
Yoshihiko Kakiuchi
仁彦 垣内
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Engineering Ltd
Original Assignee
NEC Engineering Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Engineering Ltd filed Critical NEC Engineering Ltd
Priority to JP10315724A priority Critical patent/JP2000148805A/en
Publication of JP2000148805A publication Critical patent/JP2000148805A/en
Withdrawn legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To reduce such a burden on a user that the user must rearrange VHDL(very high-speed IC hardware design language) sources by programming the arranging procedure of the sources. SOLUTION: The hierarchical order of VHDLs which are manually arranged in the conventional example is automatically analyzed 11 by making a VHDL simulator analyze the order. Since the VHDL simulator is provided with a function of basically checking syntaxes, in this case, the simulator is made to make rearranging processing 10 for rearranging VHDL sources in the hierarchical order in such a way that the simulator checks the names of individual VHDL sources and the names of the children VHDL sources (sources of lower hierarchies) of the individual VHDL sources by utilizing the function and rearranging the sources from those having no children.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明はVHDLシミュレー
タのアナライズシステム、方法及びプログラム記録媒体
に関し、特にVHDLソースを並べ替えてアナライズを
行うシステム、その方法及びそのプログラムを記録した
記録媒体に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a VHDL simulator analyzing system, method, and program recording medium, and more particularly to a system for rearranging and analyzing VHDL sources, a method thereof, and a recording medium on which the program is recorded.

【0002】[0002]

【従来の技術】一般に、集積回路の設計には、VHDL
(VHSIC Hardware Design La
nguage)が用いられる。VHSICは、Very
High Speed Integrated Ci
rcuitsの略語である。
2. Description of the Related Art Generally, VHDL is used for designing an integrated circuit.
(VHSIC Hardware Design La
nguage) is used. VHSIC is Very
High Speed Integrated Ci
Abbreviation for rcuits.

【0003】VHDLは、集積回路の動作を記述するた
めの言語であり、このVHDLが米国電気電子技術者協
会(IEEE)の規格にのっとり正しく記述されている
かどうかを解析することをアナライズという。このVH
DLは、階層構造を持つことができ、複数のVHDLソ
ースを1つのモジュールにつなげることができる。アナ
ライズはそれが正しく接続されているかどうかを調査す
ることもできる。
[0003] VHDL is a language for describing the operation of an integrated circuit. Analyzing whether this VHDL is correctly described in accordance with the standards of the Institute of Electrical and Electronics Engineers (IEEE) is called "analyze". This VH
The DL can have a hierarchical structure, and a plurality of VHDL sources can be connected to one module. The analyze can also check that it is connected correctly.

【0004】従来は、このアナライズにおいて、ユーザ
は複数のVHDLソースがどのような階層構造になって
いるかを熟知して、その階層の最下層よりアナライズを
始めなくてはならなかった。アナライズ順序を間違える
と、エラーが発生してしまうからである。
Conventionally, in this analysis, the user has to know the hierarchical structure of a plurality of VHDL sources and start the analysis from the lowest layer of the hierarchy. If the analysis order is wrong, an error occurs.

【0005】もともと、ユーザが作成したVHDLソー
スに関しては、ユーザが熟知しているものであるが、最
近では汎用的なVHDLソースが販売されたりすること
により、既製のVHDLをアナライズしなくてはならな
くなった。既製のVHDLソースを利用するユーザは、
このソースの内容を読取り、どのような階層になってい
るのかを調べなければならない状態になっている。
[0005] Originally, the user is familiar with the VHDL source created by the user. However, recently, a general-purpose VHDL source has been sold, and it is necessary to analyze a ready-made VHDL. lost. Users using off-the-shelf VHDL sources will:
It is in a state where it is necessary to read the contents of this source and check the hierarchy.

【0006】図13に従来の技術設計フローが示されて
いる。同図に示されているように、従来は、VHDLソ
ースAの調査(ステップS121)、VHDLソースB
の調査(ステップS122)、VHDLソースCの調査
(ステップS123)、VHDLソースDの調査(ステ
ップS124)の順序で各ソースの内容を読取り、その
後ソースの並べ替え(ステップS125)、アナライズ
(ステップS126)の各処理が行われる。
FIG. 13 shows a conventional technical design flow. As shown in the figure, conventionally, an investigation of a VHDL source A (step S121), a VHDL source B
(Step S122), the VHDL source C (step S123), and the VHDL source D (step S124), the contents of each source are read, and then the sources are rearranged (step S125) and analyzed (step S126). ) Are performed.

【0007】このVHDLはLSIの動きをプログラム
的に記述できるものであり、LSIを設計する際にLS
Iの機能そのものを記述したり、そのLSIがどのよう
な動きをするかを検証する論理検証等に利用されてい
る。
[0007] The VHDL can describe the movement of the LSI programmatically.
It is used for describing the function itself of I, and for logic verification for verifying how the LSI behaves.

【0008】そのLSIのVHDLを読取って、そのL
SIの動作をシミュレートするものがVHDLシミュレ
ータである。このVHDLシミュレータから出力される
波形や論理値は、主に論理検証に利用される。
The VHDL of the LSI is read and the L
What simulates the operation of SI is a VHDL simulator. Waveforms and logic values output from the VHDL simulator are mainly used for logic verification.

【0009】VHDLシミュレーションを行うには、少
なくとも次の3つの処理が必要である。1番目はアナラ
イズと呼ばれるもので、書かれたVHDL記述の文法を
チェックしシミュレータが読めるオブジェクトを作り出
す。2番目は、シミュレータによって呼び名は異なる
が、アナライズで作ったオブジェクトをシミュレータに
取込む。3番目は、シミュレーションと呼ばれるもの
で、2番目の手順で取込んだデータを使ってシミュレー
ションを行い、その結果を論理値や波形として出力す
る。
To perform a VHDL simulation, at least the following three processes are required. The first is called analysis, which checks the grammar of the written VHDL description and creates an object that can be read by the simulator. The second is to import objects created by analysis into the simulator, although the names vary depending on the simulator. The third is called a simulation, in which a simulation is performed using the data acquired in the second procedure, and the result is output as a logical value or a waveform.

【0010】ここでは、上記の3つの作業の内、アナラ
イズを問題とする。ここで、VHDLシミュレータのア
ナライズの構成について述べる。ここでのVHDLシミ
ュレータのアナライズの対象は、複数の階層を持ったV
HDLソースである。VHDL記述は、その他のプログ
ラム言語と同様に階層構造を持つことができる。
In this case, of the above three operations, analysis is a problem. Here, an analysis configuration of the VHDL simulator will be described. The analysis target of the VHDL simulator here is a V
HDL source. The VHDL description can have a hierarchical structure like other programming languages.

【0011】例えば、複数のVHDLソースA,B,
C,D,E,Fがあった場合、VHDLソースAはVH
DLソースBとVHDLソースCを利用し、VHDLソ
ースBがVHDLソースDとVHDLソースE,VHD
LソースCがVHDLソースFを利用したいとする。こ
の場合、VHDLソースA,B,Cに自分がどのVHD
Lを利用するかが記述されていれば、階層構造が成立
し、VHDLソースAが階層的には最も上位に位置し、
その下にVHDLソースBとVHDLソースC、最下層
にVHDLソースDとVHDLソースEとVHDLソー
スFがあるという階層が成り立つ。
For example, a plurality of VHDL sources A, B,
If there are C, D, E, and F, VHDL source A is VH
Utilizing DL source B and VHDL source C, VHDL source B is VHDL source D, VHDL source E, VHD
Assume that L source C wants to use VHDL source F. In this case, the VHDL source A, B, C
If it is described whether or not to use L, a hierarchical structure is established, and the VHDL source A is hierarchically located at the highest level,
There is a hierarchy in which the VHDL source B and the VHDL source C are located below the lower layer, and the VHDL source D, the VHDL source E, and the VHDL source F are located in the lowermost layer.

【0012】アナライズは、VHDLシミュレータ、先
ほど例に上げたVHDLソースA,B,C,D,E,F
といったVHDLソースを読ませることである。この読
ませる場合の注意点は、VHDLソースを読ませる際、
必ず下位の階層から読ませなくてはいけないという規則
を守らなければならない点である。
The analysis is performed by using a VHDL simulator, VHDL sources A, B, C, D, E, and F mentioned above.
To read the VHDL source. The point to keep in mind when reading this is that when reading the VHDL source,
The point is that you must adhere to the rule that you must read from the lower hierarchy.

【0013】[0013]

【発明が解決しようとする課題】上述したように従来
は、このアナライズを行う際に、VHDLシミュレータ
を使う人間が、複数あるVHDLソースの階層を事前に
知っていて、どの順序でシミュレータに読ませれば良い
かを意識しながらVHDLシミュレータに、VHDLソ
ースを入力する。VHDLソースが自分で作成した物で
なければ、そのソースの内容を見て、人間がその順序を
理解し、VHDLシミュレータにソースを入力してい
く。従来の技術では、もし、シミュレーションを行う人
間と、VHDLを作成した人間が別々で、その階層の情
報がシミュレーションを行う人間にない場合、VHDL
ソースの内容を、いちいちシミュレーションをかける人
間が事前に調査し、理解しなくてはならないため、時間
を要するという欠点がある。さらに、この時シミュレー
ションを行う人間は、そのVHDLソースの内容を理解
するために、必然的にHDL記述の知識が必要になると
いう欠点がある。
As described above, conventionally, when performing this analysis, a person who uses a VHDL simulator knows in advance the hierarchy of a plurality of VHDL sources and can read the hierarchy in any order. The VHDL source is input to the VHDL simulator while conscious of whether to do so. If the VHDL source is not the one created by yourself, a human observes the contents of the source, understands the order, and inputs the source to the VHDL simulator. In the prior art, if the person performing the simulation and the person creating the VHDL are separate and the information of the hierarchy is not available to the person performing the simulation, the VHDL
There is a disadvantage that it takes time because the person who simulates the contents of the source must investigate and understand the contents in advance. Further, at this time, there is a drawback that the person who performs the simulation necessarily needs knowledge of the HDL description in order to understand the contents of the VHDL source.

【0014】また、VHDLソースが10個程度の少な
いものならまだなんとか人手で調査できる。しかしなが
ら、60個も70個もVHDLソースがあって、これを
人手で並べ替えると、かなりの作業時間が必要になると
いう欠点がある。
In addition, if the number of VHDL sources is as small as about 10, it can still be managed manually. However, there are disadvantages in that there are both 60 and 70 VHDL sources, and if these are rearranged by hand, considerable work time is required.

【0015】本発明は上述した従来技術の欠点を解決す
るためになされたものであり、その目的はVHDLソー
スを並べる手順をプログラム化することによって、VH
DLソースを並べ替えなくてはならないというユーザの
負担を軽くすることのできるVHDLシミュレータのア
ナライズシステム、アナライズ方法及びアナライズプロ
グラムを記録した記録媒体を提供することである。
SUMMARY OF THE INVENTION The present invention has been made to solve the above-mentioned drawbacks of the prior art, and has as its object to program a procedure for arranging VHDL sources so that VH can be programmed.
An object of the present invention is to provide a VHDL simulator analyzing system, an analyzing method, and a recording medium on which an analyzing program is recorded, which can reduce the burden on the user that the DL sources must be rearranged.

【0016】[0016]

【課題を解決するための手段】本発明によるVHDLシ
ミュレータのアナライズシステムは、複数のVHDLソ
ースをその階層構造に応じた順序でシミュレータに入力
し該ソースが正しく記述されているかどうかを解析する
ためのアナライズシステムであって、前記VHDLソー
スの階層構造を解析する階層構造解析手段と、この解析
結果に応じて前記VHDLソースを並べ替える並べ替え
手段とを含み、この並べ替え後のVHDLソースを前記
シミュレータに入力するようにしたことを特徴とする。
An analysis system for a VHDL simulator according to the present invention is provided for inputting a plurality of VHDL sources to a simulator in an order according to a hierarchical structure thereof and analyzing whether or not the sources are correctly described. An analyzing system, comprising: a hierarchical structure analyzing means for analyzing a hierarchical structure of the VHDL source; and a rearranging means for rearranging the VHDL source according to a result of the analysis. Is input.

【0017】本発明によるVHDLシミュレータのアナ
ライズ方法は、複数のVHDLソースをその階層構造に
応じた順序でシミュレータに入力し該ソースが正しく記
述されているかどうかを解析するためのアナライズ方法
であって、前記VHDLソースの階層構造を解析する階
層構造解析ステップと、この解析結果に応じて前記VH
DLソースを並べ替える並べ替えステップとを含み、こ
の並べ替え後のVHDLソースを前記シミュレータに入
力するようにしたことを特徴とする。
An analysis method of a VHDL simulator according to the present invention is an analysis method for inputting a plurality of VHDL sources to a simulator in an order according to a hierarchical structure thereof and analyzing whether or not the sources are correctly described. A hierarchical structure analyzing step of analyzing a hierarchical structure of the VHDL source;
And rearranging the DL source. The rearranged VHDL source is input to the simulator.

【0018】本発明によるVHDLシミュレータのアナ
ライズプログラムを記録した記録媒体は、複数のVHD
Lソースをその階層構造に応じた順序でシミュレータに
入力し該ソースが正しく記述されているかどうかを解析
するためのアナライズプログラムを記録した記録媒体で
あって、該プログラムは、前記VHDLソースの階層構
造を解析する階層構造解析ステップと、この解析結果に
応じて前記VHDLソースを並べ替える並べ替えステッ
プとを含み、この並べ替え後のVHDLソースを前記シ
ミュレータに入力するようにしたことを特徴とする。
The recording medium on which the analyze program of the VHDL simulator according to the present invention is recorded includes a plurality of VHDs.
A recording medium storing an analyze program for inputting an L source to a simulator in an order according to its hierarchical structure and analyzing whether or not the source is correctly described, wherein the program has a hierarchical structure of the VHDL source. And a rearranging step of rearranging the VHDL sources according to the analysis result, wherein the rearranged VHDL sources are input to the simulator.

【0019】要するに本システムでは、従来人手で並べ
ていたVHDLの階層の順序を、VHDLシミュレータ
に解析させて、自動的にアナライズを行わせる。VHD
Lシミュレータには、基本的に構文をチェックする機能
が備わっているので、その機能を利用して、個々のVH
DLソースがどのような名前のもので、どのような名前
のVHDLソースを子供(より下位階層のソース)とし
て持っているかを調べ、子供のいないものから並べてい
くことによって、階層を追って並べ替える処理をシミュ
レータに行わせるのである。
In short, in the present system, the VHDL simulator analyzes the order of the VHDL hierarchy, which has been manually arranged in the past, and performs the analysis automatically. VHD
The L simulator basically has a function to check the syntax.
Checking what kind of name the DL source has and what kind of VHDL source it has as a child (lower hierarchy source) Is performed by the simulator.

【0020】つまり、本システムが従来のものと異なる
点は、今まで一つずつ順序良くVHDLシミュレータに
対して入力していたVHDLソースをまとめて入力でき
るという点と、VHDLソースの階層に応じて、並べ替
えを自動的に行うので、VHDLソースの内容を人が見
なくても良いという点である。
That is, this system is different from the conventional system in that VHDL sources which have been input to the VHDL simulator one by one can be input collectively one by one, and according to the hierarchy of the VHDL source. Since the rearrangement is performed automatically, the contents of the VHDL source do not need to be seen by a person.

【0021】[0021]

【発明の実施の形態】次に、本発明の実施の一形態につ
いて図面を参照して説明する。なお、以下の説明におい
て参照する各図においては、他の図と同等部分には同一
符号が付されている。
Next, an embodiment of the present invention will be described with reference to the drawings. In the drawings referred to in the following description, the same parts as those in the other drawings are denoted by the same reference numerals.

【0022】図2には、例として6つのVHDLソース
A,B,C,D,E,Fがあり、それらが、どのような
階層になっているかが示されている。同図において、V
HDLソースAは、VHDLソースBとVHDLソース
Cとを取込んでおり、VHDLソースBはVHDLソー
スDとVHDLソースEとを、VHDLソースCはVH
DLソースFを、夫々取込んでいる。この階層構造は、
各VHDLソースA,B,C,D,E,Fの内容を見る
ことにより、その階層を調べることができる。よって、
この5つのソースのアナライズを行う場合は、人間が事
前に全てのソースを見て、その階層構造を理解しなくて
はならない。
FIG. 2 shows, by way of example, six VHDL sources A, B, C, D, E, and F, and how these layers are arranged. In FIG.
The HDL source A captures the VHDL source B and the VHDL source C, the VHDL source B stores the VHDL source D and the VHDL source E, and the VHDL source C stores the VHDL source C.
DL sources F are taken in respectively. This hierarchy is
By looking at the contents of each VHDL source A, B, C, D, E, F, the hierarchy can be checked. Therefore,
In analyzing these five sources, a human must look at all the sources in advance and understand the hierarchical structure.

【0023】その場合の手順が図3に示されている。ま
ず、VHDLソースAからVHDLソースFまでを図3
(a)のように人間の目で見て、VHDLシミュレータ
に対してどのような順序でソースを入れなくてはいけな
いのかを調べる。この場合、VHDLのアナライズは、
必ず下位の階層より行わなければならないため、VHD
LソースAより先にVHDLソースBとVHDLソース
Cを、VHDLソースBより先にVHDLソースDとV
HDLソースEを、VHDLソースCより先にVHDL
ソースFをアナライズしなくてはならない。
The procedure in that case is shown in FIG. First, VHDL source A to VHDL source F are shown in FIG.
As shown in FIG. 9A, the order in which the sources must be inserted into the VHDL simulator is examined by the human eyes. In this case, the analysis of VHDL is
VHD must be performed from the lower hierarchy.
VHDL source B and VHDL source C before L source A, VHDL source D and VHDL before VHDL source B
HDL source E is added to VHDL source C before VHDL source C
Source F must be analyzed.

【0024】よって、最低でも図3(b)に示されてい
るような順位J1,J2,J3で、VHDLシミュレー
タに入力しなくてはならない。破線で示されている同じ
順位のVHDLソースについての入力順序は任意で良
い。この場合、無論、VHDLソースを見るわけだか
ら、この作業を行う人間はVHDLの構文について詳し
い知識を有する必要がある。この並べ替えの作業は、手
間がかかる上に、VHDLの構文を理解しなくてはいけ
ない。そこで、VHDLシミュレータに並べ替えを行わ
せることで、これらの手間を省くのが本システムであ
る。
Therefore, it is necessary to input to the VHDL simulator at least in the order of J1, J2, and J3 as shown in FIG. 3B. The input order for the VHDL sources of the same order shown by the broken lines may be arbitrary. In this case, of course, the person who performs this work needs to have detailed knowledge of the syntax of VHDL because the user is looking at the VHDL source. This reordering is time-consuming and requires an understanding of the VHDL syntax. Therefore, the present system eliminates these troubles by causing the VHDL simulator to perform rearrangement.

【0025】その並べ替えの手順が図1に示されてい
る。同図に示されているように、6つのVHDLソース
A〜Fを同時にVHDLシミュレータ100に投入する
ことにより、VHDLシミュレータ100の構文解析の
機能を利用して、その階層までも調べ、シミュレータ1
00の内部で、自動的に並べ替え処理10を行い、アナ
ライズ11をかけてしまう。これにより、人が行う作業
は、VHDLシミュレータ100にVHDLソースA〜
Fを投入することだけになり、並べ替え処理もVHDL
の構文の知識も必要ではなくなるのである。
FIG. 1 shows the rearrangement procedure. As shown in the figure, by simultaneously inputting the six VHDL sources A to F to the VHDL simulator 100, the hierarchical analysis function of the VHDL simulator 100 is used to check even the hierarchy, and the simulator 1
00, the rearrangement process 10 is automatically performed, and the analysis 11 is performed. As a result, the work performed by a person is performed by the VHDL simulator 100 with the VHDL sources A to
F only, the sorting process is also VHDL
No knowledge of the syntax is necessary.

【0026】ここで、並べ替えを人手で行う場合のフロ
ーチャートが図4に、VHDLシミュレータ100が行
う場合のフローチャートが図5に、夫々示されている。
FIG. 4 is a flowchart in the case where the rearrangement is performed manually, and FIG. 5 is a flowchart in the case where the VHDL simulator 100 performs the rearrangement.

【0027】図4を参照すると、まず人手で複数のVH
DLソースについて階層解析処理を行った後(ステップ
S41)、並べ替え処理を行う(ステップS42)。こ
の並べ替えられたVHDLソースをVHDLシミュレー
タによって処理し(ステップS43)、結果を得るので
ある。
Referring to FIG. 4, first, a plurality of VHs are manually set.
After performing the hierarchy analysis processing on the DL source (step S41), the rearrangement processing is performed (step S42). This rearranged VHDL source is processed by the VHDL simulator (step S43), and the result is obtained.

【0028】一方、図5を参照すると、複数のVHDL
ソースをVHDLシミュレータに入力すれば(ステップ
S50)、内部の階層検索及び並べ替え処理が自動的に
行われ(ステップS51)、結果が出力されるのであ
る。つまり、図6に示されているように、自動並べ替え
プログラムが起動され(ステップS131)、その後ア
ナライズが行われることになる(ステップS132)。
On the other hand, referring to FIG.
When the source is input to the VHDL simulator (step S50), the internal hierarchy search and rearrangement processing is automatically performed (step S51), and the result is output. That is, as shown in FIG. 6, the automatic rearrangement program is started (step S131), and then the analysis is performed (step S132).

【0029】この図5に示されている処理は、具体的に
は次のように行われる。まず、図7に示されているよう
な階層を持ったVHDLソースがあったとする。同図に
おいては、VHDLソースAはVHDLソースBを含
み、VHDLソースBはVHDLソースC及びVHDL
ソースDを含んでいる。このような階層を持ったVHD
Lソースは、図8に示されているように並べ替えなくて
はならない。すなわち、これらのソースをアナライズす
る場合、考えられるアナライズの順序は2通りあり、V
HDLソースD、VHDLソースC、VHDLソース
B、VHDLソースAという順序か、VHDLソース
C、VHDLソースD、VHDLソースB、VHDLソ
ースAという順序である。
The processing shown in FIG. 5 is specifically performed as follows. First, it is assumed that there is a VHDL source having a hierarchy as shown in FIG. In the figure, VHDL source A includes VHDL source B, and VHDL source B includes VHDL source C and VHDL
Source D is included. VHD with such a hierarchy
The L source must be reordered as shown in FIG. That is, when analyzing these sources, there are two possible analysis orders,
The order is HDL source D, VHDL source C, VHDL source B, and VHDL source A, or the order is VHDL source C, VHDL source D, VHDL source B, and VHDL source A.

【0030】これらについては、VHDLソースA〜D
の内容を見れば、階層構造がわかるので、これをコンピ
ュータに行わせるというシミュレーションを行うのであ
る。この場合、各VHDLモデル(VHDLソースによ
って書かれた物、要はVHDLソースそのもの)が何と
いう名前のモデルで、なんという名前の子供を持ってい
るか調べる。その時点で子供のいないモデルは、もっと
もレベルの低いモデルであるのでアナライズの順序は、
1番最初になる。
For these, VHDL sources A to D
By looking at the contents of the above, the hierarchical structure can be understood, and a simulation of causing a computer to perform this is performed. In this case, it is checked what the name of each VHDL model (the object written by the VHDL source, that is, the VHDL source itself) and what kind of child it has. The model without children at that time is the lowest level model, so the order of analysis is
Be the first.

【0031】次に、先ほどの子供がいないモデルを抜い
た状態で、再び各モデルがどのようなモデルを持ってい
るか調べる。ここで子供のいないモデルは、先ほどのモ
デルの次にレベルの低いモデルということになるので、
アナライズの順序としては2番目になる。
Next, in a state where the model having no child is removed, what kind of model each model has is examined again. Here, the model without children will be the next lowest model after the previous model,
This is the second analysis order.

【0032】図9は、図7の場合の階層関係の並べ替え
の例を示す図である。同図中のレベル1の処理で、モデ
ルC及びDは子供を持たないモデルであるので(図中の
破線部分)、最下層のモデルとなる。レベル2の処理で
は、レベル1で子供のいなかったモデルC及びDを抜い
て、子供の持たないモデルを探す。ここで、モデルBが
選ばれるので(図中の破線部分)、このモデルBはモデ
ルC及びDの次に低いモデルとなる。同じように、レベ
ル3の処理で、モデルBの次に低いモデルは、モデルA
であることが解る(図中の破線部分)。そして、レベル
4でモデルが無いことから、モデルAがもっとも最上層
であることが解る。
FIG. 9 is a diagram showing an example of rearrangement of the hierarchical relation in the case of FIG. In the processing of level 1 in the drawing, the models C and D are models having no children (broken line portions in the drawing), and thus are models in the lowest layer. In the level 2 processing, the models C and D having no children at the level 1 are extracted, and a model having no children is searched. Here, since the model B is selected (the broken line portion in the figure), the model B is the next lowest model after the models C and D. Similarly, in the level 3 processing, the model that is next to the model B is the model A
(A broken line portion in the figure). Since there is no model at level 4, it is understood that model A is the highest layer.

【0033】つまり、この並べ替えは以下のように行わ
れるのである。
That is, this rearrangement is performed as follows.

【0034】第1に、各VHDLソースの内容を検索
し、そのVHDLソースがどのような名前のVHDLソ
ース(ファイル名ではなく、回路の名前)であるのかを
調べる。
First, the contents of each VHDL source are searched to find out what name the VHDL source is (the name of the circuit, not the file name).

【0035】第2に、そのVHDLソースが、どのよう
な名前のVHDLソースを子供に持っているのかを調べ
る。
Second, the VHDL source is examined to determine what name the VHDL source has for the child.

【0036】第3に、各VHDLソースにレベルを設
け、互いのVHDLソース同士を比べて、子供を持つV
HDLソースはレベルを上げる。
Third, each VHDL source is provided with a level, and each VHDL source is compared with another VHDL source.
The HDL source raises the level.

【0037】第4に、最もレベルの低いソースを捨てた
後、第3の作業に戻る。これをソースの個数分繰返すこ
とにより、各ソースのレベルが決まり、これを見てソー
スを並べ替えることにより、VHDLソースを適切な順
番に並べられる。
Fourth, after discarding the lowest level source, return to the third task. By repeating this as many times as the number of sources, the level of each source is determined. By rearranging the sources based on this, the VHDL sources can be arranged in an appropriate order.

【0038】以上により、多数のVHDLソースがあっ
た場合、その全てをユーザが見なくても、コンピュータ
により自動的に並べ替えられ、ユーザのVHDLソース
をアナライズする負担がなくなるのである。
As described above, if there are a large number of VHDL sources, they are automatically rearranged by the computer even if the user does not look at all of them, eliminating the burden of analyzing the user's VHDL sources.

【0039】より具体的には、図10のフローチャート
に示されているような処理が行われる。すなわち、まず
全てのVHDLソースを調査して自分の下に階層を持っ
ているソースだけを抽出し、ソースのリストから外す
(ステップA1)。そして、階層を持っていなかったソ
ースに対して、点数1を付ける(ステップS1)。
More specifically, processing as shown in the flowchart of FIG. 10 is performed. That is, first, all the VHDL sources are checked, and only the sources having a hierarchy under the VHDL source are extracted and removed from the source list (step A1). Then, a score of 1 is given to the source having no hierarchy (step S1).

【0040】次に、A1の時点で抽出したVHDLソー
スしか下の階層に持たないソースだけを抽出し、ソース
のリストから外す(ステップA2)。そして、階層を持
っていなかったソースに対して、点数2を付ける(ステ
ップS2)。
Next, only the source having only the VHDL source extracted at the time point A1 in the lower hierarchy is extracted and removed from the source list (step A2). Then, a score of 2 is given to the source having no hierarchy (step S2).

【0041】同様に、A(n−1)の時点で抽出したV
HDLソースしか下の階層に持たないソースだけを抽出
し、ソースのリストから外す(ステップAn)。そし
て、階層を持っていなかったソースに対して、点数nを
付ける(ステップS3)。
Similarly, the V extracted at the time of A (n-1)
Only the source having only the HDL source in the lower hierarchy is extracted and removed from the source list (step An). Then, a score n is assigned to the source having no hierarchy (step S3).

【0042】このような処理を、ソースがなくなるまで
続ける(ステップS4→An…)。そして、全てのソー
スがなくなった時点で、全てのソースに点数がついてい
るはずなので、小さい点数のソースから順番に処理をす
る(ステップS5)。なお、この場合、同じ点数のソー
スは順不同なので、順序は任意でよい。
This process is continued until there is no more source (step S4 → An...). Then, when all the sources have disappeared, all the sources should have scores, so the processing is performed in order from the source with the smallest score (step S5). In this case, the sources having the same score are out of order, so that the order may be arbitrary.

【0043】ここで、VHDLモデルが、どのような子
供を持っているか検索するには、VHDLソース中のコ
ンフィグレーションの部分を利用する。図11にその例
が示されている。図11は、コンフィグレーション名が
“AAA”(実線で囲まれた部分)であり、“DD
D”,“CCC”という名前(破線で囲まれた部分)が
付与されたコンフィグレーション名を持ったモデルを子
供としているソースのコンフィグレーションの部分を示
す図である。
Here, in order to find out what kind of children the VHDL model has, a configuration part in the VHDL source is used. FIG. 11 shows an example. FIG. 11 shows that the configuration name is “AAA” (portion surrounded by a solid line) and “DD”.
It is a figure which shows the part of the configuration of the source which makes the model which has the configuration name to which the name "D" and "CCC" (the part enclosed with the broken line) was given a child.

【0044】同図において、“BBB”はVHDLのe
ntity名と呼ばれるものであり、回路の名前でもあ
る。“ARC”はVHDLのarchitecture
名と呼ばれるものであり、回路の動作部分の名前であ
る。例えば、“BBB”の“ARC”のように、ent
ityと対になって利用される。そして、“CONFI
GRATION”は、これら“BBB”と“ARC”と
を1つにする命令である。例えば、CONFIGRAT
ION“AAA”は、entity“BBB”とarc
hitecture“ARC”から成り立っている。
In the same figure, "BBB" is e of VHDL.
This is called the entity name, and is also the name of the circuit. “ARC” is VHDL architecture
This is called the name of the operating part of the circuit. For example, as in “ARC” of “BBB”, ent
It is used in a pair with the "ity". And "CONFI
"GRATION" is an instruction to combine these "BBB" and "ARC" into one, for example, CONFIGRAT.
ION “AAA” is entity “BBB” and arc
height "ARC".

【0045】本システムにおいては、この“CONFI
GRATION”が記述されていることが前提である。
よって、ここではentity名及びarchitec
ture名については特に意味がない。
In this system, the "CONFI"
It is assumed that "GRATION" is described.
Therefore, here the entity name and architec
The name of the cure has no special meaning.

【0046】なお、“u1”及び“u2”は夫々のイン
スタンス名であり、回路に付けられる名前である。“R
RR”はVHDLのcomponent名と呼ばれるも
のであり、下位階層がどのように利用されるのかを示す
情報である。ここでは特に意味がないので説明を省く。
“TTT”も同様である。“LLL”はライブラリ名で
ある。
Note that "u1" and "u2" are the respective instance names, which are the names given to the circuits. "R
“RR” is called a component name of VHDL, and is information indicating how the lower layer is used.
The same applies to “TTT”. “LLL” is a library name.

【0047】最後に本システムの適用範囲について述べ
る。本システムが適用できるのは、1つのライブラリ宣
言の範囲だけである。つまり上述した図11中の“LL
L”が同一である範囲について本システムを適用できる
のである。
Finally, the applicable range of the present system will be described. This system is applicable only to the scope of one library declaration. That is, “LL” in FIG.
This system can be applied to a range where L "is the same.

【0048】VHDLはソースのアナライズを行う際
に、そのソースがなんという名のライブラリでアナライ
ズするかを指定しなくてはならない(これをライブラリ
名と呼ぶ)が、1つのライブラリ宣言の範囲というの
は、このライブラリ名が同一のものという意味である。
このことが図12に示されている。すなわち、同図中の
破線で囲まれた範囲がライブラリa(VHDLソース
M),ライブラリb(VHDLソースA〜F),ライブ
ラリc(VHDLソースG〜L)であり、この各ライブ
ラリ単位で上述したアナライズを行うのである。
When the VHDL analyzes a source, it must specify what library the source will analyze (this is called a library name), but the scope of one library declaration is Means that the library names are the same.
This is shown in FIG. That is, the ranges surrounded by the broken lines in the drawing are the library a (VHDL source M), the library b (VHDL source A to F), and the library c (VHDL source G to L). Analyze.

【0049】以上のように本システムによれば、階層構
造を持った複数のVHDLソースのアナライズを行う場
合において、並べ替えの機能を持ったVHDLシミュレ
ータを用いているので、人手で全てのVHDLソースを
見る手間が省けるのである。このため、VHDLを理解
していない人間でも、シミュレーションを行うことがで
きるのである。
As described above, according to the present system, when analyzing a plurality of VHDL sources having a hierarchical structure, a VHDL simulator having a sorting function is used. You don't have to worry about watching. Therefore, even a person who does not understand VHDL can perform a simulation.

【0050】なお、以上説明した図10の処理を実現す
るためのプログラムを記録した記録媒体を用意し、その
プログラムによってコンピュータを制御すれば、上述と
同様の並べ替え及びアナライズの処理を行うことができ
ることは明白である。この記録媒体には、各図中に示さ
れていない半導体メモリ、磁気ディスク装置の他、種々
の記録媒体を用いることができる。
By preparing a recording medium on which a program for realizing the processing of FIG. 10 described above is recorded and controlling the computer with the program, the same sort and analyze processing as described above can be performed. What you can do is obvious. As this recording medium, various recording media can be used in addition to a semiconductor memory and a magnetic disk device not shown in each drawing.

【0051】以上のように、本システムによれば、VH
DLソースを並べる手順をプログラム化することによっ
て、VHDLソースを並べ替えなくてはならないという
ユーザの負担を軽くすることができるのである。これに
より、ユーザがVHDLソースを並べ替える必要がなく
なり、たとえVHDLを知らない場合であってもVHD
Lのアナライズを行うことができるのである。
As described above, according to the present system, VH
By programming the procedure for arranging the DL sources, the burden on the user of having to rearrange the VHDL sources can be reduced. This eliminates the need for the user to reorder the VHDL sources, and allows the VHD source to be
The analysis of L can be performed.

【0052】なお、以上はVHDLソースを解析するシ
ステムについて説明したが、これに限らず階層構造を有
するソースについても同様に、階層構造の解析及びソー
スの並べ替えを行うことができることは明白である。
Although the system for analyzing the VHDL source has been described above, it is apparent that the analysis of the hierarchical structure and the rearrangement of the sources can be similarly performed on a source having a hierarchical structure. .

【0053】[0053]

【発明の効果】以上説明したように本発明は、階層構造
を持った複数のVHDLソースのアナライズを行う場合
において、並べ替えの機能を持ったVHDLシミュレー
タを用いているので、人手で全てのVHDLソースを見
る手間が省け、ユーザの負担を軽くすることができると
いう効果がある。
As described above, according to the present invention, when analyzing a plurality of VHDL sources having a hierarchical structure, a VHDL simulator having a reordering function is used. There is an effect that the trouble of viewing the source can be omitted and the burden on the user can be reduced.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本システムによるシミュレータが並べ替えを行
った場合の処理を示す図である。
FIG. 1 is a diagram showing processing when a simulator performs rearrangement according to the present system.

【図2】階層のあるVHDLの例を示す図である。FIG. 2 is a diagram illustrating an example of a hierarchical VHDL.

【図3】人手で並べ替えを行った場合の処理を示す図で
ある。
FIG. 3 is a diagram showing a process in a case where rearrangement is performed manually.

【図4】人手で並べ替えを行う場合の処理フローチャー
トを示す図である。
FIG. 4 is a diagram illustrating a processing flowchart when rearrangement is performed manually.

【図5】シミュレータによって並べ替えを行う場合の処
理フローチャートを示す図である。
FIG. 5 is a diagram illustrating a processing flowchart when rearrangement is performed by a simulator.

【図6】自動並べ替えプログラムを起動してアナライズ
を行う処理フローを示す図である。
FIG. 6 is a diagram showing a processing flow for activating an automatic rearrangement program and performing analysis.

【図7】階層を持ったモデルの例を示す図である。FIG. 7 is a diagram illustrating an example of a model having a hierarchy.

【図8】図7のモデルについての並べ替えの例を示す図
である。
FIG. 8 is a diagram showing an example of rearrangement for the model of FIG. 7;

【図9】図7のモデルについての並べ替えの処理手順を
示す図である。
FIG. 9 is a diagram showing a processing procedure for rearranging the model of FIG. 7;

【図10】本システムの動作を示すフローチャートであ
る。
FIG. 10 is a flowchart showing the operation of the present system.

【図11】VHDLソースの並べ替えのための検索部分
を示す図である。
FIG. 11 is a diagram showing a search portion for rearranging VHDL sources.

【図12】本システムの適用範囲を示す図である。FIG. 12 is a diagram showing an applicable range of the present system.

【図13】従来の技術設計フローチャートを示す図であ
る。
FIG. 13 is a diagram showing a conventional technical design flowchart.

【符号の説明】[Explanation of symbols]

10 並べ替え処理 11 アナライズ 100 VHDLシミュレータ A〜F VHDLソース 10 Rearrangement processing 11 Analyze 100 VHDL simulator A to F VHDL source

Claims (6)

【特許請求の範囲】[Claims] 【請求項1】 複数のVHDLソースをその階層構造に
応じた順序でシミュレータに入力し該ソースが正しく記
述されているかどうかを解析するためのアナライズシス
テムであって、前記VHDLソースの階層構造を解析す
る階層構造解析手段と、この解析結果に応じて前記VH
DLソースを並べ替える並べ替え手段とを含み、この並
べ替え後のVHDLソースを前記シミュレータに入力す
るようにしたことを特徴とするアナライズシステム。
1. An analyzing system for inputting a plurality of VHDL sources to a simulator in an order according to a hierarchical structure thereof and analyzing whether or not the sources are correctly described, wherein the analyzing unit analyzes the hierarchical structure of the VHDL source. Means for analyzing the hierarchical structure and the VH according to the analysis result.
And a rearranging means for rearranging the DL sources, wherein the rearranged VHDL sources are input to the simulator.
【請求項2】 前記並べ替え手段は、各VHDLソース
の内容を検索し、そのVHDLソースがどのような名前
のVHDLソースであるのかを調べ、そのVHDLソー
スがどのような名前のVHDLソースをより下位階層ソ
ースである子供として持っているのかを調べ、各VHD
Lソースにレベルを設けて互いのVHDLソース同士を
比べ、子供を持つVHDLソースはレベルを上げ、最も
レベルの低いソースを捨てる作業を、ソースの個数分繰
返すことによって、各ソースのレベルを決め、これを見
てソースを並べ替えることを特徴とする請求項1記載の
アナライズシステム。
2. The reordering means searches the contents of each VHDL source, finds out what name the VHDL source is, and finds what VHDL source the VHDL source is. Check if you have as a child that is a lower layer source, and check each VHD
Levels are provided in the L source to compare each other's VHDL sources, the VHDL source with children raises the level, and the operation of discarding the lowest level source is repeated by the number of sources, thereby determining the level of each source. 2. The analyzing system according to claim 1, wherein the sources are rearranged based on this.
【請求項3】 複数のVHDLソースをその階層構造に
応じた順序でシミュレータに入力し該ソースが正しく記
述されているかどうかを解析するためのアナライズ方法
であって、前記VHDLソースの階層構造を解析する階
層構造解析ステップと、この解析結果に応じて前記VH
DLソースを並べ替える並べ替えステップとを含み、こ
の並べ替え後のVHDLソースを前記シミュレータに入
力するようにしたことを特徴とするアナライズ方法。
3. An analyzing method for inputting a plurality of VHDL sources to a simulator in an order according to a hierarchical structure thereof and analyzing whether or not the sources are correctly described, comprising analyzing the hierarchical structure of the VHDL source. A hierarchical structure analysis step to be performed, and the VH
A rearranging step of rearranging the DL sources, and inputting the rearranged VHDL sources to the simulator.
【請求項4】 前記並べ替えステップにおいては、各V
HDLソースの内容を検索し、そのVHDLソースがど
のような名前のVHDLソースであるのかを調べ、その
VHDLソースがどのような名前のVHDLソースをよ
り下位階層ソースである子供として持っているのかを調
べ、各VHDLソースにレベルを設けて互いのVHDL
ソース同士を比べ、子供を持つVHDLソースはレベル
を上げ、最もレベルの低いソースを捨てる作業を、ソー
スの個数分繰返すことによって、各ソースのレベルを決
め、これを見てソースを並べ替えることを特徴とする請
求項3記載のアナライズ方法。
4. In the rearranging step, each V
The contents of the HDL source are searched to find out what name the VHDL source is, and what kind of VHDL source the VHDL source has as a child that is a lower hierarchical source. Check, set the level for each VHDL source, and
By comparing the sources, the VHDL source with children raises the level, and the process of discarding the lowest level source is repeated by the number of sources, so that the level of each source is determined, and the source is rearranged based on this. The analyzing method according to claim 3, wherein
【請求項5】 複数のVHDLソースをその階層構造に
応じた順序でシミュレータに入力し該ソースが正しく記
述されているかどうかを解析するためのアナライズプロ
グラムを記録した記録媒体であって、該プログラムは、
前記VHDLソースの階層構造を解析する階層構造解析
ステップと、この解析結果に応じて前記VHDLソース
を並べ替える並べ替えステップとを含み、この並べ替え
後のVHDLソースを前記シミュレータに入力するよう
にしたことを特徴とするアナライズプログラム記録媒
体。
5. A recording medium storing an analyze program for inputting a plurality of VHDL sources to a simulator in an order according to the hierarchical structure and analyzing whether or not the sources are correctly described. ,
A hierarchical structure analyzing step of analyzing a hierarchical structure of the VHDL source; and a rearranging step of rearranging the VHDL source according to the analysis result, wherein the rearranged VHDL source is input to the simulator. An analysis program recording medium characterized by the above-mentioned.
【請求項6】 前記並べ替えステップにおいては、各V
HDLソースの内容を検索し、そのVHDLソースがど
のような名前のVHDLソースであるのかを調べ、その
VHDLソースがどのような名前のVHDLソースをよ
り下位階層ソースである子供として持っているのかを調
べ、各VHDLソースにレベルを設けて互いのVHDL
ソース同士を比べ、子供を持つVHDLソースはレベル
を上げ、最もレベルの低いソースを捨てる作業を、ソー
スの個数分繰返すことによって、各ソースのレベルを決
め、これを見てソースを並べ替えることを特徴とする請
求項5記載のアナライズプログラム記録媒体。
6. In the rearranging step, each V
The contents of the HDL source are searched to find out what name the VHDL source is, and what kind of VHDL source the VHDL source has as a child that is a lower hierarchical source. Check, set the level for each VHDL source, and
By comparing the sources, the VHDL source with children raises the level, and the process of discarding the lowest level source is repeated by the number of sources, so that the level of each source is determined, and the source is rearranged based on this. An analysis program recording medium according to claim 5, wherein:
JP10315724A 1998-11-06 1998-11-06 System and method for analyzing vhdl simulator and program recording medium Withdrawn JP2000148805A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP10315724A JP2000148805A (en) 1998-11-06 1998-11-06 System and method for analyzing vhdl simulator and program recording medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP10315724A JP2000148805A (en) 1998-11-06 1998-11-06 System and method for analyzing vhdl simulator and program recording medium

Publications (1)

Publication Number Publication Date
JP2000148805A true JP2000148805A (en) 2000-05-30

Family

ID=18068778

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10315724A Withdrawn JP2000148805A (en) 1998-11-06 1998-11-06 System and method for analyzing vhdl simulator and program recording medium

Country Status (1)

Country Link
JP (1) JP2000148805A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007144940A1 (en) * 2006-06-13 2007-12-21 Fujitsu Limited Hdl processing method, program, and computer-readable storage medium

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007144940A1 (en) * 2006-06-13 2007-12-21 Fujitsu Limited Hdl processing method, program, and computer-readable storage medium
JPWO2007144940A1 (en) * 2006-06-13 2009-10-29 富士通株式会社 HDL processing method, program, and computer-readable storage medium
JP4682245B2 (en) * 2006-06-13 2011-05-11 富士通株式会社 HDL processing method, program, and computer-readable storage medium
US8024681B2 (en) 2006-06-13 2011-09-20 Fujitsu Limited Hierarchical HDL processing method and non-transitory computer-readable storage medium

Similar Documents

Publication Publication Date Title
US5831869A (en) Method of compacting data representations of hierarchical logic designs used for static timing analysis
US6496961B2 (en) Dynamic detection and removal of inactive clauses in SAT with application in image computation
US6148436A (en) System and method for automatic generation of gate-level descriptions from table-based descriptions for electronic design automation
US9600398B2 (en) Method and apparatus for debugging HDL design code and test program code
US5625565A (en) System and method for generating a template for functional logic symbols
US7062736B2 (en) Timing constraint generator
US6457161B1 (en) Method and program product for modeling circuits with latch based design
US5353433A (en) Method and apparatus for organizing and analyzing timing information
US6874134B1 (en) Conversion of an HDL sequential truth table to generic HDL elements
US6532573B1 (en) LSI verification method, LSI verification apparatus, and recording medium
US5774380A (en) State capture/reuse for verilog simulation of high gate count ASIC
JP2000148805A (en) System and method for analyzing vhdl simulator and program recording medium
US7086017B1 (en) Method of post-implementation simulation of a HDL design
US7606692B2 (en) Gate-level netlist reduction for simulating target modules of a design
US6745374B2 (en) Algorithms for determining path coverages and activity
US6442738B1 (en) RTL back annotator
US7107201B2 (en) Simulating a logic design
US8869080B2 (en) Automatically identifying resettable flops for digital designs
JP4182279B2 (en) Logic verification method and program
KR19990079355A (en) Test bench generator and simulation method using the same
US20070136699A1 (en) Dependency matrices and methods of using the same for testing or analyzing an integrated circuit
JPH06259495A (en) Logic simulation system
JPH07306881A (en) Analysis support device for logical siomulation
DeGroat Using Scripting and Verilog AMS to improve Design and Testbench reuse
JPH029370B2 (en)

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20060110