JP2000049089A - Method and device for treating resist - Google Patents

Method and device for treating resist

Info

Publication number
JP2000049089A
JP2000049089A JP11140022A JP14002299A JP2000049089A JP 2000049089 A JP2000049089 A JP 2000049089A JP 11140022 A JP11140022 A JP 11140022A JP 14002299 A JP14002299 A JP 14002299A JP 2000049089 A JP2000049089 A JP 2000049089A
Authority
JP
Japan
Prior art keywords
resist
substrate
condition
wafer
exposure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP11140022A
Other languages
Japanese (ja)
Other versions
JP3481499B2 (en
Inventor
Yuji Fukuda
雄二 福田
Kunie Ogata
久仁恵 緒方
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP14002299A priority Critical patent/JP3481499B2/en
Publication of JP2000049089A publication Critical patent/JP2000049089A/en
Application granted granted Critical
Publication of JP3481499B2 publication Critical patent/JP3481499B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Landscapes

  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

PROBLEM TO BE SOLVED: To improve the precision of the line width control of a resist pattern by detecting the reflectance of a base film and controlling at least one of the applying condition, exposing condition, and developing condition of a resist. SOLUTION: The data about the measured reflectance of each reflectance measuring equipment 34 of a resist applying and developing system are sent to a control section 35. The section 35 is connected to a storage section 36 storing the relations between reflectances and various conditions for obtaining resist patterns having desired line widths. The various conditions include the number of revolutions or acceleration of wafers when a resist applying device supplies a resist solution, the exposing time of an aligner 13 when the aligner 13 exposes the wafers, and the developing time of a developing device when the device develops the wafers. The control section 35 controls the number of revolutions or acceleration, exposing time, and developing time based on the various conditions corresponding to the measured reflectance.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体ウェハやL
CD基板等の基板の表面に所望のレジストパターンを形
成するレジスト処理方法及びレジスト処理装置に関す
る。
BACKGROUND OF THE INVENTION 1. Field of the Invention
The present invention relates to a resist processing method and a resist processing apparatus for forming a desired resist pattern on a surface of a substrate such as a CD substrate.

【0002】[0002]

【従来の技術】例えば半導体デバイスの製造プロセスに
おけるフォトリソグラフィー工程においては、半導体ウ
ェハ(以下、「ウェハ」という)の表面にレジスト膜を
形成するレジスト塗布処理と、レジスト塗布後のウェハ
に対して露光処理を行った後に当該ウェハに対して現像
を行う現像処理とが行われる。
2. Description of the Related Art For example, in a photolithography process in a semiconductor device manufacturing process, a resist coating process for forming a resist film on the surface of a semiconductor wafer (hereinafter, referred to as a "wafer") and an exposure of the wafer after the resist coating are performed. After the processing, a developing process of developing the wafer is performed.

【0003】従来からこれらレジスト塗布処理と現像処
理は、例えば特公平2−30194号公報によっても公
知なように、対応する各種処理装置が1つのシステム内
に装備された複合処理システム内で、露光プロセスを挟
んで所定のシーケンスに従って行われている。
Conventionally, the resist coating process and the developing process are carried out in a complex processing system in which various corresponding processing apparatuses are provided in one system, as is well known, for example, from Japanese Patent Publication No. 2-30194. It is performed according to a predetermined sequence with the process interposed.

【0004】ところで、近年、ウェハ表面に形成される
レジストパターンの微細化の要求が年々高まり、レジス
トパターンの線幅についての厳しい管理が必須となって
きている。
In recent years, the demand for miniaturization of a resist pattern formed on a wafer surface has been increasing year by year, and strict control of the line width of the resist pattern has become essential.

【0005】このようなレジストパターンの線幅に関す
る管理は、例えば作業員がレジスト塗布現像システムか
ら搬出されたウェハ表面のレジストパターンの線幅を、
SEM(Scanning Electron Mic
roscope)を用いて実測し、その線幅が規格値の
範囲を満足するか否かによって行っている。
[0005] Such management relating to the line width of the resist pattern is performed, for example, in such a manner that an operator determines the line width of the resist pattern on the wafer surface carried out of the resist coating and developing system.
SEM (Scanning Electron Mic)
(Roscope), and the determination is made based on whether or not the line width satisfies the range of the standard value.

【0006】[0006]

【発明が解決しようとする課題】しかしながら、上述し
た方法では、高価なSEMを必要とし、線幅の測定に多
大な労力と時間とを必要とするという問題があった。ま
た、SEMを用いて線幅を測定する際に、一旦ウェハを
搬出してSEMの真空試料室内に搬入しなければならな
いため、ウェハが汚染されてしまうという問題もあっ
た。
However, the above-described method has a problem in that an expensive SEM is required, and a great deal of labor and time are required for measuring a line width. Further, when measuring the line width using the SEM, the wafer must be once carried out and then carried into the vacuum sample chamber of the SEM, so that the wafer is contaminated.

【0007】そこで、例えばレジストパターンの形成条
件(レジストの塗布条件や露光条件、現像条件等)をよ
り厳格に定めることで、レジストパターンの線幅をより
厳しく制御し、上記のような測定を不要とすることが考
えられる。しかし、本発明者等の考察によると、レジス
トパターンの形成条件を厳格に定めたとしてもレジスト
パターンの線幅の制御には限界がある、という問題があ
る。
Therefore, for example, the line width of the resist pattern is controlled more strictly by defining the conditions for forming the resist pattern (resist application conditions, exposure conditions, development conditions, etc.) more strictly, and the above-described measurement is not required. It is conceivable that However, according to the study of the present inventors, there is a problem that there is a limit in controlling the line width of the resist pattern even if the conditions for forming the resist pattern are strictly defined.

【0008】本発明の目的は、レジストパターンの高精
度な線幅制御が可能なレジスト処理方法及びレジスト処
理装置を提供することにある。
SUMMARY OF THE INVENTION It is an object of the present invention to provide a resist processing method and a resist processing apparatus capable of controlling a line width of a resist pattern with high accuracy.

【0009】[0009]

【課題を解決するための手段】請求項1記載の発明は、
下地膜が形成された基板上に所定のレジストパターンを
形成するレジスト処理方法において、(a)前記下地膜
の光の反射率を検出する工程と、(b)第1の条件に基
づき、前記基板上にレジストを塗布する工程と、(c)
第2の条件に基づき、前記レジストが塗布された基板を
露光する工程と、(d)第3の条件に基づき、前記露光
された基板を現像する工程と、(e)前記検出された反
射率に基づき、前記第1の条件、前記第2の条件及び前
記第3の条件のうち、少なくとも1つを制御する工程と
を具備することを特徴とする。
According to the first aspect of the present invention,
In a resist processing method for forming a predetermined resist pattern on a substrate on which a base film is formed, (a) a step of detecting a reflectance of light of the base film; and (b) the substrate based on a first condition. Applying a resist thereon, (c)
Exposing the resist-coated substrate based on a second condition; (d) developing the exposed substrate based on a third condition; and (e) detecting the detected reflectance. And controlling at least one of the first condition, the second condition and the third condition based on the first condition, the second condition, and the third condition.

【0010】請求項2の発明は、請求項1のレジスト処
理方法において、前記反射率と前記第1の条件、前記第
2の条件及び前記第3の条件のうち少なくとも1つとの
関係をあらかじめ定める工程を更に具備し、前記工程
(e)が、前記関係に基づき前記第1の条件、前記第2
の条件及び前記第3の条件のうち、少なくとも1つを制
御することを特徴とする。
According to a second aspect of the present invention, in the resist processing method of the first aspect, a relationship between the reflectance and at least one of the first condition, the second condition, and the third condition is determined in advance. The method further includes the step (e), wherein the first condition and the second condition are based on the relationship.
And at least one of the third condition and the third condition is controlled.

【0011】請求項3の発明は、請求項1のレジスト処
理方法において、前記工程(b)が、前記基板を回転さ
せながら基板上にレジスト液を供給する工程を含み、前
記第1の条件が、前記基板を回転するときの回転数また
は加速度であることを特徴とする。
According to a third aspect of the present invention, in the resist processing method of the first aspect, the step (b) includes a step of supplying a resist solution onto the substrate while rotating the substrate, wherein the first condition is satisfied. , The number of rotations or the acceleration when rotating the substrate.

【0012】請求項4の発明は、請求項1のレジスト処
理方法において、前記第2の条件が、前記基板を露光す
るときの露光時間であることを特徴とする。
According to a fourth aspect of the present invention, in the resist processing method of the first aspect, the second condition is an exposure time for exposing the substrate.

【0013】請求項5の発明は、請求項3のレジスト処
理方法において、前記第3の条件が、前記基板を現像す
る時の現像時間であることを特徴とする。
According to a fifth aspect of the present invention, in the resist processing method of the third aspect, the third condition is a developing time for developing the substrate.

【0014】請求項6の発明は、所定の下地膜が形成さ
れた基板にレジストを塗布し、露光、現像して所定のレ
ジストパターンを形成するレジスト処理方法において、
(g)前記基板と共に、測定用基板に所定の前記下地膜
を形成する工程と、(h)前記測定用基板にレジストを
塗布する工程と、(i)前記測定用基板に露光を行い、
レジストが完全に感光されるのに必要で十分な露光エネ
ルギーを求める工程と、(j)前記求められた露光エネ
ルギーに基づき、所定の処理を実行する工程とを具備す
ることを特徴とする。
According to a sixth aspect of the present invention, there is provided a resist processing method for forming a predetermined resist pattern by applying a resist to a substrate on which a predetermined base film is formed, exposing and developing the resist.
(G) forming a predetermined base film on the measurement substrate together with the substrate, (h) applying a resist to the measurement substrate, and (i) exposing the measurement substrate to light.
The method is characterized by comprising a step of obtaining exposure energy necessary and sufficient for the resist to be completely exposed, and a step (j) of performing a predetermined process based on the obtained exposure energy.

【0015】請求項7の発明は、請求項6のレジスト処
理方法において、前記工程(j)が、前記露光エネルギ
ーの値が予め定められた所定の範囲内か否かによって前
記被処理基板の処理を実行するか否かを判定する工程を
含むことを特徴とする。
According to a seventh aspect of the present invention, in the resist processing method of the sixth aspect, the step (j) includes processing the substrate to be processed according to whether or not the value of the exposure energy is within a predetermined range. And a step of determining whether or not to execute.

【0016】請求項8の発明は、請求項6のレジスト処
理方法において、前記工程(j)が、前記露光エネルギ
ーの値に応じて、処理条件を変更して前記基板の露光、
現像工程を実行する工程を含むことを特徴とする。
According to an eighth aspect of the present invention, in the resist processing method of the sixth aspect, the step (j) comprises exposing the substrate by changing processing conditions in accordance with the value of the exposure energy.
The method includes a step of executing a developing step.

【0017】請求項9の発明は、請求項6のレジスト処
理方法において、前記工程(i)が、前記測定用基板の
所定の露光位置毎に露光量を変えて複数回露光を行い、
この後現像して、前記露光エネルギーを求める工程を含
むことを特徴とする。
According to a ninth aspect of the present invention, in the resist processing method of the sixth aspect, the step (i) includes performing a plurality of exposures by changing an exposure amount for each predetermined exposure position on the measurement substrate,
Thereafter, the method includes a step of developing to obtain the exposure energy.

【0018】請求項10の発明は、請求項9のレジスト
処理方法において、露光時間を変えることによって前記
露光量を変えることを特徴とする。
According to a tenth aspect of the present invention, in the resist processing method of the ninth aspect, the exposure amount is changed by changing an exposure time.

【0019】請求項11の発明は、請求項6のレジスト
処理方法において、前記工程(i)が、前記現像後に、
膜厚測定装置によってレジストの膜厚を測定し、膜厚が
零となる位置の露光エネルギーから、前記露光エネルギ
ーを求める工程を含むことを特徴とする。
The invention of claim 11 is the resist processing method of claim 6, wherein the step (i) is performed after the development.
A step of measuring a film thickness of the resist by a film thickness measuring device and obtaining the exposure energy from an exposure energy at a position where the film thickness becomes zero.

【0020】請求項12の発明は、請求項1のレジスト
処理方法において、(m)前記基板と共に、測定用基板
に所定の前記下地膜を形成する工程と、(n)前記測定
用基板にレジストを塗布する工程と、(o)前記測定用
基板に露光を行い、レジストが完全に感光されるのに必
要で十分な露光エネルギーを求める工程と、(p)前記
求められた露光エネルギーに基づき、所定の処理を実行
する工程とを更に具備することを特徴とする。
According to a twelfth aspect of the present invention, there is provided the resist processing method according to the first aspect, wherein (m) a step of forming a predetermined base film on the measurement substrate together with the substrate; And (o) exposing the measurement substrate to obtain exposure energy necessary and sufficient for the resist to be completely exposed; and (p) based on the obtained exposure energy, And performing a predetermined process.

【0021】請求項13の発明は、下地膜が形成された
基板上に所定のレジストパターンを形成するレジスト処
理装置において、前記下地膜の光の反射率を検出する手
段と、第1の条件に基づき、前記基板上にレジストを塗
布する手段と、第2の条件に基づき、前記レジストが塗
布された基板を露光する手段と、第3の条件に基づき、
前記露光された基板を現像する手段と、前記検出された
反射率に基づき、前記第1の条件、前記第2の条件及び
前記第3の条件のうち、少なくとも1つを制御する制御
手段とを具備することを特徴とする。
According to a thirteenth aspect of the present invention, there is provided a resist processing apparatus for forming a predetermined resist pattern on a substrate on which a base film is formed, wherein a means for detecting a light reflectance of the base film is provided. A means for applying a resist on the substrate, a means for exposing the substrate on which the resist is applied, based on a second condition,
Means for developing the exposed substrate; and control means for controlling at least one of the first condition, the second condition, and the third condition based on the detected reflectance. It is characterized by having.

【0022】請求項14の発明は、請求項13のレジス
ト処理装置において、前記反射率と前記第1の条件、前
記第2の条件及び前記第3の条件のうち少なくとも1つ
との関係を記憶する記憶手段を更に具備し、前記制御手
段が、前記記憶手段に記憶された関係に基づき前記第1
の条件、前記第2の条件及び前記第3の条件のうち、少
なくとも1つを制御することを特徴とする。
According to a fourteenth aspect of the present invention, in the resist processing apparatus of the thirteenth aspect, a relationship between the reflectance and at least one of the first condition, the second condition, and the third condition is stored. A storage unit, wherein the control unit is configured to execute the first control based on the relationship stored in the storage unit.
, At least one of the second condition and the third condition is controlled.

【0023】請求項15の発明は、請求項14のレジス
ト処理装置において、前記塗布する手段が、前記基板を
回転させながら基板上にレジスト液を供給するものであ
り、前記第1の条件が、前記基板を回転する時の回転数
または加速度であることを特徴とする。
According to a fifteenth aspect of the present invention, in the resist processing apparatus of the fourteenth aspect, the applying means supplies a resist liquid onto the substrate while rotating the substrate. The rotation speed or the acceleration when the substrate is rotated.

【0024】請求項16の発明は、請求項14のレジス
ト処理装置において、前記第2の条件が、前記基板を露
光する時の露光時間であることを特徴とする。
According to a sixteenth aspect of the present invention, in the resist processing apparatus of the fourteenth aspect, the second condition is an exposure time for exposing the substrate.

【0025】請求項17の発明は、請求項14のレジス
ト処理装置において、前記第3の条件が、前記基板を現
像するときの現像時間であることを特徴とする。
According to a seventeenth aspect of the present invention, in the resist processing apparatus of the fourteenth aspect, the third condition is a developing time for developing the substrate.

【0026】請求項18の発明は、請求項14のレジス
ト処理装置において、前記基板とともに、測定用基板に
所定の前記下地膜を形成する手段と、前記測定用基板に
レジストを塗布する手段と、前記測定用基板に露光を行
い、レジストが完全に感光されるのに必要で十分な露光
エネルギーを求める手段と、前記求められた露光エネル
ギーに基づき、所定の処理を実行する手段とを更に具備
することを特徴とする。
The invention according to claim 18 is the resist processing apparatus according to claim 14, wherein: a means for forming the predetermined base film on the measurement substrate together with the substrate; means for applying a resist to the measurement substrate; The apparatus further comprises means for exposing the measurement substrate to obtain exposure energy necessary and sufficient to completely expose the resist, and means for executing a predetermined process based on the obtained exposure energy. It is characterized by the following.

【0027】レジストが塗布された基板を露光するとき
に光がレジストより下層に形成された下地膜で反射し、
その反射光も感光に寄与する。従って、下地膜の反射率
が異なると露光量が異なり、レジストパターンの線幅が
異なることになる。
When the substrate coated with the resist is exposed, light is reflected by a base film formed below the resist,
The reflected light also contributes to photosensitivity. Therefore, when the reflectance of the base film is different, the exposure amount is different, and the line width of the resist pattern is different.

【0028】一方、例えば基板としての半導体ウェハ上
には下地膜として光の反射率が異なるSiO2 及びAl
が形成されており、形成すべき回路パターンに応じてS
iO2 の占める面積とAlが占める面積との割合が異な
り、その割合に応じて下地膜の光の反射率が異なる。従
って、レジストパターンを同一の条件で形成すると下地
膜の光の反射率に応じてレジストパターンの線幅が異な
ることになる。そこで、本発明では、まず下地膜の光の
反射率を検出し、検出された反射率に基づき、これらの
条件を制御することで、レジストパターンの高精度な線
幅制御を可能とするものである。
On the other hand, for example, on a semiconductor wafer as a substrate, SiO2 and Al having different light reflectivities are used as base films.
Are formed, and S is selected according to the circuit pattern to be formed.
The ratio between the area occupied by iO2 and the area occupied by Al is different, and the reflectivity of light of the underlying film is different according to the ratio. Therefore, if the resist pattern is formed under the same conditions, the line width of the resist pattern will differ depending on the light reflectance of the underlying film. Therefore, in the present invention, by first detecting the reflectance of light of the underlying film and controlling these conditions based on the detected reflectance, highly accurate line width control of the resist pattern is enabled. is there.

【0029】[0029]

【発明の実施の形態】以下、本発明の詳細を、図面を参
照して、実施の形態について説明する。
Embodiments of the present invention will be described below in detail with reference to the drawings.

【0030】図1に示すように、このレジスト塗布現像
システム1は、半導体ウェハに化学増幅型レジストを塗
布し、現像するシステムにおいて、カセットステーショ
ン10、処理ステーション11及びインターフェース部
12を一対に接続した構成を有している。
As shown in FIG. 1, this resist coating and developing system 1 is a system for coating and developing a chemically amplified resist on a semiconductor wafer, in which a cassette station 10, a processing station 11, and an interface section 12 are connected in a pair. It has a configuration.

【0031】カセットステーション10では、ウェハW
が(カセットC単位で複数枚、例えば25枚単位で)外
部からレジスト塗布現像システム1に搬入され、またレ
ジスト塗布現像システム1から外部に搬出される。ま
た、カセットCに対してウェハWが搬出・搬入される。
In the cassette station 10, the wafer W
Are loaded into the resist coating and developing system 1 from outside (in units of cassettes C, for example, in units of 25 sheets), and are discharged from the resist coating and developing system 1 to the outside. Further, the wafer W is carried in / out of the cassette C.

【0032】処理ステーション11では、塗布現像処理
工程の中で1枚ずつウェハWに所定の処理を施す枚葉式
の各種処理装置が所定位置に多段に配置されている。
In the processing station 11, various single-wafer processing apparatuses for performing predetermined processing on the wafers W one by one in the coating and developing processing steps are arranged at predetermined positions in multiple stages.

【0033】インターフェース部12では、このレジス
ト塗布現像システム1に隣接して設けられる露光装置1
3との間でウェハWが受け渡される。
The interface unit 12 includes an exposure apparatus 1 provided adjacent to the resist coating and developing system 1.
3 and the wafer W is transferred.

【0034】カセットステーション10では、図1に示
すように、カセット載置台20上の位置決め突起20a
の位置に複数個(例えば4個)のカセットCが、それぞ
れのウェハW出入口を処理ステーション11側に向けて
X方向(図1中の上下方向)一列に載置される。このカ
セットC配列方向(X方向)及びカセットC内に収容さ
れたウェハWのウェハW配列方向(Z方向;垂直方向)
に移動可能なウェハ搬送装置21が、搬送路21aに沿
って移動自在であり、各カセットCに選択的にアクセス
する。
In the cassette station 10, as shown in FIG.
(For example, four) cassettes C are placed in a line in the X direction (vertical direction in FIG. 1) with their respective wafer W entrances facing the processing station 11 side. This cassette C arrangement direction (X direction) and the wafer W arrangement direction of the wafers W accommodated in the cassette C (Z direction; vertical direction)
Is movable along the transfer path 21a, and selectively accesses each cassette C.

【0035】ウェハ搬送装置21は、θ方向に回転自在
に構成されており、後述するように処理ステーション1
1側の第3の処理装置群G3 の多段装置部に属するアラ
イメント装置(ALIM)及びエクステンション装置
(EXT)にもアクセスできるようになっている。
The wafer transfer device 21 is configured to be rotatable in the θ direction.
The alignment apparatus (ALIM) and the extension apparatus (EXT) belonging to the multi-stage apparatus section of the third processing apparatus group G3 on the first side can also be accessed.

【0036】処理ステーション11では、図1に示すよ
うに、その中心部には垂直搬送型の搬送装置22が設け
られ、その周りに処理室としての各種処理装置が1組ま
たは複数の組に亙って多段集積配置されて処理装置群を
構成している。このレジスト塗布現像システム1におい
ては、5つの処理装置群G1 、G2 、G3 、G4 、G5
が配置可能な構成であり、第1及び第2の処理装置群G
1 、G2 はシステム正面側に配置され、第3の処理装置
群G3 はカセットステーション10に隣接して配置さ
れ、第4の処理装置群G4 はインターフェース部12に
隣接して配置され、更に破線で示した第5の処理装置群
G5 を背面側に配置することが可能となっている。搬送
装置22は、θ方向に回転自在でZ方向に移動可能に構
成されており、各処理装置との間でウェハWの受け渡し
が可能とされている。
In the processing station 11, as shown in FIG. 1, a vertical transfer type transfer device 22 is provided at the center, and various types of processing devices as processing chambers are provided around one or a plurality of sets. Thus, the processing apparatuses are arranged in a multi-stage arrangement. In this resist coating and developing system 1, five processing unit groups G1, G2, G3, G4, G5
Can be arranged, and the first and second processing device groups G
1 and G2 are disposed on the front side of the system, the third processing unit group G3 is disposed adjacent to the cassette station 10, the fourth processing unit group G4 is disposed adjacent to the interface unit 12, and furthermore, is indicated by broken lines. The illustrated fifth processing unit group G5 can be arranged on the back side. The transfer device 22 is configured to be rotatable in the θ direction and movable in the Z direction, and is capable of transferring the wafer W to and from each processing device.

【0037】第1の処理装置群G1 では、図2に示すよ
うに、カップCP内でウェハWをスピンチャックに載せ
て所定の処理を行う2台のスピンナ型処理装置、例えば
レジスト液塗布装置(COT)及び現像処理装置(DE
V)が下から順に2段に重ねられている。そして第1の
処理装置群G1 と同様に、第2の処理装置群G2 におい
ても、2台のスピンナ型処理装置、例えばレジスト液塗
布装置(COT)及び現像処理装置(DEV)が下から
順に2段に重ねられている。
In the first processing apparatus group G1, as shown in FIG. 2, two spinner-type processing apparatuses, for example, a resist liquid coating apparatus (FIG. 2) for performing a predetermined processing by placing a wafer W on a spin chuck in a cup CP. COT) and development processing equipment (DE
V) are stacked in two stages from the bottom. Similarly to the first processing unit group G1, in the second processing unit group G2, two spinner-type processing units, for example, a resist liquid coating unit (COT) and a development processing unit (DEV) are sequentially arranged from the bottom. It is piled up on the steps.

【0038】第3の処理装置群G3 では、図3に示すよ
うに、ウェハWを載置台(図示せず)に載せて所定の処
理を行うオーブン型の処理装置、例えば冷却処理を行う
冷却処理装置(COL)、レジストの定着性を高めるた
めのいわゆる疎水化処理を行う疎水化処理装置(A
D)、位置合わせを行うアライメント装置(ALI
M)、エクステンション装置(EXT)、露光処理前の
加熱処理であるプリベークを行う加熱処理装置(PRE
BAKE)及びポストベークを行う加熱処理装置(PO
BAKE)が下から順に、例えば8段に重ねられてい
る。
In the third processing apparatus group G3, as shown in FIG. 3, an oven-type processing apparatus for performing a predetermined processing by mounting the wafer W on a mounting table (not shown), for example, a cooling processing for performing a cooling processing Device (COL), a hydrophobizing device (A) for performing a so-called hydrophobizing process for improving the fixability of a resist.
D) Alignment device for alignment (ALI)
M), an extension device (EXT), and a heat treatment device (PRE) for performing pre-bake as a heat treatment before the exposure treatment.
BAKE) and a heat treatment device (PO
BAKE) are stacked in order from the bottom, for example, in eight layers.

【0039】同様に、第4の処理装置群G4 では、ウェ
ハWを載置台に載せて所定の処理を行うオーブン型の処
理装置、例えば冷却処理を行う冷却処理装置(CO
L)、冷却処理も兼ねたエクステンション・冷却処理装
置(EXTCOL)、エクステンション装置(EX
T)、疎水化処理装置(AD)、プリベークを行う加熱
処理装置(PREBAKE)及びポストベークを行う加
熱処理装置(POBAKE)が下から順に、例えば8段
に重ねられている。
Similarly, in the fourth processing apparatus group G4, an oven-type processing apparatus for performing a predetermined processing by placing the wafer W on the mounting table, for example, a cooling processing apparatus (CO) for performing a cooling process
L), an extension / cooling processing device (EXTCOL) that also serves as a cooling process, and an extension device (EX
T), a hydrophobic treatment device (AD), a heat treatment device (PREBAKE) for performing pre-bake, and a heat treatment device (POBAKE) for performing post-bake are stacked in, for example, eight stages from the bottom.

【0040】インターフェース部12では、図1に示す
ように、奥行き方向(X方向)については、上記処理ス
テーション11と同じ寸法を有するが、幅方向について
はより小さなサイズに設定されている。図1及び図2に
示すように、このインターフェース部12の正面側に
は、可搬性のピックアップカセットCRと、定置型のバ
ッファカセットBRが2段に配置され、他方背面部には
周辺露光装置24が配設されている。
As shown in FIG. 1, the interface section 12 has the same dimensions in the depth direction (X direction) as the processing station 11, but has a smaller size in the width direction. As shown in FIGS. 1 and 2, a portable pickup cassette CR and a stationary buffer cassette BR are arranged in two stages on the front side of the interface unit 12, while a peripheral exposure device 24 is arranged on the rear side. Are arranged.

【0041】インターフェース部12の中央部には、ウ
ェハ搬送装置25が設けられている。ウェハ搬送装置2
5は、X方向、Z方向(垂直方向)に移動して両カセッ
トCR、BR及び周辺露光装置24にアクセスできるよ
うになっている。ウェハ搬送装置25は、θ方向にも回
転自在となるように構成されており、処理ステーション
11側の第4の処理装置群G4 に属するエクステンショ
ン装置(EXT)や、更には隣接する露光装置側のウェ
ハ受け渡し台(図示せず)にもアクセスできるようにな
っている。
At the center of the interface section 12, a wafer transfer device 25 is provided. Wafer transfer device 2
Numeral 5 moves in the X direction and the Z direction (vertical direction) so that the cassettes CR and BR and the peripheral exposure device 24 can be accessed. The wafer transfer device 25 is configured to be rotatable also in the θ direction, and includes an extension device (EXT) belonging to the fourth processing device group G4 on the processing station 11 side, and further, an adjacent exposure device side. A wafer transfer table (not shown) can also be accessed.

【0042】図4に示すように、カセットステーション
10の上部にはフィルタ26が、処理ステーション11
の上部にはフィルタ27が、インターフェース部12の
上部にはフィルタ28がそれぞれ取り付けられている。
これらのフィルタは上部空間29を共有している。この
上部空間29はダクト(図示を省略)を介して下方の空
調装置(図示を省略)に連通し、アンモニアを除去し、
湿度及び温度が制御された清浄空気が空調装置から上部
空間29に供給されるようになっている。清浄空気は、
上部空間29から各フィルタを通って下方に向けて吹き
出され、これにより清浄空気のダウンフローが各部1
0、11、12に形成されるようになっている。
As shown in FIG. 4, a filter 26 is provided above the cassette station 10 and a processing station 11 is provided.
The filter 27 is attached to the upper part of the interface unit 12, and the filter 28 is attached to the upper part of the interface unit 12.
These filters share a headspace 29. This upper space 29 communicates with a lower air conditioner (not shown) through a duct (not shown) to remove ammonia,
Clean air whose humidity and temperature are controlled is supplied to the upper space 29 from the air conditioner. Clean air is
The air is blown downward from the upper space 29 through the respective filters, whereby the downflow of the clean air is reduced to the respective parts 1.
0, 11, and 12 are formed.

【0043】上記した第3の処理装置群G3 のアライメ
ント装置(ALIM)には、図5に示すように、このア
ライメント装置(ALIM)の両側に、カセットステー
ション10のウェハ搬送装置21がアクセスするため開
口部31と、処理ステーション11の搬送装置22がア
クセスするための開口部32とが設けられている。この
アライメント装置(ALIM)のほぼ中央にはウェハW
を位置決めするためのウェハ載置台33が配置されてい
る。ウェハ載置台33の上方には、ウェハWの光の反射
率を測定するための複数の反射率測定装置34が配置さ
れている。各反射率測定装置34は、例えばウェハWに
おける1チップの相当する領域の光の反射率を測定す
る。各反射率測定装置34は、例えばこの領域に光を照
射し、その反射光の光量を測定し、照射光の光量と反射
光の光量との比に基づき反射率を算出する。このような
測定装置は複数配置しても単数配置しても構わない。こ
のような反射率測定装置は、このレジスト塗布現像シス
テム1におけるウェハW搬送経路上のどこかにあればよ
く、或いはレジスト塗布現像システム1外に別途設けて
もよい。
As shown in FIG. 5, the alignment apparatus (ALIM) of the third processing apparatus group G3 is accessed by the wafer transfer apparatus 21 of the cassette station 10 on both sides of the alignment apparatus (ALIM). An opening 31 and an opening 32 for access by the transfer device 22 of the processing station 11 are provided. Almost in the center of this alignment device (ALIM), the wafer W
A wafer mounting table 33 for positioning is arranged. Above the wafer mounting table 33, a plurality of reflectivity measuring devices 34 for measuring the light reflectivity of the wafer W are arranged. Each reflectance measuring device 34 measures the reflectance of light in a region corresponding to one chip on the wafer W, for example. Each reflectance measuring device 34 irradiates this region with light, measures the amount of reflected light, and calculates the reflectance based on the ratio of the amount of irradiated light to the amount of reflected light. A plurality of such measuring devices may be arranged or a single measuring device may be arranged. Such a reflectance measuring device may be located anywhere on the wafer W transport path in the resist coating and developing system 1 or may be separately provided outside the resist coating and developing system 1.

【0044】図6は上記のように構成されたレジスト塗
布現像システム1における制御系の構成を示すブロック
図である。
FIG. 6 is a block diagram showing the configuration of a control system in the resist coating and developing system 1 configured as described above.

【0045】図6に示すように、各反射率測定装電34
により測定された反射率のデータは制御部35に送られ
る。制御部35には、記憶部36が接続されている。記
憶部36には、図7に示すようなライブラリィーが記憶
されている。このライブラリィーは、光の反射率と光の
反射率に対して所望の線幅のレジストパターンを得るた
めの各種の条件との関係を示している。各種の条件と
は、例えばレジスト液塗布装置(COT)においてウェ
ハWを回転させなからレジスト液を供給するときの当該
回転数または加速度(回転数を上昇等させる際の加速
度)あるいはその双方である。別の所定の条件とは、例
えば露光装置13においてウェハWを露光するときの露
光時間である。更に別の所定の条件とは、現像処理装置
(DEV)においてウェハWを現像するときの現像時間
である。制御部35は、測定された反射率に応じた各種
の条件を図7のライブラリィーから求め、求められた条
件によって、上記の回転数または加速度、露光時間及び
現像時間を制御する。なお、図7のライブラリィーは、
レジスト液塗布装置(COT)の回転数のみを変更する
場合の例を示してあるが、制御対象は、上記の回転数、
加速度、露光時間及び現像時間の4つであってもよい
し、これらのうち3つあるいは2つであってもよいし、
1つであってもよいし、これらの条件以外の線幅に関係
するパラメータであってもよい。
As shown in FIG. 6, each reflectance measuring device 34
Is transmitted to the control unit 35. The storage unit 36 is connected to the control unit 35. The storage unit 36 stores a library as shown in FIG. This library shows the relationship between light reflectance and various conditions for obtaining a resist pattern having a desired line width with respect to light reflectance. The various conditions are, for example, the rotation speed and / or the acceleration (acceleration at which the rotation speed is increased) when the resist liquid is supplied without rotating the wafer W in the resist liquid coating apparatus (COT) or both. . Another predetermined condition is, for example, an exposure time when the exposure apparatus 13 exposes the wafer W. Still another predetermined condition is a developing time when developing the wafer W in the developing device (DEV). The control unit 35 obtains various conditions according to the measured reflectance from the library shown in FIG. 7, and controls the rotation speed or the acceleration, the exposure time, and the development time according to the obtained conditions. The library shown in FIG.
Although an example is shown in which only the rotation speed of the resist liquid coating device (COT) is changed, the control target is the above rotation speed,
The acceleration, the exposure time, and the development time may be four, three or two of these,
It may be one, or a parameter related to the line width other than these conditions.

【0046】次に、以上のように構成されたレジスト塗
布現像システム1における処理工程について説明する。
Next, processing steps in the resist coating and developing system 1 configured as described above will be described.

【0047】レジスト塗布現像システム1において、カ
セットC内に収容された未処理のウェハW(下地膜が形
成されている。)はカセットステーション10のウェハ
搬送装置21によって取り出された後、処理ステーショ
ン11の第3の処理群G3 のアライメント装置(ALI
M)内に搬送され、位置合わせが行われる。
In the resist coating and developing system 1, an unprocessed wafer W (having a base film formed) housed in the cassette C is taken out by the wafer transfer device 21 of the cassette station 10 and then processed at the processing station 11. Of the third processing group G3 (ALI
M), and alignment is performed.

【0048】その後、このアライメント装置(ALI
M)内において反射率測定装置34によりウェハWの光
の反射率が測定される。
Thereafter, the alignment device (ALI)
In M), the reflectance of the light of the wafer W is measured by the reflectance measuring device 34.

【0049】ここで、ウェハW上には、図8に示すよう
に下地膜37としてSiO2が形成された領域と図9に
示すようにAlが形成された領域とがあり、形成すべき
回路パターンに応じてSiO2の占める面積とAlが占
める面積との割合が異なり、その割合に応じて下地膜3
7の光の反射率が異なる。反射率測定装置34はこのよ
うな光の反射率を測定し、測定された反射率のデータは
制御部35に送られる。この後、搬送装置22を反対側
から搬入させ、ウェハWはアライメント装置(ALI
M)内から搬出され搬送される。
Here, on the wafer W, there are a region where SiO 2 is formed as the base film 37 as shown in FIG. 8 and a region where Al is formed as shown in FIG. The ratio between the area occupied by SiO2 and the area occupied by Al differs according to the ratio, and the base film
7 have different reflectivities. The reflectance measuring device 34 measures the reflectance of such light, and data of the measured reflectance is sent to the control unit 35. Thereafter, the transfer device 22 is loaded from the opposite side, and the wafer W is placed in the alignment device (ALI).
M) is carried out from inside and transported.

【0050】次に、ウェハWは、第3の処理詳G3 の疎
水化処理装置(AD)にて疎水化処理され、第3の処理
群G3 又は第4の処理群G4 の冷却処理装置(COL)
にて冷却された後に、第1の処理群G1 又は第2の処理
群G2 のレジスト液塗布装置(COT)にてフォトレジ
スト膜すなわち感光膜を塗布形成される。このとき、制
御部35は、反射率測定装置34により測定された反射
率のデータに応じた条件をライブラリィーから読み出
し、この条件でレジスト液塗布装置(COT)において
ウェハWを回転させながらレジスト液を供給するときの
当該回転数または加速度あるいはこれらの双方を制御す
る。
Next, the wafer W is hydrophobized by the hydrophobizing apparatus (AD) of the third processing detail G3, and the cooling processing apparatus (COL) of the third processing group G3 or the fourth processing group G4. )
After the cooling, the photoresist film, that is, the photosensitive film is coated and formed by the resist liquid coating device (COT) in the first processing group G1 or the second processing group G2. At this time, the control unit 35 reads, from the library, conditions corresponding to the data of the reflectance measured by the reflectance measuring device 34, and rotates the resist W while rotating the wafer W in the resist coating device (COT) under these conditions. , The rotation speed or the acceleration or both of them are controlled.

【0051】感光膜を形成した後、第3の処理群G3 又
は第4の処理群G4 のプリベーキング装置(PREBA
KE)にて加熱処理を行い、ウェハW上の感光膜から残
存溶剤を蒸発除去する。次に、ウェハWは第4の処理群
G4 のエクステンション冷却装置(EXTCOL)で冷
却された後に、第4の処理群G4 のエクステンション装
置(EXT)内に載置される。次に、ウェハ搬送装置2
5を反対側から搬入させ、ウェハWは搬出される。次
に、ウェハWは露光装置13内に搬送され、ウェハ露光
される。このとき、制御部35は、反射率測定装置34
により測定された反射率のデータに応じた条件をライブ
ラリィーから読み出し、この条件で露光装置13におけ
る露光時間を制御する。
After forming the photosensitive film, the pre-baking apparatus (PREBA) of the third processing group G3 or the fourth processing group G4
A heat treatment is performed in KE) to remove the remaining solvent from the photosensitive film on the wafer W by evaporation. Next, after being cooled by the extension cooling device (EXTCOL) of the fourth processing group G4, the wafer W is placed in the extension device (EXT) of the fourth processing group G4. Next, the wafer transfer device 2
5 is carried in from the opposite side, and the wafer W is carried out. Next, the wafer W is transferred into the exposure device 13 and exposed to the wafer. At this time, the control unit 35 controls the reflectance measuring device 34
The condition according to the data of the reflectance measured by the above is read out from the library, and the exposure time in the exposure device 13 is controlled under these conditions.

【0052】露光後にウェハWは再び第4の処理群G4
のエクステンション装置(EXT)に搬入され、これを
介して搬送装置22に受け渡される。次に、ウェハW
は、第1の処理群G1 又は第2の処理群G2 の現像処理
装置(DEV)内に搬送され、現像液により現像された
後にリンス液により現像液を洗い流し、現像処理を完了
する。このとき、制御部35は、反射率測定装置34に
より測定された反射率のデータに応じた条件をライブラ
リィーから読み出し、この条件で現像処理装置(DE
V)における現像時間を制御する。
After the exposure, the wafer W is again transferred to the fourth processing group G4
Is carried into the extension device (EXT), and is transferred to the transfer device 22 via the extension device (EXT). Next, the wafer W
Is transported into the developing device (DEV) of the first processing group G1 or the second processing group G2, and after being developed by the developing solution, the developing solution is washed away by the rinsing solution to complete the developing process. At this time, the control unit 35 reads, from the library, conditions according to the reflectance data measured by the reflectance measuring device 34, and under these conditions, the developing device (DE)
The development time in V) is controlled.

【0053】次に、ウェハWは搬送装置22によって現
像処理装置(DEV)内から搬出される。その後、ウェ
ハWは、第3の処理群G3 又は第4の処理群G4 のポス
トベーキング装置(POBAKE)て加熱処埋され、第
3の処理群G3 又は第4の処理群G4 の冷却処理装置で
(COL)で冷却された後に、第3の処理群G3 のエク
ステンション装置(EXT)内に載置される。そして、
ウェハ搬送装置21を反対側から搬入させ、ウェハWは
搬出され、カセットステーション10に載置された処理
済みウェハ収納用のカセットCにウェハWが搬入され
る。
Next, the wafer W is carried out of the developing device (DEV) by the transfer device 22. Thereafter, the wafer W is heated and buried by a post-baking apparatus (POBAKE) of the third processing group G3 or the fourth processing group G4, and is cooled by the cooling processing apparatus of the third processing group G3 or the fourth processing group G4. After being cooled by (COL), it is placed in the extension device (EXT) of the third processing group G3. And
The wafer transfer device 21 is loaded from the opposite side, the wafer W is unloaded, and the wafer W is loaded into the processed wafer storage cassette C mounted on the cassette station 10.

【0054】本実施の形態によれば、レジストパターン
の形成に先立ち反射率測定装置34によりウェハWの下
地膜の光の反射率を検出し、検出された反射率に基づ
き、レジスト液塗布装置(COT)においてウェハWを
回転させながらレジスト液を供給するときの当該回転
数、加速度、露光装置13における露光時間及び現像処
理装置(DEV)における現像時間を制御しているの
て、レジストパターンの高精度な線幅制御が可能とな
る。
According to the present embodiment, prior to the formation of a resist pattern, the reflectance measuring device 34 detects the light reflectance of the underlying film of the wafer W, and based on the detected reflectance, a resist liquid coating device ( Since the number of rotations, the acceleration, the exposure time in the exposure device 13 and the development time in the developing device (DEV) are controlled when the resist solution is supplied while rotating the wafer W in COT), the height of the resist pattern is increased. Accurate line width control becomes possible.

【0055】次に、本発明の他の実施形態を説明する。Next, another embodiment of the present invention will be described.

【0056】ここで、例えば、ウェハから半導体デバイ
スを製造する工程においては、シリコンからなるウェハ
上に、酸化膜、窒化膜等の下地膜を順次形成した後、こ
の下地膜の上にレジストを塗布し、所定パターンが形成
されたマスク(レティクル)を用いてステッパ等の露光
装置で露光を行い、この後、現像を行って、下地膜上に
所定のレジストパターンを形成する。
Here, for example, in a process of manufacturing a semiconductor device from a wafer, a base film such as an oxide film and a nitride film is sequentially formed on a silicon wafer, and then a resist is applied on the base film. Then, exposure is performed by an exposure apparatus such as a stepper using a mask (reticle) on which a predetermined pattern is formed, and thereafter, development is performed to form a predetermined resist pattern on the base film.

【0057】このような一連の工程において、レジスト
パターンを所定の線幅に保つためには、露光の際の露光
量(露光エネルギー)が、適切な量に制御される必要が
ある。すなわち、露光の際の露光量が過度であったり逆
に不足していると、レジストパターンの線幅が所定幅よ
り狭くなったり広くなったりしてしまう。
In such a series of steps, in order to keep the resist pattern at a predetermined line width, the exposure amount (exposure energy) at the time of exposure needs to be controlled to an appropriate amount. That is, if the amount of exposure at the time of exposure is excessive or insufficient, the line width of the resist pattern becomes narrower or wider than a predetermined width.

【0058】ところが、適切な露光量は、例えば、直接
レジストに当たる光の影響のみだけではなく、下地膜か
らの反射光や、これらの光のレジスト内での干渉等の影
響があるため、レジスト及び下地膜の膜厚や種類、露光
光の波長等によって微妙に変動する。
However, the appropriate exposure dose is not only affected by the light directly hitting the resist, but also by the reflected light from the underlying film and the interference of these lights in the resist. The value slightly varies depending on the thickness and type of the base film, the wavelength of the exposure light, and the like.

【0059】したがって、例えばレジストの膜厚や下地
膜の膜厚が僅かに変化しても、適切な露光量が大きく変
化する場合があり、これらの各成膜工程の各パラメータ
をできる限り一定に保ったとしても、例えば、下地膜の
膜厚を各バッチにおいて完全に同一とし、膜厚の差を完
全に零とすることは不可能なため、適切な露光量を常に
一定に保つことは困難である。
Therefore, for example, even if the film thickness of the resist or the film thickness of the base film slightly changes, the appropriate exposure amount may greatly change, and the parameters of each of these film forming steps are kept as constant as possible. Even if it is kept, for example, it is impossible to make the film thickness of the base film completely the same in each batch and make the difference in film thickness completely zero, so that it is difficult to always keep an appropriate exposure dose constant. It is.

【0060】このため、露光、現像工程における各処理
パラメータを常に一定として処理を行うと、レジスト線
幅が予め決められている規格値の範囲を外れる可能性が
あり、従来においては、露光、現像後のウェハのレジス
ト線幅を、SEMによって実際に測定し、その結果が予
め決められている規格値の範囲内にあるか否かの評価を
行っている。
For this reason, if the processing is performed while the processing parameters in the exposure and development steps are always kept constant, there is a possibility that the resist line width may be out of a predetermined standard value range. The resist line width of the subsequent wafer is actually measured by SEM, and whether or not the result is within a predetermined standard value range is evaluated.

【0061】ところで、レジストの露光量については、
レジストを100%感光させることのできるエネルギー
を一般的にE0 として表している。つまり、このE0
は、レジストを100%感光させることのできる最低の
エネルギーを示したもので、実際の露光工程において
は、このE0 より、若干多いエネルギーがレジストに照
射されるようにして、露光不足とならないよう配慮され
ている。
Incidentally, regarding the exposure amount of the resist,
The energy capable of exposing the resist to 100% is generally expressed as E0. That is, this E0
Indicates the lowest energy capable of exposing the resist to 100%. In the actual exposure step, the resist is irradiated with a little more energy than E0 so that the exposure is not insufficient. Have been.

【0062】なお、図10は、縦軸をE0 、横軸をレジ
スト膜厚として、E0 とレジスト膜厚との関係を模式的
に示したもので、E0 は、露光光の波長をλ、レジスト
の屈折率をnとして、λ/4nの周期で変動する。
FIG. 10 schematically shows the relationship between E0 and the resist film thickness, where E0 is the ordinate and the resist film thickness is the abscissa. Is changed at a period of λ / 4n, where n is the refractive index of n.

【0063】そして、露光量が一定で、その後の現像工
程が同一の条件で行われれば、E0の値とレジストの線
幅との間には、一定の相関関係があり、E0 を測定する
ことによって、現像後に形成されるレジスト線幅を予測
することができる。
If the exposure amount is constant and the subsequent development process is performed under the same conditions, there is a certain correlation between the value of E0 and the line width of the resist. Thus, a resist line width formed after development can be predicted.

【0064】つまり、各ウェハについてのE0 は、実質
的にある工程以降の下地膜の成膜工程及びレジスト塗布
工程によって決定されるものである。したがって、それ
以降の工程である露光及び現像工程において、一定の条
件で処理が行われれば、現像後の線幅は、実質的にこの
E0 の値に依存することになり、E0 の測定によって、
現像後に形成されるレジスト線幅を評価することが可能
となる。換言すれば、露光量を一定として処理する場
合、E0 の値がこの露光量より僅かに小さな所定の範囲
内にあれば、実質的に露光量の過不足が生じることな
く、形成されるレジスト線幅を予め決められている規格
値の範囲内とすることができる。
That is, E0 for each wafer is substantially determined by the base film forming step and the resist coating step after a certain step. Therefore, in the subsequent exposure and development steps, if processing is carried out under certain conditions, the line width after development will substantially depend on this value of E0.
It is possible to evaluate a resist line width formed after development. In other words, when processing is performed with a constant exposure amount, if the value of E0 is within a predetermined range slightly smaller than the exposure amount, the resist line to be formed can be formed substantially without excess or deficiency of the exposure amount. The width can be within a range of a predetermined standard value.

【0065】そこで、この実施形態では、図11のフロ
ーチャートに示すように、少なくともE0 に影響を与え
る下地膜の成膜工程から測定用ウェハ(測定用基板)
を、例えばバッチ毎に1枚ずつ導入し(101)、各種
下地膜の形成工程及びレジストの塗布工程により、通常
のウェハと同様に測定用ウェハに成膜及びレジスト塗布
を行う(102)。
Therefore, in this embodiment, as shown in the flow chart of FIG. 11, the measurement wafer (measurement substrate) starts from the step of forming the underlayer which affects at least E0.
Are introduced, for example, one by one for each batch (101), and a film is formed and a resist is applied to a measurement wafer in the same manner as a normal wafer by a step of forming various base films and a step of applying a resist (102).

【0066】なお、半導体デバイスの製造工程では、一
枚のウェハに複数回の露光、現像工程を施すことになる
が、測定用ウェハを導入するタイミングとしては、最初
の工程から複数枚の測定用ウェハを導入しておき、各露
光、現像工程毎にこれらのうちから一枚あるいは複数枚
の測定用ウェハを使用するようにしてもよく、あるい
は、各露光、現像工程毎にそのE0 に影響を与える下地
膜の成膜工程から測定用ウェハを導入してもよい。
In the process of manufacturing a semiconductor device, a single wafer is subjected to a plurality of exposure and development steps. The timing for introducing a measurement wafer is as follows. A wafer may be introduced, and one or more measurement wafers may be used for each exposure and development process, or the E0 may be affected for each exposure and development process. A wafer for measurement may be introduced from the step of forming a base film to be given.

【0067】そして、ウェハの露光工程に至ると(10
3)、通常のウェハの露光、現像工程に先立って、この
測定用ウェハのE0 を測定する(104)。
Then, when reaching the wafer exposure step (10)
3) E0 of the wafer for measurement is measured prior to the normal wafer exposure and development steps (104).

【0068】そして、このE0 の値が、予め定めた所定
の範囲内に入るか否かを判断し(105)、予め定めた
所定の範囲内に入れば、そのバッチ内の他の通常のウェ
ハについて、その後の露光、現像工程において、許容さ
れる一定の誤差範囲内にある所定のレジスト線幅が得ら
れると判定して、通常のウェハの露光、現像工程を実施
する(106)。
Then, it is determined whether or not the value of E0 falls within a predetermined range (105). If the value falls within the predetermined range, another normal wafer in the batch is determined. In the subsequent exposure and development steps, it is determined that a predetermined resist line width within an allowable fixed error range is obtained, and a normal wafer exposure and development step is performed (106).

【0069】一方、E0 の値が、所定の範囲外となる場
合は、そのままの条件で露光、現像工程を実施すると所
定のレジスト線幅が得られなくなる可能性が高いため、
通常のウェハに対する露光、現像工程を一時中断する
(107)。
On the other hand, when the value of E0 is out of the predetermined range, if the exposure and development steps are carried out under the same conditions, there is a high possibility that a predetermined resist line width cannot be obtained.
The normal wafer exposure and development steps are temporarily suspended (107).

【0070】なお、この場合の対策としては、処理パラ
メータを適宜変更すること、例えば、E0 の値に応じて
露光量を調節したり、現像時間や温度を調節することに
よって、所定のレジスト線幅を得られるようにすること
ができる。
As a countermeasure in this case, a predetermined resist line width can be obtained by appropriately changing the processing parameters, for example, by adjusting the exposure amount according to the value of E0, or by adjusting the developing time and temperature. Can be obtained.

【0071】かかるパラメータの調節は、作業員の手作
業によって行っても良いし、あるいは、他の実施態様と
しては、E0 の値に応じて露光量あるいは現像時間や温
度を調節するようプログラムされたコンピュータ等を用
いて行うこともできる。例えば、露光装置の露光量を制
御する場合であれば、E0 の値が大きければ露光量を増
やし、逆にE0 の値が小さければ露光量を減少させるよ
う予めプログラムされたコンピュータにより露光装置の
露光量を制御する。
The adjustment of these parameters may be performed manually by an operator, or, in another embodiment, programmed so as to adjust the exposure amount, the development time and the temperature according to the value of E0. It can also be performed using a computer or the like. For example, when controlling the exposure amount of the exposure apparatus, the exposure amount of the exposure apparatus is programmed by a computer which is programmed in advance to increase the exposure amount when the value of E0 is large and to decrease the exposure amount when the value of E0 is small. Control the amount.

【0072】図12のフローチャートは、上記処理パラ
メータの変更を自動的に行う場合の処理を示しており、
E0 の値が、所定の範囲外となる場合は(105)、こ
のE0 の値に応じて処理パラメータの変更を自動的に行
った後(207)、通常のウェハの露光、現像工程を実
施する(106)。
FIG. 12 is a flowchart showing a process for automatically changing the processing parameters.
If the value of E0 is out of the predetermined range (105), the processing parameters are automatically changed according to the value of E0 (207), and then the normal wafer exposure and development steps are performed. (106).

【0073】次に、上記E0 の値の測定方法の一例をよ
り具体的に示す。
Next, an example of a method of measuring the value of E0 will be described more specifically.

【0074】すなわち、この方法では、まず、例えば露
光装置により、露光量を少しずつ増やして、測定用ウェ
ハ上の各領域毎に異なった露光量で露光を行い、この
後、現像して、露光量の少ない領域から順次露光量の多
い領域に向かって、レジストが完全に除去されているか
否かを見ていき、最初に現われたレジストが完全に除去
されている領域の露光量を、E0 とする。つまり、E0
以上の露光量で露光が行われた領域のレジストは、全て
完全に除去された状態となっており、E0 に満たない露
光量で露光が行われた領域のレジストは、、完全に除去
されていない状態となっているため、その境界の領域で
あって、レジストが完全に除去されている領域の露光量
を、E0 とする。
That is, in this method, first, the exposure amount is gradually increased by, for example, an exposure apparatus, and exposure is performed at a different exposure amount for each region on the measurement wafer, and thereafter, development is performed. It is checked whether or not the resist has been completely removed in order from a region with a small amount of light to a region with a large amount of exposure, and the exposure amount of the first appearing region where the resist has been completely removed is defined as E0 and E0. I do. That is, E0
The resist in the region exposed at the above exposure amount is completely removed, and the resist in the region exposed at the exposure amount less than E0 is completely removed. Therefore, the exposure amount in the boundary area where the resist is completely removed is E0.

【0075】なお、レジストが完全に除去されているか
否かの判定は、例えば顕微鏡やテレビカメラなどを用い
た拡大像等を、作業員が観察することによって行うこと
もでき、また、例えば、膜厚測定装置により、各領域毎
にレジスト膜厚を測定し、その膜厚の値が零となったか
否かによって行うこともできる。
The determination as to whether or not the resist has been completely removed can be made by observing an enlarged image using a microscope or a television camera, for example, by an operator. It is also possible to measure the resist film thickness for each region by using a thickness measuring device and determine whether or not the value of the film thickness has become zero.

【0076】以上のように本実施形態によれば、SEM
を用いてレジスト線幅を実測することなく、レジスト処
理工程において形成されるレジスト線幅の評価を行うこ
とができ、SEMを用いてレジスト線幅を実測する場合
に比べて、レジスト線幅の評価を短時間で容易に行うこ
とができ、また、ウェハの汚染も防止することができ
る。
As described above, according to the present embodiment, the SEM
It is possible to evaluate the resist line width formed in the resist processing step without actually measuring the resist line width by using the SEM, and to evaluate the resist line width compared to the case where the resist line width is actually measured by using the SEM. Can be easily performed in a short time, and contamination of the wafer can be prevented.

【0077】図13〜図15は、この実施形態における
レジスト塗布現像処理システム101の全体構成を示し
ており、図13は平面、図14は正面、図15は背面を
各々示している。
FIGS. 13 to 15 show the overall structure of the resist coating and developing system 101 in this embodiment. FIG. 13 shows a plan view, FIG. 14 shows a front view, and FIG.

【0078】これらの図に示すように、塗布現像処理シ
ステム101は、ウェハWを複数収容したウェハカセッ
トCRを外部との間で搬入・搬出したり、ウェハカセッ
トCRに対してウェハWの出し入れを行うためのカセッ
トステーション110と、ウェハWに対して1枚ずつ所
定の処理を施す枚葉式の各種処理装置を縦横多段に重ね
て配置して構成される処理ステーション111と、図示
しない外部の露光装置との間でウェハWの受け渡しを行
うインターフェース部112とを一体に組み合わせて構
成される。
As shown in these figures, the coating and developing system 101 carries in / out a wafer cassette CR accommodating a plurality of wafers W with respect to the outside, or takes in / out a wafer W from / to the wafer cassette CR. A cassette station 110 for performing the processing, a processing station 111 configured by arranging various types of single-wafer processing apparatuses for performing predetermined processing on the wafers W one by one in vertical and horizontal directions, and an external exposure (not shown). The interface unit 112 that transfers the wafer W to and from the apparatus is integrally combined.

【0079】カセットステーション110内には、図1
3に示すように、カセット載置台120上の各カセット
位置決め部120aに、複数例えば4個までのウェハカ
セットCRが各々のウェハ出入口を処理ステーション1
11側に向けてX方向に一列に載置され、これらウェハ
カセットCRに対して、X方向及びZ方向(ウェハカセ
ットCR内のウェハ配列方向:垂直方向)に移動自在に
設けられたウェハ搬送装置121がウェハWの出し入れ
操作を行うようになっている。さらにこのウェハ搬送装
置121は、θ方向に回転自在に構成され、処理ステー
ション111側のウェハ搬送装置122に対してウェハ
Wの受け渡しを行うことも可能である。処理ステーショ
ン111内のウェハ搬送装置122は、カセットステー
ション110とインターフェース部112との間をY方
向に移動自在に構成され、またZ方向(垂直方向)に上
下動できると共に、θ方向に回転し得るように構成され
ている。
In the cassette station 110, FIG.
As shown in FIG. 3, a plurality of wafer cassettes CR, for example, up to four wafer cassettes are connected to the processing station 1 at each cassette positioning portion 120a on the cassette mounting table 120.
The wafer transfer device is placed in a line in the X direction toward the 11 side, and is provided movably with respect to these wafer cassettes CR in the X direction and the Z direction (the direction of arranging wafers in the wafer cassette CR: vertical direction). Reference numeral 121 denotes an operation for taking the wafer W in and out. Further, the wafer transfer device 121 is configured to be rotatable in the θ direction, and can transfer the wafer W to the wafer transfer device 122 on the processing station 111 side. The wafer transfer device 122 in the processing station 111 is configured to be movable in the Y direction between the cassette station 110 and the interface unit 112, can move up and down in the Z direction (vertical direction), and can rotate in the θ direction. It is configured as follows.

【0080】そして処理ステーション111内の各処理
装置は、ウェハ搬送装置122の搬送路を挟んで二分し
て配置されている。ここで上下1列分の処理装置の集合
を一つの処理装置群と呼ぶと、処理ステーション111
内の各処理装置は例えば8つの処理装置群G1 、G2 、
G3 、G4 、G5 、G6 、G7 、G8 に分けられ、その
うち第2、第4、第6及び第8の処理装置群G2 、G4
、G6 、G8 は、図14に示したように例えばシステ
ム正面側に配置され、第1、第3、第5及び第7の処理
装置群G1 、G3 、G5 、G7 は、図15に示したよう
に例えばシステム背面側に配置されている。
Each processing apparatus in the processing station 111 is divided into two parts with the transfer path of the wafer transfer apparatus 122 interposed therebetween. Here, a set of processing apparatuses for one row in the upper and lower rows is referred to as one processing apparatus group.
Each of the processing units is, for example, eight processing unit groups G1, G2,
G3, G4, G5, G6, G7, G8, and the second, fourth, sixth and eighth processing unit groups G2, G4
, G6, G8 are arranged, for example, on the front side of the system as shown in FIG. 14, and the first, third, fifth and seventh processing unit groups G1, G3, G5, G7 are shown in FIG. For example, it is arranged on the back side of the system.

【0081】図14に示すように、第2、第4、第6及
び第8の処理装置群G2 、G4 、G6 、G8 は各々、上
下2段に重ねられたレジスト液塗布装置(COT)及び
現像処理装置(DEV)を含んでいる。
As shown in FIG. 14, the second, fourth, sixth and eighth processing unit groups G2, G4, G6, G8 are respectively composed of a resist liquid coating unit (COT) and A development processing device (DEV) is included.

【0082】また、図15に示すように、第1の処理装
置群G1 は、ウェハW上のレジストの膜厚測定を行うレ
ジスト膜厚測定装置(RTM)、ウェハWの位置合わせ
を行うアライメント装置(ALIM)、露光処理前のウ
ェハWに対して加熱処理を行うプリベーキング装置(P
REBAKE)及び露光処理後のウェハWに対して加熱
処理を行うポストベーキング装置(POBAKE)が、
下から順に重ねて配置されている。
As shown in FIG. 15, a first processing unit group G1 includes a resist film thickness measuring device (RTM) for measuring the film thickness of the resist on the wafer W, and an alignment device for positioning the wafer W. (ALIM), a pre-baking device (P) for performing a heating process on the wafer W before the exposure process.
REBAKE) and a post-baking device (POBAKE) for performing a heating process on the wafer W after the exposure process,
They are arranged in order from the bottom.

【0083】さらに、第3の処理装置G3 は、ウェハW
の冷却処理を行う冷却処理装置(COL)、ウェハW表
面に塗布されたレジスト液の定着性を高めるための疎水
化処理を行う疎水化処理装置(AD)、露光処理前のウ
ェハWに対して加熱処理を行うプリベーキング装置(P
REBAKE)及び露光処理後のウェハWに対して加熱
処理を行うポストベーキング装置(POBAKE)が、
下から順に重ねて設けられている。
Further, the third processing apparatus G 3
Processing device (COL) for performing a cooling process, a hydrophobic processing device (AD) for performing a hydrophobic process for improving the fixability of a resist solution applied to the surface of the wafer W, and a wafer W before the exposure process. Pre-baking device (P
REBAKE) and a post-baking device (POBAKE) for performing a heating process on the wafer W after the exposure process,
They are provided in order from the bottom.

【0084】第5及び第7の処理装置G5 、G7 は、ウ
ェハWの冷却処理を行う冷却処理装置(COL)、イク
ステンション・クーリング装置(EXTCOL)、露光
処理前のウェハWに対して加熱処理を行うプリベーキン
グ装置(PREBAKE)及び露光処理後のウェハWに
対して加熱処理を行うポストベーキング装置(POBA
KE)が、下から順に重ねて配置されている。
The fifth and seventh processing units G5 and G7 are a cooling processing unit (COL) for performing a cooling process on the wafer W, an extension cooling unit (EXTCOL), and a heating process for the wafer W before the exposure process. Prebaking device (PREBAKE) for performing the heating process and postbaking device (POBAKE) for performing the heating process on the wafer W after the exposure process
KE) are arranged in order from the bottom.

【0085】このように処理温度の低い冷却処理装置
(COL)、イクステンション・クーリング装置(EX
TCOL)を下段に配置し、処理温度の高いプリベーキ
ング装置(PREBAKE)、ポストベーキング装置
(POBAKE)及び疎水化処理装置(AD)を上段に
配置することで、装置間の熱的な相互干渉を少なくする
ことができる。
As described above, the cooling processing unit (COL) and the extension cooling unit (EX) having a low processing temperature are used.
TCOL) is arranged at the lower stage, and a pre-baking device (PREBAKE), a post-baking device (POBAKE) and a hydrophobizing device (AD) having a high processing temperature are arranged at the upper stage to reduce thermal interference between the devices. Can be reduced.

【0086】インターフェース部112には、可搬性の
ピックアップカセットCR、定置型のバッファカセット
BR、周辺露光装置123、ウェハ搬送装置124が設
けられている。ウェハ搬送装置124は、X方向及びZ
方向に移動して上記両カセットCR、BR及び周辺露光
装置123に対するウェハWの受け渡し動作を行う。ま
た、ウェハ搬送装置124はθ方向にも回転自在とさ
れ、処理ステーション111側のウェハ搬送装置122
及び外部の露光装置側のウェハ受け渡し台(図示せず)
との間でのウェハWの受け渡しを行うように構成されて
いる。
The interface section 112 is provided with a portable pickup cassette CR, a stationary buffer cassette BR, a peripheral exposure device 123, and a wafer transfer device 124. The wafer transfer device 124 has a
Then, the wafer W is transferred to the cassettes CR and BR and the peripheral exposure device 123. Further, the wafer transfer device 124 is also rotatable in the θ direction, and the wafer transfer device 122 on the processing station 111 side can be rotated.
And a wafer transfer table (not shown) on the external exposure apparatus side
The transfer of the wafer W is performed between them.

【0087】現像処理装置(DEV)は、処理容器内
に、ウェハWを真空吸着により水平に保持しながら回転
するように構成されたスピンチャックと、このスピンチ
ャックの外側及び下部側を包囲すると共に底部に排液口
と排気口を設けたカップと、現像液をスピンチャック上
に保持されるウェハWの表面へ吐出する現像液供給ノズ
ル等から構成される。
The developing apparatus (DEV) surrounds the spin chuck, which is configured to rotate while holding the wafer W horizontally by vacuum suction in a processing container, and surrounds the outer and lower sides of the spin chuck. It comprises a cup having a drain port and an exhaust port at the bottom, a developer supply nozzle for discharging the developer to the surface of the wafer W held on the spin chuck, and the like.

【0088】また、レジスト液塗布装置(COT)は、
処理容器内に、ウェハWを真空吸着により水平に保持し
ながら回転するように構成されたスピンチャックと、こ
のスピンチャックの外側及び下部側を包囲すると共に底
部に排液口と排気口を設けたカップと、レジスト液をス
ピンチャック上に保持されるウェハWの表面へ吐出する
レジスト液供給ノズル等から構成される。
Further, the resist liquid coating device (COT)
A spin chuck configured to rotate while holding the wafer W horizontally by vacuum suction in a processing container, and a drain port and an exhaust port are provided at the bottom while surrounding the outer and lower sides of the spin chuck. It comprises a cup, a resist liquid supply nozzle for discharging the resist liquid onto the surface of the wafer W held on the spin chuck, and the like.

【0089】次に、この塗布現像処理システムによるウ
ェハWの処理の流れについて説明する。
Next, the flow of processing of the wafer W by the coating and developing system will be described.

【0090】まずカセットステーション110におい
て、ウェハ搬送装置121がカセット載置台120上の
処理前のウェハWを収容しているカセットCRにアクセ
スして、そのカセットCRから1枚のウェハWを取り出
す。その後、ウェハ搬送装置121は、処理ステーショ
ン111側のウェハ搬送装置122にウェハWを受け渡
す。ウェハ搬送装置122は、第1の処理装置群G1 の
アライメント装置(ALIM)まで移動し、このアライ
メント装置(ALIM)内にウェハWを移載する。
First, in the cassette station 110, the wafer transfer device 121 accesses the cassette CR containing the unprocessed wafers W on the cassette mounting table 120, and takes out one wafer W from the cassette CR. Thereafter, the wafer transfer device 121 transfers the wafer W to the wafer transfer device 122 on the processing station 111 side. The wafer transfer device 122 moves to the alignment device (ALIM) of the first processing device group G1, and transfers the wafer W into the alignment device (ALIM).

【0091】なお、この時、例えば、あるバッチの最初
のウェハに処理を施す場合等であって、前述したE0 を
測定する場合には、まず測定用ウェハが選択される。
At this time, for example, when processing is performed on the first wafer of a certain batch, and when the aforementioned E0 is measured, a measurement wafer is first selected.

【0092】アライメント装置(ALIM)にてウェハ
Wのオリフラ合わせ及びセンタリングが終了すると、ウ
ェハ搬送装置122は、アライメントが完了したウェハ
Wを受け取り、第3の処理装置群G3 の疎水化処理装置
(AD)にウェハWを搬入して疎水化処理を行う。
When alignment and centering of the wafer W is completed by the alignment device (ALIM), the wafer transfer device 122 receives the aligned wafer W, and the hydrophobic processing device (AD) of the third processing device group G3. ), The wafer W is carried in to perform the hydrophobic treatment.

【0093】疎水化処理を終えたウェハWは、その後ウ
ェハ搬送装置122によって所定のプリベーキング装置
(PREBAKE)に搬入されてベーキングされた後、
所定の冷却処理装置(COL)に搬入される。この冷却
処理装置(COL)内でウェハWはレジスト塗布処理前
の設定温度例えば23℃まで冷却される。冷却処理が終
了すると、ウェハWはウェハ搬送装置122によって所
定のレジスト液塗布装置(COT)へ搬入され、このレ
ジスト液塗布装置(COT)内でウェハW表面へのレジ
スト塗布が行われる。
The wafer W that has been subjected to the hydrophobizing treatment is thereafter carried into a predetermined pre-baking device (PREBAKE) by the wafer transfer device 122 and baked.
It is carried into a predetermined cooling processing unit (COL). The wafer W is cooled to a set temperature before the resist coating processing, for example, 23 ° C. in the cooling processing apparatus (COL). When the cooling process is completed, the wafer W is carried into the predetermined resist liquid coating device (COT) by the wafer transfer device 122, and the resist is coated on the surface of the wafer W in the resist liquid coating device (COT).

【0094】レジスト塗布処理が終了すると、ウェハ搬
送装置122はウェハWをレジスト液塗布装置(CO
T)から取り出し、再び所定のプリベーキング装置(P
REBAKE)内へ搬入する。ウェハWはここで所定温
度例えば100℃で所定時間加熱され、これによりウェ
ハW上の塗布膜から残存溶剤が蒸発除去される。
When the resist coating process is completed, the wafer transfer device 122 transfers the wafer W to the resist liquid coating device (CO
T) and taken out again from the predetermined pre-baking device (P
REBAKE). Here, the wafer W is heated at a predetermined temperature, for example, 100 ° C. for a predetermined time, whereby the residual solvent is evaporated and removed from the coating film on the wafer W.

【0095】この後、ウェハWはウェハ搬送装置122
によってイクステンション・クーリング装置(EXTC
OL)へ搬入される。ここで、ウェハWは、次工程つま
り周辺露光装置123による周辺露光処理に適した温度
例えば24℃まで冷却される。 この後、ウェハ搬送装
置122はウェハWをインターフェース部112のウェ
ハ搬送装置124に受け渡す。ウェハ搬送装置124は
当該ウェハWをインターフェース部112内の周辺露光
装置123へ搬入する。ここで、ウェハWはその周縁部
に露光処理を受ける。
Thereafter, the wafer W is transferred to the wafer transfer device 122
Extension cooling system (EXTC
OL). Here, the wafer W is cooled to a temperature suitable for the next step, that is, peripheral exposure processing by the peripheral exposure apparatus 123, for example, 24 ° C. Thereafter, the wafer transfer device 122 transfers the wafer W to the wafer transfer device 124 of the interface unit 112. The wafer transfer device 124 carries the wafer W into the peripheral exposure device 123 in the interface unit 112. Here, the wafer W is subjected to an exposure process on its peripheral portion.

【0096】周辺露光処理が終了すると、ウェハ搬送装
置124は、ウェハWを周辺露光装置123から搬出
し、隣接する露光装置側のウェハ受取り台(図示せず)
へ移行する。この場合、ウェハWは、露光装置へ渡され
る前に、必要に応じてバッファカセットBRに一時的に
格納されることもある。
When the peripheral exposure processing is completed, the wafer transfer device 124 unloads the wafer W from the peripheral exposure device 123, and the wafer receiving table (not shown) on the adjacent exposure device side.
Move to. In this case, the wafer W may be temporarily stored in the buffer cassette BR as necessary before being transferred to the exposure apparatus.

【0097】この後、露光装置により、レティクルを用
いた露光が行われる。この際、露光装置に受け渡された
ウェハが、前述した測定用ウェハの場合は、レティクル
を用いた一定露光量に代えて、露光量を露光領域毎に変
えたE0 測定のための露光が行われる。すなわち、例え
ば、予測されるE0 値を中心として、その上下に一定の
幅を設け、予測されるE0 値より低い露光エネルギーが
露光される露光量から次第に露光量が増加するようにし
て露光領域毎に異なった露光量で露光を行う。露光装置
でのウェハW全面への露光処理が完了して、ウェハWが
露光装置側のウェハ受取り台に戻されると、インターフ
ェース部112のウェハ搬送装置124はそのウェハ受
取り台へアクセスして露光処理後のウェハWを受け取
り、処理ステーション111側のウェハ搬送装置122
に受け渡される。なおこの場合、ウェハWを、処理ステ
ーション111側へ渡される前に、必要に応じてインタ
ーフェース部112内のバッファカセットBRに一時的
に格納するようにしてもよい。
Thereafter, exposure using a reticle is performed by an exposure apparatus. At this time, if the wafer transferred to the exposure apparatus is the above-described wafer for measurement, exposure for E0 measurement in which the exposure amount is changed for each exposure area is performed instead of the constant exposure amount using the reticle. Will be That is, for example, a predetermined width is provided above and below the predicted E0 value, and the exposure amount is gradually increased from the exposure amount at which the exposure energy lower than the predicted E0 value is exposed. Are exposed with different exposure amounts. When the exposure processing on the entire surface of the wafer W in the exposure apparatus is completed and the wafer W is returned to the wafer receiving table on the exposure apparatus side, the wafer transfer device 124 of the interface unit 112 accesses the wafer receiving table to perform the exposure processing. The wafer transfer device 122 on the processing station 111 side receives the subsequent wafer W.
Passed to. In this case, the wafer W may be temporarily stored in the buffer cassette BR in the interface unit 112 as necessary before being transferred to the processing station 111 side.

【0098】ウェハ搬送装置122は、受け取ったウェ
ハWを所定のポストベーキング装置(POBAKE)に
搬入する。このポストベーキング装置(POBAKE)
において、ウェハWは熱板上に載置されて所定時間ベー
ク処理される。
The wafer transfer device 122 carries the received wafer W into a predetermined post-baking device (POBAKE). This post baking device (POBAKE)
In, the wafer W is placed on a hot plate and baked for a predetermined time.

【0099】この後、ベーキングされたウェハWはウェ
ハ搬送装置122によっていずれかの冷却処理装置(C
OL)に搬入され、この冷却処理装置(COL)内でウ
ェハWは常温に戻される。続いて、ウェハWはウェハ搬
送装置122によって所定の現像処理装置(DEV)に
搬入される。
Thereafter, the baked wafer W is transferred to any one of the cooling processing units (C
OL), and the wafer W is returned to room temperature in the cooling processing apparatus (COL). Subsequently, the wafer W is carried into a predetermined development processing device (DEV) by the wafer transfer device 122.

【0100】この現像処理装置(DEV)内では、ウェ
ハWはスピンチャックの上に載せられ、例えばスプレー
方式により、ウェハW表面のレジストに現像液が均一に
かけられて現像が行われる。そして現像後、ウェハW表
面にリンス液がかけられ、現像液の洗い落しが行われ、
この後ウェハWが高速回転されて乾燥が行われる。
In this developing apparatus (DEV), the wafer W is placed on a spin chuck, and a developing solution is uniformly applied to a resist on the surface of the wafer W by, for example, a spray method to perform development. After the development, a rinsing liquid is applied to the surface of the wafer W, and the developing liquid is washed off.
Thereafter, the wafer W is rotated at a high speed to perform drying.

【0101】この後、ウェハ搬送装置122は、ウェハ
Wを現像処理装置(DEV)から搬出して、次に所定の
ポストベーキング装置(POBAKE)へウェハWを再
び搬入する。このポストベーキング装置(POBAK
E)において、ウェハWは例えば100℃で所定時間だ
け加熱され、これによって、現像で膨潤したレジストが
硬化し、耐薬品性が向上する。
Thereafter, the wafer transfer device 122 unloads the wafer W from the developing device (DEV), and then transfers the wafer W again to a predetermined post-baking device (POBAKE). This post-baking device (POBAK)
In E), the wafer W is heated at, for example, 100 ° C. for a predetermined time, whereby the resist swollen by development is hardened, and the chemical resistance is improved.

【0102】ポストベーキングが終了すると、ウェハ搬
送装置122はウェハWをポストベーキング装置(PO
BAKE)から搬出し、次に所定の冷却処理装置(CO
L)へウェハWを搬入して冷却処理が行われる。
When the post-baking is completed, the wafer transfer device 122 transfers the wafer W to the post-baking device (PO).
BAKE), and then a predetermined cooling processing unit (CO
The wafer W is loaded into L) to perform a cooling process.

【0103】ここでウェハWが常温に戻った後、受け取
ったウェハWが通常のウェハの場合は、ウェハ搬送装置
122は、ウェハWをカセットステーション110側の
ウェハ搬送装置121に受け渡し、ウェハ搬送装置12
1は、受け取ったウェハWをカセット載置台120上の
処理済みウェハ収容用のカセットCRの所定のウェハ収
容溝に入れる。一方、受け取ったウェハWが測定用ウェ
ハの場合は、ウェハ搬送装置122は、この受け取った
測定用ウェハを、レジスト膜厚測定装置(RTM)に搬
入する。
Here, after the wafer W has returned to normal temperature, if the received wafer W is a normal wafer, the wafer transfer device 122 transfers the wafer W to the wafer transfer device 121 on the cassette station 110 side, and the wafer transfer device 122 12
1 places the received wafer W into a predetermined wafer accommodating groove of the cassette CR for accommodating the processed wafer on the cassette mounting table 120. On the other hand, if the received wafer W is a measurement wafer, the wafer transfer device 122 carries the received measurement wafer into a resist film thickness measurement device (RTM).

【0104】そして、レジスト膜厚測定装置(RTM)
では、前述した測定用ウェハの露光領域毎に、露光量の
少ない領域から多い領域に向けて順次レジスト膜厚を測
定して、そのレジスト膜厚が初めて零となる露光領域を
見つけ出す。
Then, a resist film thickness measuring device (RTM)
Then, for each exposure region of the measurement wafer described above, the resist film thickness is sequentially measured from a region having a small exposure amount to a region having a large exposure amount, and an exposure region where the resist film thickness becomes zero for the first time is found.

【0105】このレジスト膜厚が初めて零となる露光領
域の前述した露光装置による露光量(露光エネルギー)
がE0 と認識され、このE0 の値が、予め定められてい
る所定の範囲内にある場合は、この後、通常のウェハの
処理は実行される。一方、上記E0 の値が所定の範囲外
となる場合には、この後、通常のウェハの処理が一旦停
止される。そして、作業員による処理パラメータの変更
等が行われた後、通常のウェハの処理が実行される。
Exposure amount (exposure energy) of the above-described exposure apparatus in the exposure region where the resist film thickness becomes zero for the first time.
Is recognized as E0, and if the value of E0 is within a predetermined range, normal wafer processing is thereafter performed. On the other hand, if the value of E0 is out of the predetermined range, the normal wafer processing is temporarily stopped thereafter. Then, after the processing parameters are changed by an operator, normal wafer processing is executed.

【0106】なお、この際に、E0 の値によって、例え
ば、露光装置の露光時間、現像装置の現像時間等を適宜
変更するよう予めプログラムしておき、自動的に処理パ
ラメータの変更を行って、通常のウェハの処理を連続的
に行うようにしてもよい。
At this time, it is programmed in advance to appropriately change, for example, the exposure time of the exposure device, the development time of the developing device, etc. according to the value of E0, and the processing parameters are automatically changed. Ordinary wafer processing may be performed continuously.

【0107】以上のとおり、本実施の形態によれば、S
EMを用いたレジスト線幅の測定を行うことなく、レジ
スト線幅の評価を行うことができる。また、E0 の値に
よって、処理パラメータを自動的に変更するよう構成す
ることにより、作業員による操作を介在させることな
く、自動的にレジスト線幅の高精度な制御を行うことが
できる。また、このような条件出しを最初に説明した実
施形態に適用すれば、より高精度なレジスト線幅の制御
を行うことができる。
As described above, according to the present embodiment, S
The resist line width can be evaluated without measuring the resist line width using EM. Further, by configuring the processing parameters to be automatically changed according to the value of E0, highly accurate control of the resist line width can be automatically performed without the intervention of an operator. Further, if such conditions are applied to the embodiment described first, more accurate control of the resist line width can be performed.

【0108】なお、本発明は上述した実施形態に限定さ
れず、その技術思想の範囲内で様々な変形が可能であ
る。
The present invention is not limited to the above-described embodiment, and various modifications are possible within the scope of the technical idea.

【0109】例えば、上述した例では、レジストが完全
に除去されているか否かの判定を、膜厚測定によって行
う場合について説明したが、例えば顕微鏡やテレビカメ
ラなどを用いた拡大像等を、作業員が観察することによ
ってレジストが完全に除去されているか否かの判定を行
ってもよい。
For example, in the above-described example, the case where the determination as to whether or not the resist has been completely removed is performed by measuring the film thickness has been described. Whether the resist has been completely removed or not may be determined by observation by a member.

【0110】また、例えば基板は上記ウェハWに限るも
のでなく、LCD基板、ガラス基板、CD基板、フォト
マスク、プリント基板、セラミック基板等でも可能であ
る。
Further, for example, the substrate is not limited to the wafer W, but may be an LCD substrate, a glass substrate, a CD substrate, a photomask, a printed substrate, a ceramic substrate, or the like.

【0111】以上説明した実施形態は、あくまでも本発
明の技術的内容を明らかにする意図のものにおいて、本
発明はそうした具体例にのみ限定して狭義に解釈される
ものではなく、本発明の精神と特許請求の範囲に述べる
範囲で、いろいろと変更して実施することができるもの
である。
The embodiments described above are intended to clarify the technical contents of the present invention, and the present invention is not limited to such specific examples and is not interpreted in a narrow sense. The present invention can be implemented with various modifications within the scope described in the claims.

【0112】[0112]

【発明の効果】以上説明したとおり、本発明のレジスト
処理方法およびレジスト処理装置によれば、レジストパ
ターンの高精度な線幅制御が可能となる。
As described above, according to the resist processing method and resist processing apparatus of the present invention, highly accurate line width control of a resist pattern can be performed.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の一実施形態に係るレジスト塗布現像シ
ステムを示す平面図。
FIG. 1 is a plan view showing a resist coating and developing system according to an embodiment of the present invention.

【図2】図1に示したレジスト塗布現像システムの正面
図。
FIG. 2 is a front view of the resist coating and developing system shown in FIG.

【図3】図1に示したレジスト塗布現像システムの背面
図。
FIG. 3 is a rear view of the resist coating and developing system shown in FIG. 1;

【図4】図1に示したレジスト塗布現像システムにおけ
る空気の流れを示す正面図。
FIG. 4 is a front view showing a flow of air in the resist coating and developing system shown in FIG. 1;

【図5】図3に示したアライメント装置の概略構成を示
す正面図。
FIG. 5 is a front view showing a schematic configuration of the alignment apparatus shown in FIG. 3;

【図6】図1に示したレジスト塗布現像システムの制御
系の構成を示すブロック図。
FIG. 6 is a block diagram showing a configuration of a control system of the resist coating and developing system shown in FIG.

【図7】図6に示した記憶部が有するライブラリィーの
内容を示す図。
FIG. 7 is a view showing the contents of a library included in the storage unit shown in FIG. 6;

【図8】下地膜の光の反射率の相違を説明するための
図。
FIG. 8 is a diagram for explaining a difference in light reflectance of a base film.

【図9】下地膜の光の反射率の相違を説明するための
図。
FIG. 9 is a diagram for explaining a difference in light reflectance of a base film.

【図10】レジスト膜厚とE0 との関係を示すグラフ。FIG. 10 is a graph showing a relationship between a resist film thickness and E0.

【図11】本発明の他の実施形態に係るフローチャー
ト。
FIG. 11 is a flowchart according to another embodiment of the present invention.

【図12】本発明の更に別の実施形態に係るフローチャ
ート。
FIG. 12 is a flowchart according to still another embodiment of the present invention.

【図13】他の実施形態に係るレジスト塗布現像処理シ
ステムの全体構成を示す平面図。
FIG. 13 is a plan view showing the overall configuration of a resist coating and developing system according to another embodiment.

【図14】図13に示したレジスト塗布現像処理システ
ムの正面図。
14 is a front view of the resist coating and developing system shown in FIG.

【図15】図13に示したレジスト塗布現像処理システ
ムの背面図。
FIG. 15 is a rear view of the resist coating and developing processing system shown in FIG. 13;

【符号の説明】[Explanation of symbols]

1……レジスト塗布現像システム 10……カセットステーション 11……処理ステーション 12……インターフェース部 13……露光装置 21……ウェハ搬送装置 22……搬送装置 25……ウェハ搬送装置 34……反射率測定装置 35……制御部 36……記憶部 W……ウェハ COT……レジスト液塗布装置 DEV……現像処理装置 ALIM……アライメント装置 DESCRIPTION OF SYMBOLS 1 ... Resist coating / developing system 10 ... Cassette station 11 ... Processing station 12 ... Interface unit 13 ... Exposure device 21 ... Wafer transfer device 22 ... Transfer device 25 ... Wafer transfer device 34 ... Reflectance measurement Apparatus 35 Control section 36 Storage section W Wafer COT Resist coating apparatus DEV Developing apparatus ALIM Alignment apparatus

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/30 569Z ──────────────────────────────────────────────────続 き Continued on the front page (51) Int.Cl. 7 Identification symbol FI Theme coat ゛ (Reference) H01L 21/30 569Z

Claims (18)

【特許請求の範囲】[Claims] 【請求項1】 下地膜が形成された基板上に所定のレジ
ストパターンを形成するレジスト処理方法において、 (a)前記下地膜の光の反射率を検出する工程と、 (b)第1の条件に基づき、前記基板上にレジストを塗
布する工程と、 (c)第2の条件に基づき、前記レジストが塗布された
基板を露光する工程と、 (d)第3の条件に基づき、前記露光された基板を現像
する工程と、 (e)前記検出された反射率に基づき、前記第1の条
件、前記第2の条件及び前記第3の条件のうち、少なく
とも1つを制御する工程とを具備することを特徴とする
レジスト処理方法。
1. A resist processing method for forming a predetermined resist pattern on a substrate on which a base film has been formed, comprising: (a) detecting a light reflectance of the base film; and (b) first condition. Applying a resist on the substrate based on the following conditions: (c) exposing the substrate coated with the resist based on a second condition; and (d) exposing the exposed substrate based on a third condition. (E) controlling at least one of the first condition, the second condition, and the third condition based on the detected reflectance. A resist processing method.
【請求項2】 請求項1のレジスト処理方法において、 前記反射率と前記第1の条件、前記第2の条件及び前記
第3の条件のうち少なくとも1つとの関係をあらかじめ
定める工程を更に具備し、 前記工程(e)が、前記関係に基づき前記第1の条件、
前記第2の条件及び前記第3の条件のうち、少なくとも
1つを制御することを特徴とするレジスト処理方法。
2. The resist processing method according to claim 1, further comprising a step of preliminarily determining a relationship between said reflectance and at least one of said first condition, said second condition and said third condition. The step (e) is performed based on the first condition based on the relationship;
A resist processing method comprising controlling at least one of the second condition and the third condition.
【請求項3】 請求項1のレジスト処理方法において、 前記工程(b)が、前記基板を回転させながら基板上に
レジスト液を供給する工程を含み、 前記第1の条件が、前記基板を回転するときの回転数ま
たは加速度であることを特徴とするレジスト処理方法。
3. The resist processing method according to claim 1, wherein the step (b) includes a step of supplying a resist solution onto the substrate while rotating the substrate, wherein the first condition includes rotating the substrate. A resist processing method characterized in that the number of rotations or the acceleration at the time of performing is performed.
【請求項4】 請求項1のレジスト処理方法において、 前記第2の条件が、前記基板を露光するときの露光時間
であることを特徴とするレジスト処理方法。
4. The resist processing method according to claim 1, wherein the second condition is an exposure time for exposing the substrate.
【請求項5】 請求項3のレジスト処理方法において、 前記第3の条件が、前記基板を現像する時の現像時間で
あることを特徴とするレジスト処理方法。
5. The resist processing method according to claim 3, wherein the third condition is a development time when the substrate is developed.
【請求項6】 所定の下地膜が形成された基板にレジス
トを塗布し、露光、現像して所定のレジストパターンを
形成するレジスト処理方法において、 (g)前記基板と共に、測定用基板に所定の前記下地膜
を形成する工程と、 (h)前記測定用基板にレジストを塗布する工程と、 (i)前記測定用基板に露光を行い、レジストが完全に
感光されるのに必要で十分な露光エネルギーを求める工
程と、 (j)前記求められた露光エネルギーに基づき、所定の
処理を実行する工程とを具備することを特徴とするレジ
スト処理方法。
6. A resist processing method for applying a resist to a substrate on which a predetermined base film is formed, exposing and developing to form a predetermined resist pattern, comprising: (g) forming a predetermined resist pattern on the measurement substrate together with the substrate; (H) applying a resist to the measurement substrate; and (i) exposing the measurement substrate so that the resist is completely exposed to light. A resist processing method comprising: obtaining an energy; and (j) executing a predetermined process based on the obtained exposure energy.
【請求項7】 請求項6のレジスト処理方法において、 前記工程(j)が、前記露光エネルギーの値が予め定め
られた所定の範囲内か否かによって前記被処理基板の処
理を実行するか否かを判定する工程を含むことを特徴と
するレジスト処理方法。
7. The resist processing method according to claim 6, wherein in the step (j), the processing of the substrate to be processed is performed depending on whether or not the value of the exposure energy is within a predetermined range. A resist processing method, comprising the step of:
【請求項8】 請求項6のレジスト処理方法において、 前記工程(j)が、前記露光エネルギーの値に応じて、
処理条件を変更して前記基板の露光、現像工程を実行す
る工程を含むことを特徴とするレジスト処理方法。
8. The resist processing method according to claim 6, wherein the step (j) is performed according to a value of the exposure energy.
A resist processing method including a step of performing exposure and development steps of the substrate by changing processing conditions.
【請求項9】 請求項6のレジスト処理方法において、 前記工程(i)が、前記測定用基板の所定の露光位置毎
に露光量を変えて複数回露光を行い、この後現像して、
前記露光エネルギーを求める工程を含むことを特徴とす
るレジスト処理方法。
9. The resist processing method according to claim 6, wherein in the step (i), a plurality of exposures are performed by changing an exposure amount for each predetermined exposure position of the measurement substrate, and thereafter, development is performed.
A resist processing method comprising a step of obtaining the exposure energy.
【請求項10】 請求項9のレジスト処理方法におい
て、 露光時間を変えることによって前記露光量を変えること
を特徴とするレジスト処理方法。
10. The resist processing method according to claim 9, wherein the exposure amount is changed by changing an exposure time.
【請求項11】 請求項6のレジスト処理方法におい
て、 前記工程(i)が、前記現像後に、膜厚測定装置によっ
てレジストの膜厚を測定し、膜厚が零となる位置の露光
エネルギーから、前記露光エネルギーを求める工程を含
むことを特徴とするレジスト処理方法。
11. The resist processing method according to claim 6, wherein, in the step (i), after the development, a film thickness of the resist is measured by a film thickness measuring device, and the exposure energy at a position where the film thickness becomes zero is obtained. A resist processing method comprising a step of obtaining the exposure energy.
【請求項12】 請求項1のレジスト処理方法におい
て、 (m)前記基板と共に、測定用基板に所定の前記下地膜
を形成する工程と、(n)前記測定用基板にレジストを
塗布する工程と、 (o)前記測定用基板に露光を行い、レジストが完全に
感光されるのに必要で十分な露光エネルギーを求める工
程と、 (p)前記求められた露光エネルギーに基づき、所定の
処理を実行する工程とを更に具備することを特徴とする
レジスト処理方法。
12. The resist processing method according to claim 1, wherein: (m) a step of forming a predetermined base film on the measurement substrate together with the substrate; and (n) applying a resist to the measurement substrate. (O) exposing the measurement substrate to obtain exposure energy necessary and sufficient to completely expose the resist; and (p) executing a predetermined process based on the obtained exposure energy. A resist processing method, further comprising the step of:
【請求項13】 下地膜が形成された基板上に所定のレ
ジストパターンを形成するレジスト処理装置において、 前記下地膜の光の反射率を検出する手段と、 第1の条件に基づき、前記基板上にレジストを塗布する
手段と、 第2の条件に基づき、前記レジストが塗布された基板を
露光する手段と、 第3の条件に基づき、前記露光された基板を現像する手
段と、 前記検出された反射率に基づき、前記第1の条件、前記
第2の条件及び前記第3の条件のうち、少なくとも1つ
を制御する制御手段とを具備することを特徴とするレジ
スト処理装置。
13. A resist processing apparatus for forming a predetermined resist pattern on a substrate on which a base film has been formed, wherein: a means for detecting a reflectance of light of the base film; Means for applying a resist on the substrate; means for exposing the substrate on which the resist is applied based on a second condition; means for developing the exposed substrate based on a third condition; A resist processing apparatus comprising: a control unit that controls at least one of the first condition, the second condition, and the third condition based on reflectance.
【請求項14】 請求項13のレジスト処理装置におい
て、 前記反射率と前記第1の条件、前記第2の条件及び前記
第3の条件のうち少なくとも1つとの関係を記憶する記
憶手段を更に具備し、 前記制御手段が、前記記憶手段に記憶された関係に基づ
き前記第1の条件、前記第2の条件及び前記第3の条件
のうち、少なくとも1つを制御することを特徴とするレ
ジスト処理装置。
14. The resist processing apparatus according to claim 13, further comprising storage means for storing a relationship between the reflectance and at least one of the first condition, the second condition, and the third condition. Resist processing wherein the control means controls at least one of the first condition, the second condition, and the third condition based on the relationship stored in the storage means. apparatus.
【請求項15】 請求項14のレジスト処理装置におい
て、 前記塗布する手段が、前記基板を回転させながら基板上
にレジスト液を供給するものであり、 前記第1の条件が、前記基板を回転する時の回転数また
は加速度であることを特徴とするレジスト処理装置。
15. The resist processing apparatus according to claim 14, wherein the applying unit supplies the resist liquid onto the substrate while rotating the substrate, and the first condition is to rotate the substrate. A resist processing apparatus characterized by a rotation speed or an acceleration at the time.
【請求項16】 請求項14のレジスト処理装置におい
て、 前記第2の条件が、前記基板を露光する時の露光時間で
あることを特徴とするレジスト処理装置。
16. The resist processing apparatus according to claim 14, wherein the second condition is an exposure time for exposing the substrate.
【請求項17】 請求項14のレジスト処理装置におい
て、 前記第3の条件が、前記基板を現像するときの現像時間
であることを特徴とするレジスト処理装置。
17. The resist processing apparatus according to claim 14, wherein the third condition is a development time for developing the substrate.
【請求項18】 請求項14のレジスト処理装置におい
て、 前記基板とともに、測定用基板に所定の前記下地膜を形
成する手段と、 前記測定用基板にレジストを塗布する手段と、 前記測定用基板に露光を行い、レジストが完全に感光さ
れるのに必要で十分な露光エネルギーを求める手段と、 前記求められた露光エネルギーに基づき、所定の処理を
実行する手段とを更に具備することを特徴とするレジス
ト処理装置。
18. The resist processing apparatus according to claim 14, wherein, together with the substrate, means for forming a predetermined base film on the measurement substrate; means for applying a resist to the measurement substrate; It is characterized by further comprising: means for performing exposure and calculating an exposure energy necessary and sufficient for the resist to be completely exposed, and means for executing a predetermined process based on the determined exposure energy. Resist processing equipment.
JP14002299A 1998-05-25 1999-05-20 Resist processing method and resist processing apparatus Expired - Lifetime JP3481499B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP14002299A JP3481499B2 (en) 1998-05-25 1999-05-20 Resist processing method and resist processing apparatus

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP14319098 1998-05-25
JP10-143190 1998-05-25
JP14002299A JP3481499B2 (en) 1998-05-25 1999-05-20 Resist processing method and resist processing apparatus

Publications (2)

Publication Number Publication Date
JP2000049089A true JP2000049089A (en) 2000-02-18
JP3481499B2 JP3481499B2 (en) 2003-12-22

Family

ID=26472671

Family Applications (1)

Application Number Title Priority Date Filing Date
JP14002299A Expired - Lifetime JP3481499B2 (en) 1998-05-25 1999-05-20 Resist processing method and resist processing apparatus

Country Status (1)

Country Link
JP (1) JP3481499B2 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100811964B1 (en) * 2000-09-28 2008-03-10 동경 엘렉트론 주식회사 Resist pattern forming apparatus and method thereof
US7884407B2 (en) 2002-05-14 2011-02-08 Sony Corporation Semiconductor device, its manufacturing method and electronic apparatus thereof
JP2012165025A (en) * 2012-05-24 2012-08-30 Sokudo Co Ltd Substrate processing apparatus
US8851008B2 (en) 2007-06-29 2014-10-07 Sokudo Co., Ltd. Parallel substrate treatment for a plurality of substrate treatment lines
JP2015173272A (en) * 2015-04-15 2015-10-01 株式会社Screenセミコンダクターソリューションズ substrate processing method
US9184071B2 (en) 2007-11-30 2015-11-10 Screen Semiconductor Solutions Co., Ltd. Multi-story substrate treating apparatus with flexible transport mechanisms and vertically divided treating units
US9299596B2 (en) 2007-12-28 2016-03-29 Screen Semiconductor Solutions Co., Ltd. Substrate treating apparatus with parallel substrate treatment lines simultaneously treating a plurality of substrates
US9368383B2 (en) 2007-12-28 2016-06-14 Screen Semiconductor Solutions Co., Ltd. Substrate treating apparatus with substrate reordering
JP2016106432A (en) * 2016-03-11 2016-06-16 株式会社Screenセミコンダクターソリューションズ Substrate processing method

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100811964B1 (en) * 2000-09-28 2008-03-10 동경 엘렉트론 주식회사 Resist pattern forming apparatus and method thereof
US7488127B2 (en) 2000-09-28 2009-02-10 Tokyo Electron Limited Resist pattern forming apparatus and method thereof
US7780366B2 (en) 2000-09-28 2010-08-24 Tokyo Electron Limited Resist pattern forming method
US8231285B2 (en) 2000-09-28 2012-07-31 Tokyo Electron Limited Substrate processing method and apparatus
US7884407B2 (en) 2002-05-14 2011-02-08 Sony Corporation Semiconductor device, its manufacturing method and electronic apparatus thereof
US9748289B2 (en) 2002-05-14 2017-08-29 Sony Semiconductor Solutions Corporation Semiconductor device, its manufacturing method and electronic apparatus thereof
US9165807B2 (en) 2007-06-29 2015-10-20 Screen Semiconductor Solutions Co., Ltd. Substrate treating apparatus with vertical treatment arrangement including vertical blowout and exhaust units
US8851008B2 (en) 2007-06-29 2014-10-07 Sokudo Co., Ltd. Parallel substrate treatment for a plurality of substrate treatment lines
US9174235B2 (en) 2007-06-29 2015-11-03 Screen Semiconductor Solutions Co., Ltd. Substrate treating apparatus using horizontal treatment cell arrangements with parallel treatment lines
US9230834B2 (en) 2007-06-29 2016-01-05 Screen Semiconductor Solutions Co., Ltd. Substrate treating apparatus
US10290521B2 (en) 2007-06-29 2019-05-14 Screen Semiconductor Solutions Co., Ltd. Substrate treating apparatus with parallel gas supply pipes and a gas exhaust pipe
US9184071B2 (en) 2007-11-30 2015-11-10 Screen Semiconductor Solutions Co., Ltd. Multi-story substrate treating apparatus with flexible transport mechanisms and vertically divided treating units
US9687874B2 (en) 2007-11-30 2017-06-27 Screen Semiconductor Solutions Co., Ltd. Multi-story substrate treating apparatus with flexible transport mechanisms and vertically divided treating units
US9299596B2 (en) 2007-12-28 2016-03-29 Screen Semiconductor Solutions Co., Ltd. Substrate treating apparatus with parallel substrate treatment lines simultaneously treating a plurality of substrates
US9368383B2 (en) 2007-12-28 2016-06-14 Screen Semiconductor Solutions Co., Ltd. Substrate treating apparatus with substrate reordering
JP2012165025A (en) * 2012-05-24 2012-08-30 Sokudo Co Ltd Substrate processing apparatus
JP2015173272A (en) * 2015-04-15 2015-10-01 株式会社Screenセミコンダクターソリューションズ substrate processing method
JP2016106432A (en) * 2016-03-11 2016-06-16 株式会社Screenセミコンダクターソリューションズ Substrate processing method

Also Published As

Publication number Publication date
JP3481499B2 (en) 2003-12-22

Similar Documents

Publication Publication Date Title
EP0856774B1 (en) Method for coating resist and developing the coated resist
US6593045B2 (en) Substrate processing apparatus and method
US6190063B1 (en) Developing method and apparatus
US7563043B2 (en) Coating/developing apparatus and substrate transfer method
US6541170B2 (en) Resist processing method controlled through reflectivity data
JP3342828B2 (en) Resist coating and developing apparatus and resist coating and developing method
KR101072282B1 (en) Substrate-processing apparatus, substrate-processing method, substrate-processing program, and computer-readable recording medium recorded with such program
JP2002260994A (en) Substrate treating device
JP2008198820A (en) Substrate processing method and substrate processing apparatus
JP4666380B2 (en) Substrate processing apparatus, substrate processing method, substrate processing program, and computer-readable recording medium recording the program
JP4636555B2 (en) Substrate processing apparatus, substrate processing method, substrate processing program, and computer-readable recording medium recording the program
KR101207172B1 (en) Substrate processing method, computer-readable recording medium, and substrate processing system
US6399518B1 (en) Resist coating and developing processing apparatus
JP3481499B2 (en) Resist processing method and resist processing apparatus
JP2003203837A (en) Method and apparatus for treating substrate
KR20010092282A (en) Substrate processing method and substrate processing apparatus
JP3599330B2 (en) Substrate processing method and substrate processing apparatus
JP5258082B2 (en) Substrate processing apparatus and substrate processing method
JP2003218015A (en) Substrate processing device
JP2003273009A (en) Substrate-processing method and substrate-processing apparatus
JP2004214385A (en) Coated film formation apparatus and its method
JP2003209050A (en) Substrate treatment method and substrate treatment apparatus
JP2003257850A (en) Device and method for treating substrate
US7884950B2 (en) Substrate processing method, program, computer-readable storage medium, and substrate processing system
JP2001332491A (en) Substrate processing method and apparatus

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20030930

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091010

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121010

Year of fee payment: 9