GB2269937A - Polysilicon resistors and interconnect lines for integrated circuits - Google Patents

Polysilicon resistors and interconnect lines for integrated circuits Download PDF

Info

Publication number
GB2269937A
GB2269937A GB9320220A GB9320220A GB2269937A GB 2269937 A GB2269937 A GB 2269937A GB 9320220 A GB9320220 A GB 9320220A GB 9320220 A GB9320220 A GB 9320220A GB 2269937 A GB2269937 A GB 2269937A
Authority
GB
United Kingdom
Prior art keywords
polysilicon
layer
regions
oxide
figures
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
GB9320220A
Other versions
GB2269937B (en
GB9320220D0 (en
Inventor
James A Matthews
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Microunity Systems Engineering Inc
Original Assignee
Microunity Systems Engineering Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US07/463,290 external-priority patent/US5112761A/en
Application filed by Microunity Systems Engineering Inc filed Critical Microunity Systems Engineering Inc
Publication of GB9320220D0 publication Critical patent/GB9320220D0/en
Publication of GB2269937A publication Critical patent/GB2269937A/en
Application granted granted Critical
Publication of GB2269937B publication Critical patent/GB2269937B/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/20Resistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8248Combination of bipolar and field-effect technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8248Combination of bipolar and field-effect technology
    • H01L21/8249Bipolar and MOS technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5221Crossover interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/73Bipolar junction transistors
    • H01L29/732Vertical transistors
    • H01L29/7325Vertical transistors having an emitter-base junction leaving at a main surface and a base-collector junction leaving at a peripheral surface of the body, e.g. mesa planar transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Bipolar Transistors (AREA)

Abstract

in a process for fabricating metal-oxide-semiconductor (MOS) devices, a method of fabricating polysilicon resistors (95) and polysilicon interconnects (98) above the substrate surface comprises the steps of: (a) defining contact openings to the source, drain and gate members of said devices; (b) depositing a metal layer over said surface, said metal layer filling, said contact openings; (c) patterning said metal layer to define electrical contacts to said source, drain and gate members, said contacts (90) acting as the terminals of said polysilicon resistors, said patterning step also defining interconnect lines in said metal layer; (d) depositing a layer of polysilicon over said surface; (e) patterning said polysilicon layer to define said polysilicon interconnects and said polysilicon resistors such that said polysilicon layer is substantially coincident with and disposed above said interconnect lines wherever said polysilicon interconnects are formed, and extending between said contacts wherever said polysilicon resistors are formed. <IMAGE>

Description

2269937 B)CMOS PROCESS UTILIZING NOVEL PLANARIZATION TECHNIQUI17
FIELD OF THE INVENTION
The present invention relates to processes for simultaneously fabricating bipolar and complimentary field-effect transistors in a semiconductor substrate.
BAQKGROUND OF THE INVENTION In recent years much effort has been directed toward perfecting a method of integrating bipolar and complimentary metal oxide semiconductor (CMOS) technologies on a single wafer. The ability to combine CMOS with bipolar processes in a single (BiCMOS) process is exiremely,desirable for high performance circuits. For example. CMOS transistors are inherently IOW Power devices with large noise margins that can achieve a high pacwing density. Meanwhile. bipolar transistors provide advantages in switching speed and current drive. Bipolar transistors are also characterized by high transconduclance which is well suited for driving capacitive loads.
One of the difficulties presented in integrating an MOS device with a bipolar device in the same circuit is that the fabdcation steps required to form each of the separate devices often differ radically. That is, the steps used to fabricate a bipolar device are vastly different from the steps required to fabricate a CMOS or an MOS device. As a resuft, conventional processes are often lengthy and complicated, using a large number of masking operations and numerous thermal cycles.
Another basic limitation to prior art processes has to do with the nature of optical lithography and its affect on the attainable circuit density. In the widely used technique of projection printing. an image of the patterns on the mask is projected onto a resist coated wafer. Upon the exposure of an individual chip site the wafer is moved or stepped on an interferometrically controlled XY table 10 the next site and the process is repeated. Using state-of-the-art optics, projection printing (also frequently referred to as dire cl-ste p-on-waf er or step-and-repeat) systems are capable of producing sub-micron resolutions.
However. this high level of resolution does not come without a corresponding trade-off. The trade-off in this case is the limited depth of focus over which the image quality is maintained. For projection printing, the depth of the focus is approximated by the equation d. f. (depth of focus) - X12 (NA)2 where NA is the numerical aperture of the projection optics and 1 is the exposure wavelength. Thus, high resolution (very large numerical aperture) is achieved at the expense of a very shallow depth of focus.
In other words, the ability to print a highly dense circuit layout. having minimal device sizes and structures. is especially dependant on the existence of a highly planar surface on which geometric shapes from a mask may be transferred. Unfortunately, the requirement of a highly planar surface is complete!y at odds with orthodox m ethods of forming a semiconductor circuit in a silicon substrale.
Traditionally. in fabricating an integrated circuit the silicon substrate layer is first subjed to oxidation. Openings are etched in the oxede. and then impurities are introduced or implanted into the substrate. Next. the silicon surface is either reoxidized or subjected to depositions Of PDlysilicon, CVID oxide, silicon nitride. etc. The result of these successive processing steps (e.g., oxidation. elching, implantation, reoxidation) are large steps or incongruities running across the surface of the wafer. Obviously, over the course of the entire process these steps or incongruities lead to a non-uniform. non-planar wafer surface. Consequently. the ability to maintain high image quality (due to the depth of focus problems described above) is substantially degraded in prior methods.
One way in which practitioners have attempted to minimize this problem Is to planarize the surface of the wafer by reflowing a boronphosphosilicate glass across the wafer surlace prior to contact mask. The surface is then aggressively planarized using sophisticated etchants when the metalization steps are reached. Despite these atlempts to replanarize the wafer surface in the back-end processing steps, the lack of planarization in the front-end processing steps (i.e., those steps leading up to the contar-1 mask step) has already taken its toll on the devices. The inability to pattern compact and high-resolution device structures brings about IDW-density, low-performance circuits. Hence, past semiconductor processes, and particularly BiCMOS processes, have not been able to take full advantage o! the high numerical aperture (i.e., resolution) which modem optical equipment can produce due to the depth of focus problem. The critical importance of maintaining planarization throughout each and every processing step is therefore appreciated.
As will be seen, the presently invented BiCMOS process maintains an exiremely high level of planarization throughout all of the processing steps using a novel technique known as 'waffe'.ization". When combined with a number of additional novel processing features (each of which is believed to be separately inventive in its own right) the disclosed BiCMOS process is capable of producing device dimensions and circuit densities well beyond the limits D. the prior art. For example. using the presently invented process h is possible to produce gate widths of 0.5 microns or less on MOS-type devices and eminer widths of roughly 0.2 microns for bipolar transistors. Consequently, it is contemplated that a 6-transistor memory cell may easily be fabricated within an area of about 3.0 x 4.8 microns -- or a total dimension of approximately 14.4 square microns. This is about the size of a via contact opening in many prior processes.
In addition to achieving high circuit densities and high device performance through planarization of the wafer surface, the invented BiCMOS process is also characterized by its simplicity, reliability. hs self-aligning nature, and the overall design flexibility provided -- both from a circuit design perspective as well as an applications specific viewpoint.
Other prior art known to Applicant includes U. S. Patent No. 4,727,046 of TunlaSODd et 21; U. S. Patent No. 4,826,783 of Choi el al.; and U. S. Patent No. 4.816.423 of Havemann.
L SUMMARY OF THE INVENTION
The present invention disclosed and claimed herein describes a process whereby MOS transistors and bipolar transistors are formed together in the same silicon substrate.
According to the present invention there is provided in a process for fabricating metal-oxidesemiconductor (MOS) devices, a method of fabricating polysilicon resistors and polysilicon interconnects above the substrate surface comprising the steps of:
(a) defining contact openings to the source, drain and gate members of said devices; (b) depositing a metal layer over said surface, said metal layer filling said contact openings; (c) patterning said metal layer to define electrical contacts to said source, drain and gate members, said contacts acting as the terminals of said polysilicon resistors, said patterning step also defining interconnect lines in said metal layer; (d) depositing a layer of polysilicon over said surface; (e) patterning said polysilicon layer to define said polysilicon interconnects and said polysilicon resistors such that said polysilicon layer is substantially coincident with and disposed above said interconnect 1-ines wherever said polysilicon interconnects are formed, and extending between said contacts'wherever said polysilicon resistors are formed.
BRIEF DESCRIPTION QF THE DRAWINGS
The novel features believed characteristic of the Invention are set forih in the appended claims. The invention itself, however, as well as other features and advantages thereof. will be best understood by reference to the detailed description which follows, read in conjunction with the accompanying drawings, wherein:
Figures 1 A & 1 B are cross-sections of a semiconductor structure illustrating the starling wafer material and the separate regions for forming NPN bipolar, n-channel field-effect, and p-channel field-effect transistors
Figures 2A & 213 are cross-sections following formation of an n+ buried layer.
is Figures 3A & 313 are cross-sections following formation of an epitaxial layer.
Figures 4A & 4B are cross-sections after formation of separate p and n-well regions.
Figures SA & $13 are cross-sections after formation of field oxidation regions.
Figures SA & 6B are cross-sections after opening an area for the NPN bipolar base region.
Figures 7A & 7B are cross-sectiDns following deposition of the first pDlysilicon layer.
Figures SA & BB are cross-sections following gate etch.
Figures 9A & 9B are cross-sections following implantations of n and ptype lightly doped regions, the bipolar base regions. and the well compensation implants.
Figures IDA & 10B are cross-sections following oxide side wall spacer etch.
Figures 11A & 11B are cross-sections following planadzatiDn poly deposition.
Figures 12A & 12B are cross-sections after planahzation poly etch back.
Figures 13A & 13B are cross-sections after isolation oxide regions have been formed.
Figures 14A & 14B are cross-sections illustrating source. drain.
emitter, implants into the planarized PDly regions.
Figures 15A & 15B are cross-sections following source, drain and emitter doping and drive-in.
Figures 16A & 16B are cross-sections following opening of the gate contact regions.
Figures 17A & 17B are cross-sections after formation of cobalt siricide contact regions.
Figures 18A & 18B are crDss-sections following formation of 3D poly/poly contacts.
Figures 19A & 19B are cross-sections following deposition and masking of titanium used for poly 2 silicide.
Figures 2DA & 20B are cross-sections afler deposition of poly 2 5 and the nitride top layer.
Figures 21 A & 21 B are cross-sections after poly 2 mask.
Figures 22A & 22B are cross-sectiDns following masking for 10 pedestal contacts.
Figures 23A & 23B are cross-sections following formation of pedestal contacts.
is Figures 24A & 24B are cross-sections following metal 1 mask.
Figures 25A & 25B are cross-sections following formation of via pedestals.
Figures 26A & 26B are cross-sections after formation of metal 2 interconnection regions.
- q - DESCF3IPTIO14 OF THE PREFERRE12 EhiBODlliEt4T(SI A novel BiCMOS process for simultaneously forming bipolar transistors and MOS transistors in the same semiconductor substrate is disclosed. In the following description, numerous specific details are set forth, such as specific conductivity types, dopants, thicknesses, etc. , in order to provide a more thorough understanding of the present invention. h will be obvious, however, to one skilled in the art that these specific details are not necessary to practice the present invention. In other instances, other well-known processing steps and methods have not been shown in detail in order to avoid unnecessarily obscuring the present invention.
FORMATION OF THE ACTIVE REGIONS FOR THE BJTs AND MOSFETs Referring to Figures 1 A & 1 B, a cross-sectional view of 'the starting semiconductor substrate 10 is shown. Substrate 10 has a crystal orientation of <100> and has been doped with a p-conductivity type impurity to a resistivity of approximately 10 ohm-centimeters. Oirientation <'100> is used because h provides the lowest possible surface state density. This is standard practice for MOS processing. Optionally, the back side of the wafer may be coated with a polysilicon layer as a means for introducing dislocations into the crystalline structure -- the dislocations acting as impurity trapping sites for heavy metals.
Nexl. alignment marks are etched into the surface of the wafer using a reactive ion etch (RIE). These alignment marks are in the form of crosses, squares, etc., and are used as an alignment reference for subsequent masking layers. The alignment marks are formed using an alignment mask aligned to the wafer in accordance With standard photolithographic techniques. (e.g., depositing photoresist, masking the surface of the substrate, exposing the masked substrate to uhraviolet fight, developing the phDtoresist, etc.). Considering the high degree of planarization achieved by the invented processes, reference alignment marks provide a simple and le, - easy method of maintaining mask registration throughout later processing steps.
h should be understood that the alignment mask is an optional masking step in the presently invented process. Alternatively. visual alignment may be made to the implanted buried layer regions. However, since the surface of the wafer Will be highly planarized throughout the entire process -making visual mask registration difficult -- the inclusion of the alignment mask step is preferred. Furthermore, because of the contribution each oxide step has toward defeating planarization, it is desirable to avoid generating a buried layer oxide boundary edge simply for alignment purposes.
With reference to Figures 2A & 2B. buried layer regions 12 and 13 are formed using conventional ion implantation techniques. Antimony ions are implanted at an energy of approximately 180 KeV and at 'a dose of approximately 1.0 x 1015 atoms per square centimeter (atoms/CM2).
Buried layer 13 forms the n+ collector region for NPN bipolar transistor 20 while buried layer region 12 forms an n+ doped region under p-channel transistor 40.
Following the antimony ion implantation. a p-type epitaxial layer 15 is deposited over the surface of the wafer as shown in Figurss 3A & 3B. Epitaxial layer 15 is approximately 2 microns thick and is doped p-type to a concentration of about 1.0 X 1017 atomSjCM3. Layer 15 is formed by exposing the wafers to a dichforosilane (SiH2C12) gas at approximately 10500 C. The antimony ions in buried layer regions 12 and 13 are subsequently driven (i.ediffused) downward into p-substrate 10 and upward into epitaxial layer 15 at a temperature of about 11000 C. for approximately five hours in an atmosphere consisting of N2 + 5% 02. The drive-in cycle helps to repair damage done to the substrate surface during ion implantation.
Nexi, an n-well mask is employed to mask off those regions of epitaxial layer 15 which will subsequently become p-well regions. The exposed areas of epitaxial layer 15 are then subJected to a phosphorous ion implant at an energy of 180 KeV and a dose of 2.5 X 1013 atoMS/CM2.
After this implant. epitaxial layer 15 is divided into separate n-well and P-Well regions as shown in Figures 4A & 4B.
In Figures 4A & 4B, n-well region 23 is utilized in the formation of p-channel field-efiecl transistor (FET) 40. P-well region 21 furnishes the proper conductivity type region for the formation of n-channel FET 30.
N-well region 22 is similarly utilized in the formation of NPN bipolar junction ---transistor(BJT) 2D.
Referring to Figures 5A & 5B, another high-energy implant is employed to form the collector plug region 25, which functions as a low resistance vertical contact down to the underlying n+ buried layer region 13.
As mentioned earlier, buried layer region 13 eventually forms the collector of NPN transistor 20. After appropriate masking, phosphorous ions are implanted at an energy of 180 KeV and a dose of 1.D x 1015 atomsJCM2. The collector drive and n-well drive are performed simultaneously at 1100 C. tor approximately six hours in an atmosphere comprising N2 4 5% 02. The N2 4 5% 02 gas helps to establish an equilibrium between nitridation and oxidation of the surface of the wafer. This prevents excessive nilridation of the wafer surface which is usually undesirable.
Following the collector plug and n-well drive cycles, a thin (approximately 2SOA) pad silicon dioxide layer is grown across the entire surface of the wafer. This pad oxide is grown in a furnace at 9000 Celsius (C) for fifteen minutes using an oxygen gas flow. A standard silicon nitride deposition using gas phase. low pressure chemical vapor deposition (LPCVD) techniques follows growth of the pad oxide. This silicon nitride layer acts as a mask layer during formation of the field oxide regions.
Growing a pad oxide beneath the nitride layer markedly reduces stress induced dislocations in the underlying silicon substrate. (Note that the pad oxide is not shown in the Figures because of the relative thinness of that layer.) - l-) - The growth of the field oxide (FOX) regions are performed using the well-known processing method known as recess oxidation (ROX). In the recess Oxidation method a thick field oxide layer is first grown thermally in the patterned FOX regions. Due to the fact that thermally grown oxide takes up more volume than does single crystalline silicon, there is a substantial volume of silicon dioxide which grows above the planar surface of the substrata. This elevated portion of the silicon dioxide is subsequently etched isotropically to produce a recessed surface across the wafer. After etching the wafer suriace is again oxidized. resulting in a nearly planar surface. Active regions for the devices are defined by the FOX regions at this point in the process.
Normally. field oxidation produces what is commonly referred to as birds'-head or birds'-beak features. These formations consist of an oxide bump (birds'-head) along with a lateral growth of oxide (birds'-beak) at the silicon nitride boundahes. The length of the birds'-beak is proportional to the thickness of the field oxide layer. Typically. lateral oxide penetration is between one-half and one times the field oxide thickness. The birds'-beak phenomena sets a fundamental limit on the pitch of the active region; that is, how close active layers can be placed adjacent to one another.
In the presently invented process. field oxide regions are defined using a high resolution active layer mask which opens selected areas in the silicon nitride layer. The nitride is etched anisotropicailly using a reactive ion etch (RIE). A first field oxide layer is then grown in these openings at 900L C in steam to form a 2000A oxide film. This first field oxide film is then dipped off in a 10:1 solution of hydrogen fluoride (HF) 48% and water. A second field oxide layer is then grown at 9D011 C in steam to form an approximately
1 000-200DA field oxide layer 28 as shown in Figures 5A & 5B.
Because the field oxide thickness in the invented process is relatively thin when compared to prior art methods. the penetration of the birds'beak into the active layer regions is markedly reduced. By way of example, active layer spacing is on the order of 1.0 microns. Previously. attempts to reduce the thickness of the field oxide region were avoided since a thin field oxide generally corresponds to a high coupling capacitance between the substrate and the overlying metal interconnects. The reason why a relatively thin field oxide is tolerated in the presently invented process is because the interconnection layers are not in contact with, nor in close proximity to, the substrale surface. This aspect of the present invention v411 be described later. - In addition. because field plate isolation is used in the presently invented BiCIVIDS process, the surface potential is easily controlled. Also, use of field plate isolation and thin field oxide contribules greatly to the radiation hardness of the circuit. This is of critical importance in cerlain milaary applications. Figures SA & SB show field oxidation regions 28 after the silicon nithde masking layer has been removed.
Yet another advantage of using a thin field oxide is that by choosing a silicon nitride mask layer thickness carefully. the field threshold adjustment implant can penetrate through the field oxide after it is grown. as well as the silicon nitride layer. In this way. a single implant may be urtilized to provide correct V-[ for the transistors while simultaneously providing the proper field threshold level.
When used in this manner. the silicon nitride acts as a sort of decellerating or breaking layer -- setting a shallow depth for the implant dose in the transistor active regions. Optionally, the silicon nitride layer can be removed prior to the field implant. possibly resulting in significantly higher channel mobilities due to the deeper implant. Higher channel mobility translates into better transistor performance due to the corresponding reduction in impurity scattering.
The ability to perform transistor threshold and field implants simultaneously also provides great flexibility in selecting device types. For example, if boron is implanted in an n-channel device an enhancement mode n-channel MOSFET having a threshold of approximately 0.5 volts is prcduced. On the other hand, if this implant is let out of the active regions (by appropriate masking) then a natural device (i.e., approximately 0 volt VT) results. Furthermore, if the p-type enhancement-mDde device implant is directed into the n-channel transistors, 2 -0.5 VOft VT depletion mode device is created.
Moreover, a single masking/implant process step can be used to change the characteristics of selected field-effect devices from enhance me nt-mode, to depletion-mode, Or to zero threshold type devices.
Because of the symmetry between n-channel and p-channel devices each individual transistor is available in all three types. This resubs in a substantial savings in masking steps and allows interesting device type combinations. By way of example. h might be desired to produce a NAND gate which uses an enhancement-mode lower device and a zero threshold upper device.
Ion implantation is a most valuable tool for controlling threshold vohage. Very precise quantities and purities can be introduced making 11 possible to maintain extremely close control Of VT. For Instance. where boron is implanted through a gate oxide for a p-channel device. the negatively charged boron acceptors serve to reduce the effects of the positive surface state charge. As a result, V-r becomes less negative.
Similarly, a shallow boron implant into the p-type substrate of an nchannel transistor can make VT positive -- as required for an enhancement device.
The capability, as described above, of allowing enhancement. depletion.
and zero threshold type devices to be incorporated on the same chip using a single implant therefore provides many advantages to the integrated circuit designer.
In the currently preferred embodiment. the n-type VT and field implant mask aligns to the active layer region. A boron ion implantation follows at an energy of 100 KeV and a dose of approximately 5 x 1012 atoms/cm2.
FolloWing this implant. a p-type VT and field implant is performed. The masking layer is aligned to the active layer and a phosphorous ions are implanted at 180 KoV With a dose of approximately 1 X 1013 atC)Mslcrn2.
Following the threshold implants, the field nitride regions are reactive ion etched until removed. then the underlying pad oxide is dipped off in a 100:1 solution of water and HF.
FORMATION OF THE GATE DIELECTRIC At this point in the process we are ready to form the gate oxide for the n-channel and p-channel FETs. After the pad oxide etch there can exist residual nitride films around the birds'-beak regions. These residual films ran suppress subsequent oxidation resulting in a thinner gate oxide in these areas. To insure that this residual nitride is completely removed a first gate oxide layer of approximately 20DA is grown in a furnace at 9000 C in an atmosphere of 87% argon, 10% 02 and 3% HCl. Immediately afterwards this first gate oxide is dipped off in a 100:1 solution of HF. This extra growth and etching step insures complete removal of residual nitride films. The second gale oxide (i.e., the actual gate oxide used in the devices) is also grown in a furnace at 9DO, C using the same gas as was used in growth of the first gate oxide. This second gate oxide layer is carefully grown to a thickness of approximately 100A.
After the gate oxide has been formed, selected regions must be removed to crewe buried contacts down to the substraie. One example is in the case of bipolar NPN transistor 20 where a buried contact is needed for electrical connection down to the extrinsic base region. Traditionally. buried contacts are formed by first spinning a layer of photoresist over the gate oxide. developing the photoresist, etching the gate oxide in the contact areas, then depositing polysilicon. This technique has been widely used in NMOS transistor processes.
Conversely, because the presently invented BiCMOS process employs a gate oxide which is extremely thin (approximately 10DA), h is undesirable to expose this thin oxide to reactive chemicals. Therefore, in the invented process after the growth of the gate oxide layer is completed. a first polysilicon deposition is performed in a furnace at 580D C. This produces a 1 thin (approximately SODA) layer of amorphous polysilicon which covers all of the gate oxide previously grown. This thin layer of polysilicon acis to cap the very thin gate oxide phor to the formation of the buried contacts.
h is significant that this first protective polysillcon layer is amorphous in structure. By its nature. amorphous polysilicon is highly smooth and contains no embedded grain structure. In contrast, ordinary polysilicon (grown at a higher temperature) is generally much rougher and more granular than amorphous polysilicon. When ordinary polysilicon is reactive ion etched down to the single crystalline silicon substrale the grain structure of the pDlysilicon can become imprinted into the substrale surface. This causes considerable damage to the underlying crystal lattice and can diminish device performance, particularly in bipolar junction transistors.
Figures 6A & 6B show cross-sections in which the protective polysilicon layer 26 is opened in base contact region 27 using conventional masking techniques. The base contact regions of layer 26 are first etched using a reactive ion etch and then the underlying gate oxide is dipped off in a 100:1 solution of water and HF. (Note that in Figures CA & 6B the underlying gale oxide layer is not shown due to its relative ihinness when compared with other layers). The opening over region 27 is utilized 2D to later form buried base contacts for M 20.
An important feature of 'the invented process is that both n-type and ptype buried contacts are available. This is in contrast with standard MOS processes where all of the pDlysilicon is typically doped n-type so that buried contacts to p-channel FETs or p-type M base regions are generally not available. If an attempt were made to form buried contacts to a p-type substrate using n-type pDlysilicon the n-type dopant from the polysificon would diffuse down and form a PN junction with the underllying p-type material. In the presently invented process. p-type polysilicon Is used in - p-type channel FETs and an n-type polysilicon is utilized on n-channel FETs.
Hence. as will be described in more detail below. symmetric buried contacts are available.
17 - FORMATION OF FIRST LEVEL POLYSILICON MEMBERS After the buried contact repiDns have been opened, a second, much thicker, layer of polysilicon is deposited over the wafer. In the preferred embodiment, the second polysilicon deposition occurs in a furnace at 5BD0 C. This produces an amorphDUS layer which is approximately 2000A thick. Again. it is important that the second polysilicon deposition be amorphous to maintain an exiremely smooth and planar surface.
In Figures 7A & 7B, the amorphous second polysificon layer is shown as layer 31. Note that polysilicon layer 31 completely covers field oxidation regions 28 as well as base contact region 27. It is understood. of course, that there is no gale oxide present in base region 27 underlying poly layer 31. As described above, this oxide was removed in a previous processing step. But gate oxide does underlie poly layer 31 over the channel. regions of n-channel FET 30 and p-channel FET 4D.
Following the deposition of poly layer 31 a high. temperature TEOS (tetra-ethyl-orlho-sificon) oxide 32 is deposited over the surface of poly layer 31. ReDxidation of poly layer 31 is avoided to preveni recrystalization of poly layer 31. A reoxidation cycle might also destroy the amorphous nature of layer 31 and form asperities therein.
Note also that in Figures 7A & 7B. PDly layer 31 is illustrated as a uniformly planar surface for ease of representation. Actually. there exists a physical step over each of the buried contact regions. The height of the step being equal to the thickness of the protective poly layer 26 (see Figures SA & GB) plus the thickness of the gate oxide. The sum of the gate oxide and poly layers. and therefore the height of the step, is abW 500A. (For purposes of discussion, the surface of the wafer is considered planar whenever any step or feature is 1000A or less in height.) Referring now to Figures BA & BB, next in the sequence of steps is the polysilicon gate etch. To perform the gate etch an extremely high resolution masking layer is aligned back to the active layer of the devices. A --readive ion etch of oxide layer 32 is followed by a second reactive ion etch which anisotropically etches the underlying polysilicon layer. By using a highly selective etchant the anisotrDpic etch of the polysilicon s7tops on the thin gate oxide.
Recall that where emitters are to be formed there is no existing gate oxide to slop on. Over etching in these regions is potentially hazardous to the underlying crystal lattice. However. if amorphous silicon is used as described above, no grain pattern is impressed into the substrate. Thus the electrical properties of the emitter junction are maintained. Because of the 1 C) US9 Of aMDrphDUS polysilicon, over etching in the emitter regions does not have a deleterious effect on device performance.
Following the poly gate etch, a slight oxidation of the exposed sidewall polysilicon is performed. Approximately 1000A of oxide is grown on the poly in the silicon in a furnace at 9D011 C In a steam atmosphere.
Oxidizing the poly gate sidewalls helps to marginally reduce the gate width while fully insulating each of the polysilicon members. h also creates a minute birds'-beak at the silicon interface which reduces the electric field density near the polysificon edges and corners. Oxidation of the sidewalls, also densilies the top oxide layer 32.
At this point in the process, gate 33 of p-channel FET 40 has been defined along with gate 34 of n-channel FET 30 and the extrinsic base contacts 35 of NPN bipolar transistor 20. Additionally. polysilicon members 36 have also been defined along the surface of the wafer. The function of polysilicon members 36 Will be explained in more detail later.
Note that In Figures 8A & 8B. uniform spaces 38 have been defined between each PDlysificon member. In the currently preferred embodiment, spacings 38 are each about 0.5 microns in width. Maintaining a controlled and accurate polysificon spacing 38 across the entirety of the wafer is of cnicial importance to the goal of planarizatiDn. When viewed from the water's top, these uniform polysilicon spacings 38 create a &,wafflelike" pattern across the surface of the wafer. Hence. the origin of the term 19 - waffe- -ation" to denote the technique for achieving complete planarization of the semiconductor surface.
Using a controlled poly space 38 (all polysilicon spaces being equal in dimension, i.e.. ^%,affelized") makes possible the invented planarization scheme. This scheme is based on the isotropic (i.e., uniform in all directions) deposition of a material -- in this case polysificon -followed by an unmasked anisotropic (i.e., vertical) etch. Once spaces 38 have been etched out of the polysilicon layer and a sidewall oxide formed, an isotropic deposition of amorphous polysilicon is used to fill in spaces (i.e., the gaps between the previously patterned polysilicon lines). Since the spaces 38 are uniform across the wafer, each is filled at the same time and at an identical rate.
Deposition continues until a totally planar surface is ppduced.
Generally, this requires a polysilicon deposition to a thickness which is approximately twice the thickness of members 36. The anisoiropic etch of this second polysilicon layer reduces the thickness until the second poly layer is roughly co-planar with poly members 36. At this stage.
members 36 are electrically i5Dialed from the second polysificon layer. The second polysilicon iayer is now confined to spaces 38 and comprises a plurality of second poly members 58 (see Figures 12A & 12B). To summarize. the etlect of these processing steps is to rill the gaps between the poly lines, resulting in a planar surface. Details of these processing steps, with reference to the pertinent figures, will follow.
It is appreciated that constraining the first layer polysilicon spacings 38 to a fixed dimension places no constraint on the width of polysilicon members 33, 34. 35. etc. Thus, h is possible to have base contacts, gates, or polysificon interconnect members with various widths.
First polysilicon can take the form of a gate, an interconnect, or simply a dummy polysilicon member such as members 36 shown in Figures BA & BB. In addition, PCilysificon members 36 may be employed either as an interconnect layer or simply to establish uniform spaces 38 across the surface of the wafer. As previously mentioned. defining equally-wide spaces 38 is the key concept to the invented planarization-bywaflelization scheme.
Following the etch of the polysilicon surface which defines members 33-36. oxide sidewa!ls are formed on each of the polysilicon members. A high temperature TEOS oxide is deposited at 700 C to form a 2oooA thick oxide layer over the wafer. This oxide is then reactive ion etched until the source drain regions and emitter regions appear in the silicon surface. A slight reDxidation is then performed to protect the exposed silicon surface.
Figures 9A & 913 show each of the polysilicon members 33-36 being insulated by silicon dioxide regions 57 following reactive ion etching to create openings in spaces 38 down to the substrate. Notice that a slight slope is etched on each of the sideWall areas of oxide 57 between adjacent first pDlysilicon members. This slope helps to insure a uniform deposition of polysilicon in spaces 38.
Given that the chief constraint for achieving planarization at this stage in the process is maintaining uniform spaces of potysilicon. a great variety of polysilicon pattern-. may be realized. For instance. the surface of the wafer may primarily consist o! large (i.e.. very wide) spaces 38 with small islands or strips of polysilicon separated 1hroughout. Alternatively. the surface of the wafer may be very dense with polysilicon and employ only the minimum (e.g.. 0.5 microns) space 38 permitted by the process design rules. Thus, a great deal of flexibility is inherent in the topological patierning of the poly 1 material.
FORMATION OF THE SOURCE/DRAIN REGIONS FOR FETs AND BASE/EMITTER REGIONS FOR BJTs Preferably, after the sidewall oxide regions 57 have been defined, formation of the sourceldrain regions for FETs 30 and 40 and baselemitter regions for WT transistor 20 may proceed. Referring to Figures 10A V013. p-type lightly-doped drairdsource (P-LDD) regions 54 and 53 are first formed just below the surface of the substrate, The same mask used for the implantation of regions 54 and 53 Is also used in forming the intrinsic base region for M 20 and the well compensation regions 45 and 46 for p-channel FET 40.
The P-1-DID + M base + well compensation mask aligns to the gate mask. Once openings in the pholoresist are formed, a boron ion implantation at an energy of 30 KeV and a dose of 1.0 j I D14 atoMSICM2 produces lightly-doped regions 54 and 53 and intrinsic base region 50.
The purpose of the lightly-dDped implant is to enhance the reliability of each of the FET devices. 11 heavily doped n+ or p+ regions are allowed near the gate oxide an extremely high electric field can result. The presence of a high electric field would enhance undesirable hot-electron effects in those transistors. Lightly-doping the sourceldrain regions near the pubstrate surface (i.e., adjacent to the gate) substantially reduces the electric field strength. As a result. device degradation due to hot-electron generation is suppressed. (In the preferred embodiment, the junction depth of regions 53, 54 and SD is approximately isook) Note that the boron implant is made directly through the side wall portion of oxide layer 57 which surrounds gate member 33. The blocking effect that oxide 57 has on the implant helps to keep high concentra!ions of boron impurities away from the channel region. This also helps to reduce the vulnerability of the device to hot-electron effects.
Alternatively, the P-1-DD implant can be performed prior to forming the sidewall spacer oxide regions 57. However. if the implant is perlormed before the oxidation then the boron atoms tend to diffuse laterally under the gate. This has the undesirable effect of increasing overlap capacitance between the gate and the sourceldrain regions.
Using the same masking step, a second boron ion implantation may be performed. In the preferred embodiment. this second implant is at an energy of 100 KeV and a dose of 1.0 X 1013 atoms/cm2. This high energy implant produces deep well compensation regions 45 and 46 in p-channel FET 40. (if the same mask is used a well compensation region is also formed in BJT 20. This well compensation implant region is not shown in Figures 1 OA & 1 OB since it is not critical to ordinary device performance. Of course, the base of M 20 may be protected by a second mask layer prior to the second boron ion implant to avoid forming a well compensation region in this device.) Because n-well region 23 is heavily-doped. there is a correspondingly high junction capacitance Cj associated with FET 40.
While it is desirable to have heavy doping in the deep channel region to reduce short channel effects of the device. it is equally desirable to reduce Cj. Including additional well compensation implant regions 45 and 46 significantly reduces (by a factor of approximately three) the FET junction capacitance Ci, resuffing in a higher speed, lower power circuit. Recognize that this well compensation implant costs no additional masks and is self-aligned with the sourceldrain regions.
Next, N-I-DID regions 51 and 52. and well compensation regions 47 and 48 are formed using a single m ask step as described above for the P-1-DD implants. The N-LIDD + well compensation mask aligns to gate 34.
A phosphorous ion implant follows bt 60 KeV and a dose of 1 x 1014 atoms/cm2 to form regions 51 and 52. This is followed by another phosphorous ion implant at 180 KeV with a dose of 1.0 X 1013 B10M51CM2 to form well compensation regions 47 and 48. As mentioned. lightly-doped regions 51 and 52 help to reduce hot-electron effects in ri-channel FET 30. At the same lime, well compensation regions 47 and 48 reduce junction area capacitance.
With reference to Figures 11A & 11B, after the N-I-DD and well compensation implants have been performed, source, drain, emitter (SDE) polysilicon layer 58 is deposited isotropically over the surface of the wafer in a furnace at 5BD0 C. This is the PCilySilicon which fills all of the spaces 38 across the wafer. Once again. SDE poly 58 is an amorphous PDIY Layer and is deposited to a thickness of approximately l o.oDc)A.
Because SIDE poly 5B is depos:11ed isotropically, an absolule, niform deposition takes place on all sides of oxide 57 and above silic, n substrate Uniform deposition rates as well as uniform spacing between polysilicon members insures that previously vacant spaces 38 are filled isotropically.
In other words, if the spaces 38 between polysilicon members were nonuniformal or varied in width, then certain regions (narrower spaces) would fill quicker resulting in a nonplanar surface.
After a sufficient thickness of SIDE poly 58 is deposited to insure planarization, h is anisotropically (vertically) etched back. This reactive ion 1 D etch of SDE poly 58 continues until the tops of the gates are cleared of polysilicon as is shown in Figures 12A & 1213. At this stage of the process, SIDE poly 58 fills all of the spaces 38 between polysilicon members 33-36.
Eventually, SIDE poly members 5B will be used to produce the sources.
drains, and emitters of the transistors in addition to forming an interconnect layer for the completed circuit. As is clearly seen in Figures 12A & 1213, following etch back of SDE poly layer 58 the surface of the wafer is entirely replanarized.
Unfortunately at this point. all of the devices are electrically shorled together. Logically then, the next processing step involves electrically isolating each of the devices 20, 30 and 40. To isolatp the devices. a LPCVD nitride layer is first deposited over the entire surface of the wafer.' Selected areas of the nitride layer are opened using a conventional mask step and portions of the SDE polysilicon are etched down to the underlying field oxide. Nitride protects the tops of all other devices. The etch of the
SIDE polysilicon is both anisotropic and isotropic so as to guarantee cleafing all of the polysilicon out of the cavities.
A high temperature TEOS oxide is then deposited at 7000 C to a thickness of approximately I D.000A. This TEOS oxide is deposited isotropically and fills in the uniform spaces similarly to the SDE poly deposition -- again utilizing waffelization to achieve planadzation. The TEOS oxide forms the isolation regions 60 and 61 as Illustrated in Figures 13A & 13B. Rewgnize that isolation region 61 isolates bipolar transistor 20 from n-channel FET 30 while isolation region 60 isolates p-channel FET 40 from n-channel FET 30 after the oxide is RIE etched to clear the oxide above the nitride. The underlying nitride layer is then etched off leaving the structure shown in Figures 13A & 13B.
The next masking step opens the source, drain and gate regions of n-channel FET 30. All three regions are then implanted with a phosphorous ion implant at 180 KeV with a dose of approximately 3.0 x 1015 aloMS/CM2. This phosphorous ion implant is depicted in Figures 14A & 14B by the arrows 41. PhDsphDrOUS is deliberately chosen as the n+ type dDpant because of its high diffusivity. i.e.. its ability to rapidly distribute atoms throughout the PDlySilicon members. Other SIDE polysilicon members -- such as members 78 and 79 which could be used as an interconnect layer -- may also be doped n-type using implant 41.
is Once n-channel FET 30 has been implanted with phosphorous, another mask is applied to the water to open the area over p-channel FET 40. This p+ implant mask also opens up the base areas of WT 20 while simultaneously protecting the emitters. Boron. having a relatively high diffusivity, is implanted at 100 KeV and a dose of 3.0 x 1015 atornsicrn2.
This p+ implant, shown in Figures 14A & 14B by arrows 42, dopes polysilicon members 68, 33. 69. and 35 (forming the source, gate and drain polysilicon members of p-FET 4D and PDlySilicon base member 35 of WT 20. respectively) heavily p-type.
An emitter implant follows the p+ implant and is performed in the same manner as described above. The emitter implant mask exposes the emitter polysilicon member 67 of M 2D. An arsenic ion implant is then done at 180 KeV with a dose of 3.0 x 1015 atomSICM2. Of course, the energy of the arsenic implant may be adjusted downward, If necessary. to avoid implanting arsenic ions into the intrinsic base region 50. The emitter implant is shown in Figures 14A & 14B by arrows 43.
Note that in addition to opening up emitter regions the emitter implant mask also may be used to open up areas over those polysilicon members w hich could become interconnection layers (e.g., members 78 and 79). In this situation, members 78 and 79 are subjected to the ernitier implant in addition to the n+ implant used for doping n-FET 3D. Yet another option is to dope regions 78 and 79 solely by means of implant 41.
Once the implant sequence 41-43 has been completed. a thermal anneal at 95011 C in an N2 atmosphere for 60 minutes is performed. This anneal is what actually forms the transistor junctions in the silicon substrate.
Dufing the anneal, the previously implanted dDpant diffuses from the overlying polysilicon members down into the substrate. In other words, each of the SDE polysilicon members functions as a separate diffusion source -- introducing impurities into the underlying substrate to form source, drain, emitter and extrinsic base regions of the respective transistors.
This is contrary to conventional methods which rely on either direct ion implantation or direct diffusion from a gaseous impurity source. The present method of utilizing doped pDlysilicon as a diffusion source provides much better control of diffusion rates and junction depth. The actual junctions formed by this rnethod are characterized as being extremely shallow (necessary for very small device structures) and of a very high quality (resulting in a low leakage transistors).
Diffusion from a PDlysilicon source also provides a novel way to form submicron PDlysilicon bipolar emitters. As polysificon emitters provide superior performance in bipolar transistors. this simple doping method is a very valuable prc>cessing feature. This is particularly so in the case in a BiCMOS process where submicron polysilicon emitters and very shallow FET junctions are formed simultaneously. Simultaheous diffusion of n-type and p-type dopants into the substrate to form device junctions saves a considerable number of processing steps and obviates the need to subject the wafer to additional heal cycles.
Although the preferred embodiment described above relies on implanting the SDE polysilicon which resides above the sourceldrain and emitter regions using separate masks, h is to be understood that alternative ways of doping the polysilicon members are possible. For instance, the SDE polysilicon may be doped using standard diffusion techniqjes. In any case, alternative doping techniques are considered to be within the spirit and scope of the present invention.
Figures 15A & 15B illustrate a cross section of the wafer following the drive-in (i.e., anneal) of the sourceldrain, base and emitter regions of FETs 30 and 40 and BJT 20. In detailed summary. sourceldrain regions 73 and 74 of p-channel FET 40 are formed by diffusion of boron from doped PCilySilicon members 68 and 69; sourceldrain regions 75 and 76 of n-channet FET 30 are formed by diffusion of phosphorus from polysilicon members 65 and 66; extrinsic base region 81 is formed by diffusion of boron from doped polysilicon base member 35; and emitter region 77 is formed by diffusion of arsenic from doped polysilicon member 67. In the currently preferred embodiment, the junction depth of regions 73-76 and 81 are on the order approximately 0.17 microns while the junction depth of emitter region 77 is approximately 0.1 micron. Incidentally. the width of emitter region 77 is approximately 0.2 microns in the preferred embodiment o! the present invention.
Thus. the single anneal performs t, o functions: First it distributes the impurities uniformly throughout the polysificon members. Second. h diffuses those impurities into the silicon substrate to form hypershallow electrical junctions. (Note that in Figures 15A & 15B SDE polysilicon member 84 is shown having an associated n-type diffused region 82 directly below it in the silicon substrate. Diffusion region 82 extends down to collector plug 25 to form a low resistance contact with buried layer 13. Although not discussed above. SDE polysilicon member 84 is doped n-type using either emitter implant 43 or n-channef FET implant 41).
The invented BiCMOS process thus far described provides several unique advantages over prior art processes. To begin with. the present Invention implements a novel and useful planarizatiDn scheme by controlling the spaces etched into a first layer of polysilicon (i.e., waffelization). across the entire surface of the wafer. Once the waffelized spaces have been etched, planarization is achieved by a second deposition of polysilicon (isotropic) followed by an unmasked anisotropic etch. This produces an extremely high degree of planarization which permits extremely small device structures and spacings to be formed.
A second way that the invented BiCMOS process achieves narrow device spacing is by the method of using a thin field oxide to overcome the problem of exiended birds' beak. The high coupling capacitance -- which usually results from the use of thin field oxide -- is overcome by the novel metal interconnect scheme which will be deschbed shorily.
Planadzation is also aided by the use of amorphous po"ilicon, which is naturally-yery smooth and devoid of any grain structure. These properties facilitate reactive ion etching, making h easier to etch accurate features. The use of amorphous polysilicon layer -- lacking any grain structure -- also avoids creating nonuniformities or asperities in the underlying crystal. This is of particular imporiance in the situation where the polysilicon layer is etched down to the emitter regions of the bipolar junction transistors.
Another useful addendum to the invented method of fabrication is the ability to add well compensation implants into the process in a selfaligned r manner at the same lime that lightly doped drain and bipolar base implants are performed. As has been descdbed. no additional masking steps are needed for the well compensation implants. The effect of this implant however will be to reduce the FET junction capacitance by a factor of about three.
AdditiDnal!y, the presently invented BiCMOS process provides the availability of n-type and p-type FETs in enhancement. depletion or zero threshold types using only a single mask step and implant for each type of FET (i.e., n-type or p-type). This provides great flexibility in circuit design while using a minimal number of mask steps.
Finally, a novel and useful way to form polysificon sourceldrain sirueures and a novel way to form deep sub-micron poysificon bipolar emitters has been described. SDE polysilicon is implanted with apprDpriate doping types and subsequently acts as a diffusion source to produce hypershallow junctions. Source, drain, extrinsic base and emitter regions are formed simultaneously using a single anneal step. The resulting junction depths are highly controlled and provide superior transistor performance. In addition, SDE polysilicon may also used as a low resistance interconnect layer.
Overall. the combination of each of the above-described novel features in the invented BiCMOS process results in device structures and densities which are superior to those produced by prior art processes. At this stage. any of several methods may be employed to complete Jhe circuit structure. However, as will be discussed in detail, the present invention includes additional novel features which also contribute to enhanced device performance, reliability and layout density.
FORMATION OF THE DEVICE C014TACTS Referring now to Figures 1 SA & 16B, a poly 1 gate contact mask is utilized to create opening 86 over gate member 33 of p-channel FET 40.
This mask provides one means of access to the poly 1 (SDE poly, gate members. base contacts. poly interconnects and dummy pDlysilicon) level of the device structure. The approximate dimension of opening 86 is 0.5 microns in the preferred embodiment.
A salient feature of the invented process is the capability of having gate contacts overlap isolation or oxide regions without compromising the electrical integrity of the circuit. The only requirement With respect to gate contacts is that the gate contact opening 86 must not overlap any SDE poysilicon regions (e.g.. 68, 69. etc.) since the result would be an unwanted electrical connection. The capacity to be able to provide a gate contact to poly 1 which can overlap oxide and isolation regions is extremely desirable.
Overlapping gate contacts in this manner greatly improves the overall density of integrated circuit layouts. (Note that in Figures 16A & 16B the dimension of opening B6 is not shown to scale for illustrative purposes.) After gate contact regions 86 have been opened, the wafer is, subjected to an HF dip to clear any remaining oxide from the tops of the SDE polysilicon members (e.g., members 65-69). CDbaft is then evaporated or spLMeed over the entire surface of the wafer to a thickness of approximately 1 oooA.
The choice of coball is central to the particular metalization scheme employed in this process. Once cobalt sUicide is formed on the underlying potysilicon members it becomes impervious to neady all etchants. The physical properties of cobalt silicide make it unusual among rnetal sitic; des since it forms no volatile halides -- meaning, of course, that reactive ion or plasma etchers will not atiack or destroy it. Cobaft silicide is aIsLo capable of withstanding very high temperatures. This rineans that the poly 1 gate contacts do not need to be covered with a second polysilicon layer (poly 2) to protect them from poly 2 etch damage later in the process. Hence, an appropriate choice of silicide (cobalt silicide in this case) leads to a significant density improvement. This improvement is especially pronounced in the case of memory cells.
After the cobalt silicide has been formed using a rapid thermal anneal, the unreacled cobalt, i.e.. over oxide regions 57 and isolation regions 60 and 61, is sthpped away. Recognize that the cobah sificide towers the sheet resistance of polysilicon interconnection members (such as member 79) and provides a low contact resistance to the other polysificon members (e.g., 65-69). This has the salutary effect of spreading the current density up into the cobah layer and away from the substrate junctions.
A hydrogen alloy at 400 C in forming gas (15% H2 + 85% N2) is performed nexl to introduce hydrogen (H2) into the isolation regions of the circuil. Hydrogen is intentionally introduced to reduce the surface state charge density, Oss. Normally, in prior art processes, this step is done very late in the process. However. because all of the regions defined up to this point will soon be encased in a nitride layer (which is impenetrable to H2) the isolation oxide regions are loaded with hydrogen at this point in the process.
Once the cobalt silicide layer regions have been defined, the devices may be tested parametrically to viefity their functionality. Following parametric testing, the entire surface of the wafer is encased in a layer of silicon nitride to a thickness of approximately 1 OOOA. With reference to Figures 1 BA & 1 8B, this layer of silicon nitride is shown as layer 89.
Next, a poly llpoly 2 contact mask is used to open holes in the nitride layer 89 where poly 2 will contact poly 1. Figures IBA & 1 BB show openings to source 65 and drain 66 of n-channel FET 30, gate 33 of p-channel FET 40. and poly 1 interconnect members 76 and 79.
Obviously. these openings are by way of example and other connections or openings may be made to other poly 1 regions depending on the specific circuit design or layout.
Another basic feature of the presently invented CMOS process is that the contact openings in nilfide layer 89 are largely self-aligned. In other words, the contact openings can actually overlap into other regions (e.g., gates, isolation. oxide. etc.) since the reactive ion etch used to etch nitfide will not atlack oxide or cobalt silicide. It Should be recognized that had another type of silicide been used -- other than cobalt silicide -- it could have been removed during reactive ion etching of nitride layer 89.
FORMATION OF SECOND LAYER POLYSILICON RESIS1ORS AND INTERCONNECTS Following etching of nitride layer 89. a layer of titanium is patterned on the surface of the wafer using the well-known patterning technique of metal lift-off. Figures 19A & 19B show the patterned titanium 90 which. in the preferred embodiment, is deposited to a thickness of approximatelyl oDDA. Titanium layer 90 is used for low resistance poly 2 interconnect layers and also for low resistance contacts at the contact regions. or 31 endpoint terminals, of poly 2 resistors.
Referring now to Figures 20A & 20B, a second polysilicon layer 93 is deposited over the wafer following the patterning of titanium layer 90. The deposition Of PDlySilicon layer 93 occurs in a furnace at 5800 C to a total thickness of approximately 20o0A. As before. polysilicon layer 93 is amorphous in structure in order to take advantage of the superior planar properties that amorphous polysificon possesses. A 1000A silicon nitride layer 94 is then deposited over top of layer 93 using LPCVD techniques. A rapid thermal anneal at 10DO' C forms titanium disilicide (752) with those regions of polysilicon layer 93 which contact titanium layer 90. Nitride layer 94 protects polysilicon layer 93 from possible contamination during exposure to subsequent processing steps.
Once nitride layer 94 has been deposited a poly 2 mask is aligned to the poly Ilpoly 2 contact regions. The poly 2 mask is employed to define poly 2 interconnection layers as well as poly 2 resistors. Following patterning of the poly 2 mask. reactive ion etch is used to vertically etch down throuch nitfide layer 94, poly 2 layer 93, titanium disilicide layer 90 and any underlying silicon nitride present in layer 89. The reactive ion etch however will not etch through cobalt silicide or exposed oxide. This allows a considerable margin for misalignment in the poly 2 mask operation.
Figures 21A & 21B illustrate a cross-sectiDn of the wafer following the reactive ion etched used to etch PDly 2 and the surrounding nitride layers. As is clearly seen, this etching step defines pDfy 2 resistor 95 and poly 2 interconnect members 98 and 99. Recall that where poly 2 is used as an interconnect (as in member 98) the bottom portion of the poly 2 layer is completely silicided to form a low resistance interconnection medium. Conversely. where poly 2 is used as a resistive element (such as member 95) only the terminals or contact regions are silicided. Accordingly, resistor 95 connects gate 33 with source polysilicon contact member 65.
In ilts undoped state. polysilicon has an exiremely high resistivity -- on the order of 109 ohmsJsquare -- versus about 1 ohm/square for completely silicided polysilicon.
A significant by-product of the above-deschbed method of defining poly 2 regions, is that it makes it possible to create titanium silicide lines which are much narrower than would normally be achievable using ordinary lithographic techniques (e.g., 0.1 micron interconnection lines). The reason for this is that the titpnium interconnection lines in this process are first patiemed and defined using a first mask layer (i.e., titanium metal-lift-off mask). and then subsequently etched using a second mask (i.e., poly 2 mask). Taking advantage of the fact that mask alignment is considerably more accurate than the optical resolution for a given mask layer, these two masking steps (along with the vertical reactive ion etch) can be used to define features having extremely narrow dimensions. In other words, this method provides a way of generating sub-micron. or sub-lithDgraphic features by taking the image defined by one mask and moving it to two masks. Therefore, although Figures 21 A & 21 B show titanium slilicide layers having dimensions defined by the lift-Dff pattern a single mask. it is appreciated that much narrower interconnection lines - on the order of tenths of microns -- may also be achieved.
After the PDly 2 layer has been etched, another nitride layer is then deposited over the entire wafer to a thickness of approximately 1 DODA. In Figures 22A & 22B this nitride layer is represented by layer 101. Silicon nitride layer 101 is used to envelop and protect all of the devices.
Although at this point it appears that our goat of complete planarizatiDn has been defeated by the PDly 2 deposition, it should be emphasized that the design rules in the preferred embodiment process prohibit direct contacts to polysilicon 2. Consequently, planarization actually remains intact since all contacts still reside in the same general - plane. Moreover. as will be seen. additional steps are taken in the Invented process to assure that planarization is re-established at every level of the metalizatiDn prccess.
FORMATION OF THE A[R.BRIDGE METAL INTERCONNECTS In the remaining steps, a process to form a planarized, air-bhdge interconnect system will be described. As a bdef introduction, in this process an organic malefial (e.g., polyimide) is used as a temporary intedayer dielecthc. Pedestal contacts and pedestal vias are utilized along with etch back planadzation techniques to achieve a highly planar muffilayer metalization scheme. In the currently preferred embodiment this metalization scheme is implemented with two layers of metal h should be understood, however, that the process is not sthcliy limited in the number of layers which may be implemented.
Referring to Figures 22A & 22B, a cross-section of the wafer is illustrated following the definition of the pedestal contact openings 97. Pedestal contact openings 97 are formed using conventiormi masking techniques to etch nitride layer 101 in those areas where pedesial contacts will be placed. For example. pedestal contact openings are positicned over polysilicon member 84, member 67 and isolation region ú1.
After the pedestal contacts have been formed, planarization is reestablished at the nexl highest level using a method which is radically different when compared to prior art techniques. In the prior a.1, oxide openings to the underlying substrate are usually filled with metal. The metal is deposited over all of 'the irregularities or steps present in the substrate surface. In contrast, pedestal contacts in the invented process protrude above the silicon surlace to a height which is above the highest irregularity in the unplanarized surface.
Observe that in Figures 22A & 2213, the contact openings that are etched through nitride layer 101 are highly self-aligned. Once again, because the reactive ion etch used to etch silicon nitride is impervious to oxide or cobah silicide, the contact openings for the pedestals can extend over the bases and gates of devices. They can also exlend over isolation regions. This self-aligning contact scheme, by itself. results in a fundamental 34 improvement In overall circuit density.
Contacts must normally be kept well away from gates and field oxide regions to prevent elther a gate or a field short. Generally, this rule resubs in a minimum transistor which consists of a tiny active region with the adjacent contacts being disposed in huge open areas around the pefiphery of the transistor. Obviously this wastes a great deal of silicon area. Conversely, in the invented BiCMOS process contacts can have more than 50% of their total area residing over gate or field oxide regions.
Referhng now to Figures 23A & 2313, a metal lift-off technique is used to define the pedestal contacts. Photoresist is first deposited over the wafer and then developed, exposing pedestal contact openings down to the substrate. A titanium layer 105 is then deposited to a thickness of 1000A. This is followed by a platinum deposition to form layer 1 D6 to a thickness of wook A gold layer 102 is then deposited to a thickness somewhere between 7000-10,000A.
Gold is the main current carrying member of the pedestal while platinum provides a barrier to preveni gold diffusion into the underlying subsirate region. Titanium is included in the structure because of its low resistivity and its propensity to adhere to silicon. Because gold has one of the highest elect romig ration resistance levels of all the metals, this pedestal contact structure -- compdsing titanium. platinum and gold -- is one of the most reliable metal contacts that may be produced in semiconductor technology today.
h is appreciated that because of the relative height of each of the pedestals (9000-12,0DDA), more than one layer of pholoresist may need to be applied during the lift-off process. To make a thicker pedestal. a thicker photoresist layer is simply applied. Note also that in Figures 23A & 23B. all of the pedestals shown are illustrated as having the same dimension. that is.
equal height and width. Although the pedestals are formed to the same 3D height in this process, there is no requirement that any single pedestal be identical to any other pedestal in length and width. After the pedestals have been defined, a 4100 C alloy in forming gas is performed.
Once alloyed, a layer of polyimide is spun onto the wafer and cured at a temperature of 2500 C. Well understood, polyimide is a plastic matehal with great strength and a very high melting point. The surface tension created from spinning the wafer distributes the polyimide uniformly across the wafer surface. Curing it at 250' C evaporates out the solvent components of the polyimide and forms cross-linked bonds.. Bond formation is frequently referred to as imidizatiDn'. While cuhng at 25DO C hardens the polyimide, it does not fully imidize the polyimide, as this would make the matehal virtually impossible to etch.
After curing, the flat polyimide layer has a thickness of approximately 25,OOOA. This is sufficiently thick so that the underlying topology has practically no effect on the surface tension of the polyimide. This insures a flat, planar upper surface. A reactive ion etch in 02 is then performed to anisotropically etch back the cured pDlyimide until the tops of the pedestals 102 appear.
When the tops of the pedestal contacts have been exposed, two layers of pholoresist are applied over the surface of the wafer. This phottoresist film is then masked to define metal 1 interconnection patterns.
2D Metal 1 deposition then takes place. As with the pedestal contacts, a thin layer of titanium is first deposited. This titanium layer is shown in Figures 24A & 24B as layer 107. Next. approximately l 0,000A of gold is deposited as the main current carrier of the metal 1 interconnects 108.
Incidentally, the thickness of the titanium layer is approximately 100A while the gold may range anywhere from between 7000-1 ci.000A thick in the preferred embodiment. The metal 1 lines 108 are once again defined using metal litt-off. (Note that in Figures 24A & 24B, the polyimide layer is not explicitly shown. Nevertheless, h is understood to be co-planar with the bottom of titanium layer 107.) Consider that in Figures 24A & 24B. pedestal contact 102 are used in several different capacities. First of all. pedestal contacts 102 provide - 3 6- - elee,rical connection to the underlying transistor structures. For example, emitter 77 of NPt4 bipolar transistor 20 is coupled directly to metal 1 using a pedestal contact 102. Similarly, the collector contact of WT 20 is also connected to metal 1 through a pedestal contact.
Pedestals may also function as structural or physical support members. Figures 24A & 24B show a pedestal contact positioned over isolation region 61. The sole function of this pedestal contact is to provide structural support to the overhead metal 1 interconnect lines. Support members are necessary for those applications in which the underlying polyimide is subsequently removed (for reasons to be discussed later). In a sense. the entire metal Iimetal 2 interconnection system is analogous to a kind of microscopic electronic freeway system that carfies current in meta! lines suspended above the substrate surface.
The particular need to remove the underlying polyimide for certain applications gives rise to vahous design rule constraints. For instance, in the preferred embodiment of the present invention, the metal 1 interconnect lines have a minimum width of 0.5 microns and a maximum width of 2.0 microns. The maximum width constraint is necessary to allow the polyimide etchant to penetrate to the underlying polyimide. If metal 1 interconnections had no width constraints. h is possible that polyimide underlying a wide area of metal 1 might not be effectively removed. T he maximum metal 1 design rule constraint therefore necessitates the use of parallel strips of metal for high power lines.
The design rules in the currently preferred embodiment also restrict the maximum pedestal spacing to approximately 10 microns. Again. this rule is developed from the need to physically supporl the metal 1 interconnection fines. Pedestal contacts spaced greater than 10 microns apart create a dsk of having metal 1 interconnect, lines collapse onto the devices below.
Referring now to Figures 25A & 25B. via pedestals 110 are shown formed on top of metal 1 lines 108. These via pedestals are formed using the same processing steps which were used to construct both the pedestal contacts 102 and metal interconnect knes 108. That is, the polyimide layer is first spun and cured onto the wafer. and then vertically etched exposing only the lops of the metal 1 interconnect lines. Then, using a twD-layer phOtDreSiSt metal lift-off technique, a titanium layer 109 is first deposited followed by a gold deposition to form the via pedestals 110. The thicknesses of layers 109 and 110 are approximately 1 ODA and 70C)C)-1o,oc)oA, respectively. h should be understood that in each of the layers 105, 107 and 109, chrome or some other similar metal, may be substituted for titanium -- the purpose of these layers being only to provide improved adhesion for the overlying gold.
After the lift-otf process which defines via pedestals 110, another layer of polyimide-is spun on the wafer. cured and then vertically etched back to expose the tops of via pedestals 110. Metal 2 interconnections are then formed in the same manner as has been described in connection with the metal 1 interconnection lines.
Figures 26A & 26B show the completed metal imerconnection system with titanium adhesion layer 111 directly below metal 2 interconnect lines 112. One of the beneficial features of the presently invented interconnect structure is that vias can sit directly over device contacts. This is highly desirable for realizing high density circuits and is generally prohibited in conventional processes.
Although it is not shown in any of the Figures. h is entirely possible to have a metal interconnection stack consisting of a pedestal contact 102, a metal 1 layer 108 and a via pedestal 110 whose sole function is to provide physical and structural support for the metal 2 Interconnection lines 112.
Such a metal stack would have its pedestal contact formed over oxide or isolation regions and would not provide any electrical connection to the underlying layers (e.g., substrate).
To form bonding pads. a polyimide is spun and cured and subsequenfly etched back to the lops of the metal 2 interconnect fines 112.
- 38 Titanium (approximately 100A) followed by gold (approximately 1000A) is then deposited across the entire surface of the wafer to provide a plating base for the bonding pads. Next. the wafer is photolithographically masked to open the bonding pad locations. An electroplate of gold 25 microns thick is then deposited. This thickness of gold is preferred to accommodate tape aulomated bonding (TAB) following lift-off of the thick gold layer.
Although this completes the integrated circuit, it remains highly capacitive since polyimide is still present between the metal 2.1metal l /silicon surface regions. For those applications which are not particularly lo sensitive to this type of capacitance, or are relatively immune to the hydroscopic properties of polyimide, another layer of protective polyimide could be spun and cured over the surface of the wafer for mechanical protection. The wafer would then be sawn. broken. and packapjed into a suitable plastic package. This would represent a lowcost. low-performance type of device.
For higher performance devices. the water is placed back into a reactive ion etch (02) until all of the polyimide not protected by metal (in a vertical direction) is etched out. Recall that a reactive ion etch as highly directional, i.e., anisotropic. Etching the polyimide in this way wDuld allow opening of underlying polysilicon fuses. These fuses may be opened or blown energetically (e.g., laser) as required for the particular application. For example. redundant columns and memory cells often rely on the use of polysilicon fuses for interconnection.
Of course, this requires careful mask design of the metal 1 and metal 2 mask layers So that polysilicon fuse locations are never covered by metal 1 or metal 2 interconnect. Once the fuses have been opened. another layer of polyimide may be spun and cured over the wafer for mechanical protection. The wafer may then be backlapped and sawed into individual die. At this stage. the die could be bonded into any type of appropriate package (e.g., DIP. leadless chip carrier. etc.) or directly into a printed circuit board.
Once bonded, an oxygen asher etch (highly isotropic) is used to clear all of the PDlyiMidg from the die surface. The oxygen plasma ashers the polyimide (i.e., converts h to gas) out from under the metal interconnect lines leaving them supported solely by their pedestals. This means that, each metal interconnect line is now completely suspended in space. This results in the lowest possible parasitic capacitance for improved device speed at lower power levels.
As an alternative, an anisotropic etch may be used to remove the polyimide between the lines but not directly under them. This would result in a compromise structure wherein lateral coupling capacitance is reduced, but vertical coupling capacitance is not. Once the underlying polyimide is removed the part may be baked and encapsulated with a lid.
Where air bridge interconnects are undesirable or unnecessary. another alternative exists. In this approach, after the polyimide has been removed the die or wafer is coated with colloidal suspension of Teflon in water. This substance is then dried and sintered to-fuse the Teflon into a solid mass. The Teflon plus water mixture flows by capillary action into the spaces or voids lefl by the ashering process. After sinterinp it will appear as if it has simply replaced the polyimide between the metal lines. Because Teflon has one of the lower dielectric constants of any solid, the resulting structure will have minimum parasitic capacitance for a solid structure. This technique can also be performed using plasma techniques to deposit an appropriate fluorocarbon polymer. Thus. other materials or polymers may be implemented using appropriate deposition processes.
APPLICATIONS OF THE PRESENTLY INVENTED BICMOS PROCESS The use of an air-bridge interconneCtiDn system also opens up the possibility of many new and exciting applications in the semiconductor technology field. For instance, instead of backfilling the air spaces with TefiDn or polyimide, an organic material such as a liquilid crystal or a Kerr cell material (e.g., nitrDbenzene) may be substituted. By tailoring the air bridges 1 o precise dimensions and backfilling with an organic material such as nitrobenzene, optical waveguide modulators may be created. Optical waveguide modulators are exceedingly useful for certain communications applications.
Careful selection of electrical/optical materials ran also produce phase shifts In various signals to achieve intensity modulation. This would make It possible to build. by way of example, a 10,000 channel gigaheriz speed optical modulator. Electro-optical semiconductor technology could resuft In devices wherein portions of a semiconductor drcult furnish intelligence while other sections provide modulation or optical coupling to laser-based interconnections. Accordingly, the air bridge interconnection scheme of the presently invented BiCIVIOS process is extremely rich and diverse in its possibilities.
is In addition to organic materials. chemical or even biological materials may also be backfilled or implanted into the air bridge interconnect gaps to produce a heretofore unknown type of semiconductor device. For instance, a biological matefial or tissue could be backfilled into the air bridge voids as part of a biomedical device to be Implanted into a biological organism. An appropriate filler material could provide the proper interface with the host organism, thereby eliminating or reducing the possibility of rejection. h is conceivable that such devices could find future application in heart pace-makers, kidney implants, brain implants, and so on.
Thus, a process for simultaneously fabricating MOS-type semiconductor devices and bipolar junction transistors on the same silicon substrate. has been described.
The present application has been divided out of U.K. patent Application No. 9213519.3 (2256527),[derived from PCT/US91/002111 in which there is described and claimed a method of fabricating MOSFErs and BJTs in a substrate using a process of patterning a first conductive layer with equal spacings and providing a second conductive layer in the spacings.
41 -

Claims (10)

1. In a process for fabricating metal-oxidesemiconductor (MOS) devices, a method of fabricating polysilicon resistors and polysilicon interconnects above the substrate surface comprising the steps of:
(a) defining contact openings to the source, drain and gate members of said devices; (b) depositing a metal layer over said surface, said metal layer filling said contact openings; (c) patterning said metal layer to define electrical contacts to said source, drain and gate members, said contacts acting as the terminals of said polysilicon resistors, said patterning step also defining interconnect lines in said metal layer; (d) depositing a layer of polysilicon over said surface; (e) patterning said polysilicon layer to define said polysilicon interconnects and said polysilicon resistors such that said polysilicon layer IS substantially coincident with and disposed above said interconnect lines wherever said polysilicon interconnects are formed, and extending between said contacts wherever said polysilicon resistors are formed.
2. The method as defined in claim 1, further comprising the - step of doping said polysilicon interconnect lines to further reduce the resistance therein.
3. The method as defined in claim 2, wherein said metal layer comprises titanium.
42 -
4. The method defined by claim 3, further comprising the step of annealing said devices to form titanium silicide in said electrical contacts following step (c).
5. The method defined by claim 4, wherein said polysilicon resistors and polysilicon interconnects are insulated from said substrate everywhere except where said contact openings are defined.
6. The method defined by claim 5, wherein said polysilicon layer is amorphous in structure.
7. The method defined-by claim 6, further including the step of depositing a layer of silicon nitride over said substrate to encase said polysilicon resistors and polysilicon interconnects in a protective insulative layer.
8. The method defined by claim 7, wherein said polysilicon interconnects have a sheet resistance of about 1 ohm per square.
9. The method as defined by claim 3, further comprising the step of:
(f) annealing said devices to form a titanium silicide layer wherever said layer of polysilicon has been deposited over said titanium layer.
10. The method as defined by claim 9, wherein the top surfaces of said polysilicon resistors and said polysilicon intericonnects are substantially co-planar with one another.
GB9320220A 1990-01-10 1993-09-30 Process for fabricating polysilicon resistors and interconnects Expired - Fee Related GB2269937B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/463,290 US5112761A (en) 1990-01-10 1990-01-10 Bicmos process utilizing planarization technique
GB9213519A GB2256527B (en) 1990-01-10 1992-06-25 Bicmos process utilizing novel planarization technique

Publications (3)

Publication Number Publication Date
GB9320220D0 GB9320220D0 (en) 1993-11-17
GB2269937A true GB2269937A (en) 1994-02-23
GB2269937B GB2269937B (en) 1994-09-07

Family

ID=26301127

Family Applications (4)

Application Number Title Priority Date Filing Date
GB9320221A Expired - Fee Related GB2269938B (en) 1990-01-10 1993-09-30 Method of forming self-aligned contacts in a semi-conductor process
GB9320219A Expired - Fee Related GB2270420B (en) 1990-01-10 1993-09-30 Bicmos process for producing fet transistors with reduced junction capacitance
GB9320220A Expired - Fee Related GB2269937B (en) 1990-01-10 1993-09-30 Process for fabricating polysilicon resistors and interconnects
GB9320218A Expired - Fee Related GB2270419B (en) 1990-01-10 1993-09-30 Process for forming raised interconnects in an intergrated circuit

Family Applications Before (2)

Application Number Title Priority Date Filing Date
GB9320221A Expired - Fee Related GB2269938B (en) 1990-01-10 1993-09-30 Method of forming self-aligned contacts in a semi-conductor process
GB9320219A Expired - Fee Related GB2270420B (en) 1990-01-10 1993-09-30 Bicmos process for producing fet transistors with reduced junction capacitance

Family Applications After (1)

Application Number Title Priority Date Filing Date
GB9320218A Expired - Fee Related GB2270419B (en) 1990-01-10 1993-09-30 Process for forming raised interconnects in an intergrated circuit

Country Status (1)

Country Link
GB (4) GB2269938B (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10142690A1 (en) * 2001-08-31 2003-03-27 Infineon Technologies Ag Contacting the emitter contact of a semiconductor device
US11637016B2 (en) 2013-12-11 2023-04-25 Ideal Power Inc. Systems and methods for bidirectional device fabrication
CN106062958B (en) 2013-12-11 2019-11-19 理想能量有限公司 System and method for bilateral device manufacture
CN110648913A (en) * 2019-10-11 2020-01-03 福建省福联集成电路有限公司 Gallium arsenide-based diode device structure and manufacturing method

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4614021A (en) * 1985-03-29 1986-09-30 Motorola, Inc. Pillar via process

Also Published As

Publication number Publication date
GB2270420A (en) 1994-03-09
GB2270420B (en) 1994-09-07
GB2270419B (en) 1994-09-07
GB2269938A (en) 1994-02-23
GB2270419A (en) 1994-03-09
GB2269938B (en) 1994-09-07
GB9320219D0 (en) 1993-11-17
GB9320218D0 (en) 1993-11-17
GB9320221D0 (en) 1993-11-17
GB2269937B (en) 1994-09-07
GB9320220D0 (en) 1993-11-17

Similar Documents

Publication Publication Date Title
US5112761A (en) Bicmos process utilizing planarization technique
US5171713A (en) Process for forming planarized, air-bridge interconnects on a semiconductor substrate
US5182225A (en) Process for fabricating BICMOS with hypershallow junctions
US5798553A (en) Trench isolated FET devices, and method for their manufacture
JP3031855B2 (en) Method for manufacturing semiconductor device
US5780898A (en) Semiconductor device with a vertical field effect transistor and method of manufacturing the same
EP0208935A1 (en) Narrow channel width fet
US6365525B2 (en) Method of fabricating a semiconductor insulation layer
KR20030032836A (en) A method to form a self-aligned CMOS inverter using vertical device integration
US4891328A (en) Method of manufacturing field effect transistors and lateral bipolar transistors on the same substrate
JPS6318641A (en) Manufacture of semiconductor device
JP2543948B2 (en) Method for manufacturing semiconductor device
JPH01144669A (en) Hybrid integrated circuit of bipolar transistor and mos transistor and its manufacture
JPH07123141B2 (en) Method for manufacturing high-speed low-leakage CMOS / SOI device with radiation curing
US6316318B1 (en) Angled implant to build MOS transistors in contact holes
JPS6080276A (en) Method of forming semiconductor element
US7704892B2 (en) Semiconductor device having local interconnection layer and etch stopper pattern for preventing leakage of current
US5106768A (en) Method for the manufacture of CMOS FET by P+ maskless technique
US4081896A (en) Method of making a substrate contact for an integrated circuit
US6184105B1 (en) Method for post transistor isolation
GB2269937A (en) Polysilicon resistors and interconnect lines for integrated circuits
EP0468271A1 (en) Bipolar transistor and method of manufacture
US5114874A (en) Method of making a sub-micron NMOS, PMOS and CMOS devices with methods for forming sub-micron contacts
EP0164737A2 (en) A method of fabricating self-aligned regions in a substrate
KR100412539B1 (en) BCD device and method of manufacturing the same

Legal Events

Date Code Title Description
PCNP Patent ceased through non-payment of renewal fee

Effective date: 19970110