GB2154330A - Fabrication of semiconductor devices - Google Patents

Fabrication of semiconductor devices Download PDF

Info

Publication number
GB2154330A
GB2154330A GB08503653A GB8503653A GB2154330A GB 2154330 A GB2154330 A GB 2154330A GB 08503653 A GB08503653 A GB 08503653A GB 8503653 A GB8503653 A GB 8503653A GB 2154330 A GB2154330 A GB 2154330A
Authority
GB
United Kingdom
Prior art keywords
photoresist
silicon
semiconductor wafer
resist
molecules
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
GB08503653A
Other versions
GB8503653D0 (en
Inventor
Russell Alan Morgan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
British Telecommunications PLC
Original Assignee
British Telecommunications PLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by British Telecommunications PLC filed Critical British Telecommunications PLC
Publication of GB8503653D0 publication Critical patent/GB8503653D0/en
Publication of GB2154330A publication Critical patent/GB2154330A/en
Withdrawn legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds

Landscapes

  • Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

The resistance of photoresists to plasma etching is increased by introducing silicon into the photoresist. Silicon may be introduced into a conventional photoresist after it has been deposited on a semiconductor wafer, or the photoresist may be made from materials containing silicon. Silicon may be introduced into deposited novolac resin by exposure to an atmosphere of tetrachlorosilane or tetramethylsilane; silicon substituting for non-sterically hindered active hydrogens. The silicon thus introduced can cross-link to form an SiO matrix. Such photoresists have particular application to the etching of polyimide using an oxygen plasma.

Description

SPECIFICATION Semiconductor device fabrication The invention relates to the fabrication of semiconductor devices and to photoresist materials used in the production of semiconductor devices.
Photolithography is a well known technique used during production of semiconductor devices such as monolithic integrated circuits. In photolithography, a coating of a photosensitve lacquer (photoresist) is deposited on a semiconductor wafer, and exposed to a strong UV light source through a photomask. The photoresist is then developed with a liquid developer which, depending upon whether the photoresist is positive or negative, dissolves either the exposed or the non-exposed areas. Following development of the resist, those parts of the underlying layers no longer covered by resist can then be etched. Where narrow linewidths, i.e. of the order of 2 or orless, are required it is known to use anisotropic etching processes such as plasma etching to maintain linewidth fidelity.In these anisotropic etching processes the rate of etching in a direction perpendicular to the surface of a semiconductor device be made very much greater than the rate in lateral directions. In isotropic etching processes, which are generally wet processes, the lateral etching rate is of the same order as that perpendicular to the surface, resulting in undercutting of the photoresist with consequent loss of detail.
Unfortunately, plasma and other dry etching processes are non-selective in their etching action. Unless measures are taken to harden the photoresist pattern, it will also be etched, which can result in complete breakthrough and exposure of the underlying layer. Most photoresists are organic polymers, and the simplest hardening method is to heat treat the resist so as to produce cross linking. If the heat treatment temperature is below the glass transition temperature tg there will only be a small degree of cross linking and hence little hardening.
Greater cross linking can be achieved by increasing the temperature, but if tg is exceeded, flow of the photoresist will occur. The result of such flow is a rounded cross section of the photoresist pattern which leads to bevelling of the etched profile and ultimately to trapezoidal, rather than rectangular, cross sections of etched features on the semiconductor device. If overetching is employed to ensure complete removal of material not covered by the photoresist pattern, irremediable loss of line width in the final circuit pattern can occur; the rounded cross section produced by flow, means that the thickness of the photoresist material is reduced towards the edges of the pattern features. This problem is intensified by the current trend to use thinner resist layers to improve the resolution of the resist printing process.In view of this there is a need to improve the selectivity between the resist and the film to be etched.
A known method of combatting flow of the photoresist polymer under heat treatment is to introduce an electron beam radiation step before the heat treatment. A further proposal has been to completely replace the heat treatment of the photoresist by electron-beam treatment.
Both these techniques rely on the high degree of cross linking caused by the electron-beam bombardment of the photoresist polymer.
However, in some etching processes the improvement in selectivity produced by electron beam treatment is too small to have any useful effect on the etching process. This has been found, for example when etching fully cured polyimide with a resist mask in an oxygen plasma.
Polyimide is used on an increasing scale as a dielectric and planarising layer, and it must be etched to produce 'vias' or holes through to the substrate beneath the polyimide. The resist masks used in this process tend to etch faster than the polyimide, and this leads to bevelling and linewidth loss in the polyimide, and undesirable via size increase.
After this plasma etching of polyimide film, the normal resist mask can be removed with a simple solvent such as Methyl Isobutyl Ketone (MIBK), to leave the etched polyimide film.
However during electron beam pre-treatment of the resist, the polyimide may undergo similar cross linking which may impair its dielectric properties.
The present invention seeks to provide an alternative method of reducing resist etch rate and to improve the selectivity when etching polyimide by incorporating silicon into the photoresist.
According to a first aspect of the present invention there is provided a semiconductor wafer having deposited on its surface a photoresist, molecules of which contain silicon.
According to a second aspect of the present invention there is provided a method of fabricating a semiconductor device, the method including the step of producing on a semiconductor wafer a layer of photoresist material, molecules of which contain silicon.
The present invention will now be further described by way of example only with reference to the accompanying Figures, of which Figure 1 shows a structure for a typical polymeric photoresist; Figure 2 shows how SiX3 groups may be substituted in the structure of Fig. 1; Figure 3 shows a possible structure resulting from the cross linking of the structure of Fig. 2.
Figure 4 is an optical micrograph of vias plasma etched in polyimide using a conventional resist; Figure 5 is an optical micrograph of vias plasma etched in polyimide using a resist according to the present invention; Figure 6 is an SEM micrograph corresponding to Fig. 4; Figure 7 is an SEM micrograph corresponding to Fig. 5.
The structure of a typical polymeric photoresist, a novolac phenolic resin, is shown in Fig. 1.
As can be seen the polymer comprises a chain of phenolic groups linked by means of methylene bridges.
Novolacs are formed by the reaction of phenols and/or cresols with formaldehyde. R1, R2, R3, which may be the same or different, and may occur on all or only on some of the phenol groups, are known as ballast groups. By varying the position, structure and combination of the ballast groups, it is possible to tailor the photoresist's properties. Those skilled in the art will be aware that it is possible to control the molecular weight, solubility and rheological properties amongst others by varying the composition and position of the ballast groups. They will know in addition that different proprietory photoresists have different ballast groups, although the exact details of these ballast groups are not generally made public. Those skilled in the art will also be aware that there are many groups suitable for use as ballast groups, including the examples shown in Fig. 1a.
The phenol's - O H groups contain active hydrogen atoms such as are attached to other proton activated acceptor groups, such as - O H in alcohols and carboxylic acids and - N H in amines and amides. Active hydrogens such as these can be replaced by silicon in what can be termed a silylation reaction.
Usually the silicon will be in the form of ~SiX3 where the X may be - CH3 or - Cl for example.
Active hydrogens are not present in all polymers, for example in fully cured polyimide the - N H groups are lost during the imidization reaction when heated above 1 40 C. The active hydrogen is lost via a condensation reaction forming an imide on ring closure.
However, in polymers of the type shown in Fig. 1 of which Novolac HPR206 is an example, there are sterically non-hindered - O H groups available for silylation. Fig. 2 shows how silicon, in this case SiCI3 may be substituted in place of the active hydrogens of the phenol groups of Fig. 1. This substitution may be effected by exposing the photoresist polymer to silicon tetrachloride at room temperature.
The final stage of the reaction involves cross-linking between the substituted groups of Fig. 2 and produces a structure as shown in Figs. 3. It can be seen that the substituted groups of Fig.
2 have cross linked to form an Si-O matrix between two polymer chains. It is likely that some cross-linking occurs between the substituted groups very early in the silylation process; however the extent of cross linking increases with temperature, at least up to about tg, and it is convenient to heat to increase the rate of reaction. The presence of the Si-O matrix substantially prevents resist flow at high temperatures. Furthermore, the Si-O matrix produced is a good mask during oxygen plasma etching; resist loss is mitigated by Si-O enrichment occuring by oxidation of the resist surface.
The resist with an Si-O matrix has also proved to be very resistant to erosion during chloride plasma etching.
Infra-red analysis indicates that the silylation process results principally in the formation of a silylated skin, the bulk of the resist remaining un-silylated.
Experimental A novolac photoresist (Novolac HPR206) was spin coated onto polyimide coated silicon wafers and infra-red soft-baked at 82 C for 10 mins to remove excess solvent, optically exposed to a test mask including features down to 1 ,um linewidth and developed using a conventional wet chemical process.
The samples were then split into two groups. The first group was used to investigate the etch properties of the silylated photoresist. The samples of the first group were placed in a desiccator containing silicon tetrachloride for between 6-24 hours at room temperature after which they were removed. As silicon tetrachloride rapidly hydrolyses in contact with moist air forming SiO2 dust and hydrogen chloride, the SiO2 can precipitate onto the wafter surface if water vapour is present during the reaction. For this reason the desiccator and surrounding area (glove box) should be flushed with dry nitrogen.
After removal from the dessicator, each wafer was heat treated by heating to 50 C to promote cross linking. Rapid heating was found to cause cracking in the silylated resist layer. No cracking occurred if the temperature was increased to 50 C over a period of about an hour.
After heat treatment the wafers were given a water wash, dried and were then ready to be etched.
The second sample group was used as a control.
Etching was carried out in an Electrotech 317 planar plasma etcher. The samples then etched in an oxygen plasma at 2 Amps rms, 100 mtorr pressure.
Results and discussion A A comparison between resist loss with silylated and non silylated (control) resist was made by etching vias in polyimide using an oxygen plasma. The results can be seen from Figs. 4 and 5, which are optical micrographs, and Figs. 6 and 7 which are SEM micrographs. Fig. 4 clearly shows that the control resist allowed considerable via size increase compared with the silylated sample shown in Fig. 5. The control sample lost all but a trace of its resist during a 10 minute etch, resulting in large vias, whereas the silylated sample retained its initial thickness and original via size.
Fig. 6 shows more clearly the loss of resist which occurred with the control wafer. The substrate surface can be seen at the bottom of the large shallow vias, while the virtually total loss of resist has exposed the polyimide surface which appears mottled due to the effects of the plasma. In Fig. 7 it can be seen that the silylated resist has withstood the plasma, maintaining its thickness and small via size. The extra depth and smaller diameter of the via holes in Fig. 7 means that the substrate is not visible. In this case the reduction in resist etch rate in an oxygen plasma is from 2000 A per minute for the control to about 100 A per minute for a fully silylated resist sample.
The silylated resist also exhibits very good image stabilisation. Samples have been taken to 200 C with no flow, although cracking of the resist may occur on large silylated areas if the temperature is increased too suddenly. If the resist is not sufficiently silylated, image stability may be lost; the intra molecular bonding of the -OH groups in the resist may be lowered, resulting in flow even at temperatures below 1 00 C unless there is sufficient Si-O cross linking.
Polyimide is slightly permeable to silicon tetrachloride vapour and for this reason the silylation of the resist should be accomplished in no more than about 30 hours. If polyimide is exposed to silicon tetrachloride for much longer than this, there is a possibility that SiO2 may be formed (other than by silylation) in the polyimide during subsequent etching and this could inhibit etching of the polyimide.
It is also preferable that the polyimide be fresh and fully cured, as water absorbed by the polyimide is likely to react with the SICK, to produce unwanted SiO2. The problems resulting from residual absorbed water may be reduced if the silylation is carried out at reduced pressure, or follows a reduced pressure step. Reduced pressure silylation may be expected to give improved permeation of the resist.
If the polymide is to etch satisfactorily it is also important that no resist residue (i.e. in the areas where the resist has been removed during resist pattern production) remains on the polyimide, because this will silylate and interfere with polyimide etching.
The silylated resist is insoluble in organic solvents (although it is soluble in HNO3.NO2) and therefore removal after etching may appear to be a problem. However, the silylated skin can be removed in a carbon tetrafluoride plasma, for example in an Electrotech 317 at 2 Amps rms, 100 mTorr pressure for go seconds. Once the skin has been removed the remaining resist can be dissolved in acetone leaving the polyimide layer. However, there are potential disadvantages to this method of resist removal; CF4 plasmas produce F radicals which may attack the exposed polyimide sides of the vias, additionally polymer formation may occur in the CF4 plasma, and this may cause problems in subsequent processing.
An alternative method of removing the resist involves coating the polimide with polymethylmethacrylate (PMMA). The PMMA is heat treated, at say 1 40 C for 30 minutes. Onto the baked PMMA is coated the photoresist, which is processed as above. Provided the PMMA has a high molecular weight (above about 200,000) e.g. 600,000, it acts as a good barrier against permeation by SiCI4. After silylation the PMMA and polyimide can be etched in the oxygen plasma in one go. Alternatively, the PMMA can be removed by deep flood UV exposure and developed away using isopropyl alcohol (IPA)/methyl isobutylketone (MIBK). After plasma etching, the photoresist may be removed quite easily because the PMMA can be dissolved away using acetone, to leave the etched polyimide layer.
Instead of producing a resist containing silicon by silylating commercially available photoresists after they have been deposited in a wafer, there would clearly be advantages in being able to use a photoresist whose molecules contain silicon even before it is deposited on the wafer.
With a novalac resin it is, of course, possible to include silicon in some or all of the ballast groups. Other polymers which could be used as deep UV photoresists are copolymers of methyl methacrylate ( MMA) and compounds containing silicon such as tris (trimethylsiloxy) methacry loxypropyl silane, i.e., ((CH3)3SiO)3 SiCH2 CH2 CH2 O-CO-C(CH3) = CH2

Claims (23)

1. A semiconductor wafer having deposited on its surface a photoresist, molecules of which contain silicon.
2. A semiconductor wafer as claimed in claim 1 wherein between the photoresist and the substrate there is a polyimide layer.
3. A semiconductor wafer as claimed in any preceding claim wherein between the photoresist and the substrate there is a layer of polymethyl methacrylate.
4. A semiconductor wafer as claimed in any one of the preceding claims wherein the photoresist comprises a novolac resin.
5. A semiconductor wafer as claimed in any one of the preceding claims wherein the silicon present in the photoresist is substantially confined to a layer in the surface.
6. A semiconductor wafer as claimed in any one of the preceding claims wherein the silicon present in the photoresist forms an SiO matrix.
7. A device made from a semiconductor wafer according to any one of the preceding claims.
8. A method of fabricating a semiconductor device, including the step of producing on a semiconductor wafer a layer of photoresist material, molecules of which contain silicon.
9. A method as claimed in claim 8 wherein the silicon is incorporated into the photoresist material after it has been deposited on the semiconductor wafer.
10. A method as claimed in claim 9 wherein the silicon is incorporated into the photoresist after it has been deep u.v. exposed.
11. A method as claimed in claim 8 or claim 9 wherein the silicon is introduced into the photoresist after it has been developed.
12. A method as claimed in any one of claims 9 to 11 wherein the silicon introduced into the photoresist material replaces active hydrogen atoms from molecules of the photoresist.
13. A method as claimed in any one of claims 9 to 12 wherein the silicon is introduced into molecules of the photoresist in the form of SiCI3 or Si(CH3)3 groups.
14. A method as claimed in any one of claims 8 to 12 wherein the photoresist comprises a novolac resin.
15. A method of fabricating a semiconductor device as claimed in any one of claims 8 to 14 wherein the method further includes the step of plasma etching using an oxygen plasma.
16. A semiconductor device made according to any one of claims 8 to 15.
17. A novolac resin which includes silicon in one or more of its ballast groups.
18. A crosslinked novolac resin in which silicon is present in the links between novolac molecules.
1 9. A photoresist comprising co-polymers of methyl methacrylate and a compound containing silicon.
20. A photoresist as claimed in claim 19 wherein the compound containing silicon is tris(trimethylsiloxy) methacryloxypropyl silane.
21. A semiconductor wafer substantially as hereinbefore described.
22. A method of fabricating a semiconductor device substantially as hereinbefore desribed.
23. A novolac resin containing silicon substantially as hereinbefore described with reference to Fig. 2 or Fig. 3 of the accompanying drawings
GB08503653A 1984-02-13 1985-02-13 Fabrication of semiconductor devices Withdrawn GB2154330A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
GB848403698A GB8403698D0 (en) 1984-02-13 1984-02-13 Semiconductor device fabrication

Publications (2)

Publication Number Publication Date
GB8503653D0 GB8503653D0 (en) 1985-03-13
GB2154330A true GB2154330A (en) 1985-09-04

Family

ID=10556496

Family Applications (3)

Application Number Title Priority Date Filing Date
GB848403698A Pending GB8403698D0 (en) 1984-02-13 1984-02-13 Semiconductor device fabrication
GB848422820A Pending GB8422820D0 (en) 1984-02-13 1984-09-10 Semiconductor device fabrication
GB08503653A Withdrawn GB2154330A (en) 1984-02-13 1985-02-13 Fabrication of semiconductor devices

Family Applications Before (2)

Application Number Title Priority Date Filing Date
GB848403698A Pending GB8403698D0 (en) 1984-02-13 1984-02-13 Semiconductor device fabrication
GB848422820A Pending GB8422820D0 (en) 1984-02-13 1984-09-10 Semiconductor device fabrication

Country Status (1)

Country Link
GB (3) GB8403698D0 (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4613398A (en) * 1985-06-06 1986-09-23 International Business Machines Corporation Formation of etch-resistant resists through preferential permeation
EP0211161A1 (en) * 1985-05-31 1987-02-25 International Business Machines Corporation Lithographic resists and method of using the same
EP0229917A2 (en) * 1986-01-14 1987-07-29 International Business Machines Corporation A process for generating a positive tone photoresist
US4737425A (en) * 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
US4782008A (en) * 1985-03-19 1988-11-01 International Business Machines Corporation Plasma-resistant polymeric material, preparation thereof, and use thereof
EP0291670A1 (en) * 1987-05-19 1988-11-23 International Business Machines Corporation Vapor phase photoresist silylation process
US4810601A (en) * 1984-12-07 1989-03-07 International Business Machines Corporation Top imaged resists
US4908298A (en) * 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
US4931351A (en) * 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US4945028A (en) * 1986-06-12 1990-07-31 Matsushita Electric Industrial Co., Ltd. Method for formation of patterns using high energy beam
US4978594A (en) * 1988-10-17 1990-12-18 International Business Machines Corporation Fluorine-containing base layer for multi-layer resist processes
US5212044A (en) * 1988-09-08 1993-05-18 The Mead Corporation Photoresist composition including polyphenol and sensitizer
US5215867A (en) * 1983-09-16 1993-06-01 At&T Bell Laboratories Method with gas functionalized plasma developed layer
US5234794A (en) * 1989-04-24 1993-08-10 Siemens Aktiengesellschaft Photostructuring method

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1231644A (en) * 1967-05-19 1971-05-12
GB1246704A (en) * 1968-04-26 1971-09-15 Dow Corning Photoresist materials for semiconductor masking
GB1367830A (en) * 1972-05-23 1974-09-25 Hunt Chem Corp Philip A Processes and materials for making photoresists
GB1376114A (en) * 1972-01-26 1974-12-04 Hitachi Ltd Photoresist layer
GB1520466A (en) * 1974-10-09 1978-08-09 Fuji Photo Film Co Ltd Photo sensitive image-forming materials

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1231644A (en) * 1967-05-19 1971-05-12
GB1246704A (en) * 1968-04-26 1971-09-15 Dow Corning Photoresist materials for semiconductor masking
GB1376114A (en) * 1972-01-26 1974-12-04 Hitachi Ltd Photoresist layer
GB1367830A (en) * 1972-05-23 1974-09-25 Hunt Chem Corp Philip A Processes and materials for making photoresists
GB1520466A (en) * 1974-10-09 1978-08-09 Fuji Photo Film Co Ltd Photo sensitive image-forming materials

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5215867A (en) * 1983-09-16 1993-06-01 At&T Bell Laboratories Method with gas functionalized plasma developed layer
US4810601A (en) * 1984-12-07 1989-03-07 International Business Machines Corporation Top imaged resists
US4908298A (en) * 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
US4782008A (en) * 1985-03-19 1988-11-01 International Business Machines Corporation Plasma-resistant polymeric material, preparation thereof, and use thereof
EP0211161A1 (en) * 1985-05-31 1987-02-25 International Business Machines Corporation Lithographic resists and method of using the same
US4613398A (en) * 1985-06-06 1986-09-23 International Business Machines Corporation Formation of etch-resistant resists through preferential permeation
EP0229917A3 (en) * 1986-01-14 1988-09-28 International Business Machines Corporation A process for generating a positive tone photoresist
EP0229917A2 (en) * 1986-01-14 1987-07-29 International Business Machines Corporation A process for generating a positive tone photoresist
US4737425A (en) * 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
US4945028A (en) * 1986-06-12 1990-07-31 Matsushita Electric Industrial Co., Ltd. Method for formation of patterns using high energy beam
US4931351A (en) * 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US4808511A (en) * 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
EP0291670A1 (en) * 1987-05-19 1988-11-23 International Business Machines Corporation Vapor phase photoresist silylation process
US5212044A (en) * 1988-09-08 1993-05-18 The Mead Corporation Photoresist composition including polyphenol and sensitizer
US4978594A (en) * 1988-10-17 1990-12-18 International Business Machines Corporation Fluorine-containing base layer for multi-layer resist processes
US5234794A (en) * 1989-04-24 1993-08-10 Siemens Aktiengesellschaft Photostructuring method

Also Published As

Publication number Publication date
GB8403698D0 (en) 1984-03-14
GB8422820D0 (en) 1984-10-17
GB8503653D0 (en) 1985-03-13

Similar Documents

Publication Publication Date Title
US4738916A (en) Intermediate layer material of three-layer resist system
US7687406B2 (en) Methods of eliminating pattern collapse on photoresist patterns
US4357369A (en) Method of plasma etching a substrate
JP4893402B2 (en) Fine pattern forming method
GB2154330A (en) Fabrication of semiconductor devices
JPS61284924A (en) Formation of etching resisting film
KR860003674A (en) Method of forming negative pattern in photoresist layer
US4524126A (en) Adhesion of a photoresist to a substrate
KR960010727B1 (en) Method of stripping photoresist
JPS6341047B2 (en)
US4590149A (en) Method for fine pattern formation on a photoresist
JPS63253356A (en) Manufacture of semiconductor device
KR100242894B1 (en) Method for producing a bottom-resist
JPH0128368B2 (en)
JPS5813900B2 (en) Epoxy - General energy beam resist technology
JPH0314333B2 (en)
JPS61294433A (en) High resolution photosensitive resin composition and manufacture of submicron pattern using the same
KR950014325B1 (en) Method of patterning photomask for silylation
US6309804B1 (en) Reducing contamination induced scumming, for semiconductor device, by acid treatment
KR100567626B1 (en) Method for ashing of photo resist
KR19990045457A (en) Chemically processed photoresist to withstand ion bombardment
JPH05182904A (en) Forming method for pattern
JPS58214149A (en) Formation of micropattern
KR100278915B1 (en) Method of manufacturing photoresist pattern of semiconductor device
KR100268798B1 (en) Micro pattern formation method of semiconductor device

Legal Events

Date Code Title Description
WAP Application withdrawn, taken to be withdrawn or refused ** after publication under section 16(1)