EP4097520A1 - Halbleitervorrichtung und halbleitereinrichtung sowie verfahren zur herstellung solcher - Google Patents

Halbleitervorrichtung und halbleitereinrichtung sowie verfahren zur herstellung solcher

Info

Publication number
EP4097520A1
EP4097520A1 EP20829172.4A EP20829172A EP4097520A1 EP 4097520 A1 EP4097520 A1 EP 4097520A1 EP 20829172 A EP20829172 A EP 20829172A EP 4097520 A1 EP4097520 A1 EP 4097520A1
Authority
EP
European Patent Office
Prior art keywords
wafer
waveguide
semiconductor device
planarization layer
electro
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
EP20829172.4A
Other languages
German (de)
English (en)
French (fr)
Inventor
Daniel SCHALL
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Black Semiconductor GmbH
Original Assignee
Amo GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Amo GmbH filed Critical Amo GmbH
Publication of EP4097520A1 publication Critical patent/EP4097520A1/de
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/12004Combinations of two or more optical elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/122Basic optical elements, e.g. light-guiding paths
    • G02B6/1226Basic optical elements, e.g. light-guiding paths involving surface plasmon interaction
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/122Basic optical elements, e.g. light-guiding paths
    • G02B6/1228Tapered waveguides, e.g. integrated spot-size transformers
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/03Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on ceramics or electro-optical crystals, e.g. exhibiting Pockels effect or Kerr effect
    • G02F1/035Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on ceramics or electro-optical crystals, e.g. exhibiting Pockels effect or Kerr effect in an optical waveguide structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/1443Devices controlled by radiation with at least one potential jump or surface barrier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0203Containers; Encapsulations, e.g. encapsulation of photodiodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0232Optical elements or arrangements associated with the device
    • H01L31/02325Optical elements or arrangements associated with the device the optical elements not being integrated nor being directly associated with the device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/08Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
    • H01L31/09Devices sensitive to infrared, visible or ultraviolet radiation
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12035Materials
    • G02B2006/12061Silicon
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12083Constructional arrangements
    • G02B2006/12097Ridge, rib or the like
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12133Functions
    • G02B2006/12142Modulator
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • G02B6/132Integrated optical circuits characterised by the manufacturing method by deposition of thin films
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F2201/00Constructional arrangements not provided for in groups G02F1/00 - G02F7/00
    • G02F2201/06Constructional arrangements not provided for in groups G02F1/00 - G02F7/00 integrated waveguide
    • G02F2201/063Constructional arrangements not provided for in groups G02F1/00 - G02F7/00 integrated waveguide ridge; rib; strip loaded
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F2201/00Constructional arrangements not provided for in groups G02F1/00 - G02F7/00
    • G02F2201/06Constructional arrangements not provided for in groups G02F1/00 - G02F7/00 integrated waveguide
    • G02F2201/066Constructional arrangements not provided for in groups G02F1/00 - G02F7/00 integrated waveguide channel; buried
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F2202/00Materials and properties
    • G02F2202/10Materials and properties semiconductor
    • G02F2202/101Ga×As and alloy
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F2202/00Materials and properties
    • G02F2202/10Materials and properties semiconductor
    • G02F2202/104Materials and properties semiconductor poly-Si
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F2202/00Materials and properties
    • G02F2202/36Micro- or nanomaterials
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F2203/00Function characteristic
    • G02F2203/10Function characteristic plasmon

Definitions

  • the invention relates to a semiconductor device and a method for producing such a position.
  • the invention relates to a semiconductor device and a method for producing such a device.
  • I / O interfaces In addition to I / O interfaces, other areas of application are conceivable. Optical systems such as filters, spectrometers or neural networks for machine learning could also be implemented. By closely integrating photonics and electronics, new types of chip architectures could be made possible.
  • Optical interfaces are achieved to a certain extent for data communication either through heterointegration or bonding techniques of electronic and optical chips.
  • optical circuits based on III-V transition semiconductors are usually bonded to Si wafers with electronic control circuits.
  • the advantage is that every type of circuit can be produced in its optimal process.
  • the major disadvantage are the high costs and the sequential and thus time-consuming manufacturing technology for the bonding (each chip must be glued to the wafer individually one after the other) and the break in the production line. After the individual chips are glued to the wafer, the wafer cannot go any further are processed in total.
  • the wafer is diced and the chips are processed separately to the end (the main part of the manufacturing steps, however, has already taken place).
  • silicon can be used as the starting material and electronic and photonic circuits can be achieved on a chip.
  • the combination of the technology for electronic cal and photonic circuits is fixed because optical and electronic cal circuits are produced in the same plane.
  • the Si electronics and photonics are located on a wafer, arranged side by side. This is known, for example, from the article “Integrating photonics with Silicon nanoelectronics for the next generation of Systems on a Chip”, Nature 556, pages 349-354 (2016), doi: 10.1038 / s41586-018-0028-z.
  • the advantage is that this combined Si technology can save considerable costs and time compared to the die-attach or bonding strategy with III-V semiconductors.
  • the disadvantage is that the Si photonics components usually have less good performance compared to III-V transition semiconductors.
  • Another significant disadvantage is the definition of electronics and photonics technology, so that for technical and economic reasons only certain types of microchips can be sensibly manufactured.
  • a semiconductor device with integrated circuits emerges from US 2014/0264400 A1.
  • the device comprises a plurality of chips which have the integrated circuits and which are fixed at a distance from one another in recesses of a carrier substrate.
  • On the chips and the substrate surface planar layers are deposited, which include waveguides and photonic devices to provide an optical intra-chip connection for photonic devices of a chip or an optical in- to ensure the chip connection for photonic devices of different chips.
  • the first-mentioned object is achieved by a semiconductor device comprising a wafer with a preferably one-piece semiconductor, in particular silicon substrate and at least one integrated electronic component that extends in and / or on the semiconductor substrate, the wafer being one or at least one of the integrated Has front-end-of-line comprising electronic components and a back-end-of-line lying above, and a photonic platform produced on the side of the wafer facing away from the front-end-of-line, which has at least one waveguide and at least one electro-optical device, in particular at least one photodetector and / or at least one electro-optical modulator, wherein the or at least one of the electro-optical devices of the photonic platform with the or at least one of the integrated electronic components of the Wafers is connected.
  • the second-mentioned object is achieved by a method for producing a semiconductor device, comprising the steps
  • a wafer with a preferably one-piece semiconductor, in particular Si liziumsubstrat and at least one integrated electronic component that extends in and / or on the semiconductor substrate is provided, the wafer having a front comprising the or at least one of the integrated electronic components -End-of-line and an overlying back-end-of-line,
  • a photonic platform is produced on the side of the wafer facing away from the front-end-of-line, the at least one waveguide and at least one electro-optical device, in particular at least one photodetector and / or at least one electro-optical modulator, includes.
  • the basic idea of the present invention is to produce a photonic platform with at least one waveguide and at least one electro-optical device directly on the back-end-of-line of a wafer, in particular to build it directly on it.
  • a wafer is to be understood in a manner well known from the prior art, a component or an element or a device from which or from which wafer dicing, which is also referred to in German as wafer comminution, a plurality of chips is obtained.
  • the dicing or comminution can include, for example, (laser) cutting or sawing or scoring or breaking the wafer.
  • a single or isolated chip is also referred to as a die or chips in the plural are also referred to as dies or dices. draws.
  • the chips present after dicing are sometimes also referred to as bare chips or bare chips or bare chips in English. "Naked” refers to the fact that the chips have not yet been inserted into a package. In the present case, “bare” chips without a housing are also referred to as chips for short.
  • a wafer is viewed in cross section, its vertical structure can be divided into different sub-areas.
  • the lowest part is the front-end-of-line, or FEOL for short, which comprises one or more integrated electronic components.
  • the integrated electronic component (s) can be, for example, transistors and / or capacitors and / or resistors.
  • the back-end-of-line, or BEOL for short, which usually contains various metal layers, which are used to interconnect the integrated electronic components of the FEOL.
  • a wafer comprises a plurality of areas which, following the dicing / comminuting / dicing, each form a chip or die. These areas are also referred to here as chip or die areas.
  • Each chip area of the wafer preferably comprises a section or partial area of the in particular one-piece semiconductor substrate of the wafer.
  • each chip area preferably has one or more integrated electronic components which extend in and / or on the corresponding area of the semiconductor substrate - viewed in cross section, in particular in the FEOL. It should be emphasized that the chip areas do not represent any isolated chips, that is to say the wafer does not include any isolated chips.
  • the integrated electronic component (s) are several, in particular all chip areas of the wafer, the same. Then, from the device according to the invention, a plurality of identical Chips with a photonic platform produced thereon (or in each case a section of these) can be obtained.
  • a wafer expediently has one or more markings along which the dicing can or has to take place within the framework of dicing.
  • a photonic platform is set up directly on the wafer before the wafer is diced into individual chips. Since, in the device according to the invention, a photonic platform is produced on a wafer, in particular is or is being built on, a large number of chips with integrated photonics can then be obtained from this simply by dicing. Dicing can take place in the same way as with conventional wafers without a photonic platform on the back-end-of-line. In particular, existing facilities or systems can also be used for this purpose. As a result, individual chips with photonics can also be mass-produced with reasonable effort.
  • the side of the wafer facing away from the front end of line, on which the photonic platform is or will be produced, can also be referred to as the top side of the wafer.
  • the device according to the invention is distinguished in an expedient embodiment in that an area of the photonic platform produced thereon extends above several, in particular each chip area of the wafer, each of the areas of the platform expediently at least one, preferably several waveguides and at least one, preferably a plurality of electro-optical devices which are connected to at least one integrated electronic component or circuit of the respective underlying chip area.
  • the photonic platform expediently comprises a multiplicity of functional units, it being particularly preferred that each chip area of the wafer is assigned at least one, in particular precisely one, of the functional units that extend above the respective chip area.
  • the photonic platform is produced on the back-end-of-line of the wafer, in particular after the (conventional) production process of the wafer has been completed.
  • the production of the photonic platform can also take place completely separately from the (conventional) wafer production. There is therefore a high degree of flexibility.
  • an integrated electronic component extends in and / or on the semiconductor substrate of the wafer of the device according to the invention means in particular that it is arranged within and / or directly on the substrate.
  • an integrated electronic component extends in sections within the substrate and in sections directly on the substrate, for example directly on one or more sides of the substrate.
  • the semiconductor substrate of the semiconductor device according to the invention is preferably in one piece. In particular, it is a monolithic substrate. The sub strate have been made in several layers.
  • the semiconductor substrate can also be distinguished by a circular circumference. Alternatively or additionally, it can have a diameter in the range from 600 mm to 50 mm, preferably 500 mm to 100 mm. as exemplary diameters are 150 mm, 200 mm, 300 mm and 450 mm.
  • the fact that the photonic platform is or is produced on the wafer means that it is or has been produced directly on this, which includes, for example, a material structure or material deposition directly on the wafer (s).
  • the photonic platform is preferably characterized in that it comprises material deposited on the side of the wafer facing away from the front end of line.
  • the photonic platform is or is not produced independently of the wafer, for example on a different substrate, and then transferred to the wafer and, for example, connected to the wafer by bonding. It is or was rather received on this.
  • the photonic platform of the semiconductor device according to the invention optionally with the exception of one or more electro-optical devices or components of at least one such, does not have any bonded layers.
  • the photonic platform has a planarization layer made of a dielectric material. This is preferably produced on the side of the wafer facing away from the front-end-of-line. It can furthermore preferably apply that the or at least one of the waveguides is produced on the side of the planarization layer facing away from the wafer.
  • the method according to the invention can be characterized in that the production of the photonic platform includes producing a planarization layer made of a dielectric material, in particular on the side of the wafer facing away from the front-end-of-line.
  • the planarization layer of the photonic platform provided according to these embodiments can form the basis for one or more photonic layers or planes, which preferably each include at least one waveguide and / or at least one electro-optical device.
  • the or at least one of the waveguides can then preferably be produced on the side of the planarization layer facing away from the wafer.
  • the production of the at least one waveguide can also include that a waveguide material is applied, in particular, to the side of the planarization layer facing away from the wafer, preferably deposited or spun onto it or transferred, and then preferably a structuring of the applied waveguide material, in particular by means of lithography and / or reactive ion etching is carried out.
  • a waveguide material is applied, in particular, to the side of the planarization layer facing away from the wafer, preferably deposited or spun onto it or transferred, and then preferably a structuring of the applied waveguide material, in particular by means of lithography and / or reactive ion etching is carried out.
  • the same deposition methods can be drive are used, which are described below in connection with the planarization layer.
  • the photonic platform comprises a planarization layer provided on the back-end-of-line, it applies to this that it is not produced independently of the wafer, for example on a different substrate and then transferred to the wafer and, for example, by bonding to the wafer has been or has been connected. It is or was rather received on this. It can then also be said that the planarization layer is a monolithic layer, in particular a layer that is monolithic with or with respect to the wafer.
  • the planarization layer is characterized on its side facing away from the wafer by a roughness of less than 2.0 nm RMS, preferably less than 1.0 nm RMS, particularly preferably less than 0.3 nm RMS.
  • a lower limit can be, for example, 0.01 nm RMS.
  • the roughness can be, for example, in the range from 2.0 nm RMS to 0.01 nm RMS, preferably in the range from 1.0 nm RMS to 0.01 nm RMS, particularly preferably in the range from 0.3 nm RMS to 0.01 nm RMS.
  • the abbreviation nm stands for nanometers (10 9 m) in a manner known per se.
  • the RMS roughness is also called quadratic roughness in German.
  • the planarization layer comprises or consists of spin-on-glass and / or at least one polymer and / or at least one oxide, in particular silicon dioxide, and / or at least one nitride.
  • the method according to the invention can accordingly include that a planarization layer made of or with spin-on-glass and / or at least one polymer and / or at least one oxide, in particular silicon dioxide, and / or at least one nitride is produced.
  • Spin-on-Glass is usually a liquid substance with which wafers can be coated by spin coating. After spinning, a layer results on the wafer, the thickness of which depends on the surface topology. In this way, depressions are partially evened out and the spin-on-glass coating has a planarizing effect. Spin-on-Glass is usually heated after it has been applied and thus becomes a glass-like layer.
  • the planarization layer is a chemical vapor deposition (CVD), preferably low pressure chemical vapor deposition (LPCVD) and / or plasma-enhanced chemical vapor deposition (English: plasma enhanced chemical vapor deposition, PECVD for short), and / or by physical gas phase deposition (English: physical vapor deposition) of a coating material than on the side of the wafer facing away from the front-end-of-line and preferably subsequent processing of the deposited material on the side facing away from the wafer by means of chemical-mechanical polishing and / or by means of resist planarization is the layer obtained.
  • CVD chemical vapor deposition
  • LPCVD low pressure chemical vapor deposition
  • PECVD plasma-enhanced chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • physical gas phase deposition English: physical vapor deposition
  • the deposited material is then preferably chemically-mechanically polished and / or resist-planarized on the side facing away from the wafer, particularly preferably such that a roughness of less than 2.0 nm, preferably less than 1.0 nm RMS, is particularly preferred less than 0.3 nm RMS is obtained.
  • the chemical-mechanical polishing and / or the resist planarization can in particular be carried out in such a way that a roughness in the range from 2.0 nm RMS to 0.01 nm RMS, preferably in the range from 1.0 nm RMS to 0.01 nm RMS , particularly preferably in the range from 0.3 nm RMS to 0.01 nm RMS.
  • the atomic force microscopy can be used as a measuring method for determining the roughness, in particular as described in the EN ISO 25178 standard.
  • Atomic force microscopy is mainly discussed in Part 6 (EN ISO 25178-6: 2010-01) of this standard, which deals with measuring methods for determining roughness.
  • atomic layer deposition is also possible.
  • insulating or conductive materials dielectrics, semiconductors or metals are sequentially deposited atomic layer by atomic layer.
  • an object to be polished such as a wafer
  • the polishing is done chemically on the one hand and physically on the other using a grinding paste.
  • the resist planarization includes, in particular, a one-time or repeated spin-on-glass spin-on and subsequent etching, preferably reactive ion etching (RIE for short). If a surface, such as a SiO 2 surface, which has height differences, is to be planarized, this can be done using spin-on glass and etching.
  • the spin-on-glass layer partially compensates for the differences in height, ie valleys in the topology point towards the Spin-on-Glass coating has a higher layer thickness than neighboring elevations.
  • the etching rate of spin-on-glass and, for example, S1O 2 is similar or the same in an adapted RIE process.
  • the pressure, the gas flow, the composition of the gas mixture and the power are selected accordingly. If the entire spin-on-glass layer is etched by RIE after the spin-on-glass coating, the height difference has been reduced due to the planarizing effect of the spin-on-glass layer. Repetition can further reduce the height difference.
  • the consumed SiO2 layer thickness must be taken into account when applying the S1O 2 layer so that the desired S1O 2 layer thickness is achieved after the last etching step. It should be emphasized that the resist planarization is not limited to S1O 2 , but can also be used for other materials.
  • an etching rate of the material can be achieved which is similar to that of spin-on glass or at least essentially corresponds to it. This condition is met for S1O 2 and spin-on-glass. It should be noted that, for example, materials whose etching rate differs from that of spin-on-glass by a factor of 2 are also possible, in which case several passes are usually necessary.
  • Applied as a liquid material in particular spun on who can, for example, hydrogen silsesquioxane and / or a polymer. This vitrifies when it is subsequently baked out, which is why it is also known as spin-on glass.
  • Hydrogen silsesquioxane (English: hydrogen silsesquioxane, HSQ for short) is a class of inorganic compounds with the formula [HSi03 / 2] n.
  • the photonic platform comprises at least one further planarization layer.
  • the or - in the case of several - at least one of the further planarization layers can then preferably be made of the same material as the planarization layers. layer. It can also be produced in the same way as the planarization layer. However, this is to be understood as optional and not restrictive.
  • the or - in the case of several - one of the further planarization layers can be arranged or produced on the at least one waveguide and / or the planarization layer.
  • the production of the at least one further planarization layer particularly preferably includes that a coating material is applied, in particular deposited, to the side of the at least one waveguide and / or the planarization layer facing away from the wafer.
  • the coating material of the further planarization layer can - in complete analogy to the planarization layer - be or have been subjected to a planarization treatment at least on its side facing away from the wafer, in particular chemically-mechanically polished and / or resist-planarized. This takes place or took place again preferably in such a way that a roughness of the side facing away from the wafer of less than 2.0 nm, preferably less than 1.0 nm RMS, particularly preferably less than 0.3 nm RMS, is obtained.
  • the chemical-mechanical polishing and / or the resist planarization are carried out in such a way that a roughness in the range of 2.0 nm RMS to 0.01 nm RMS, preferably in the range of 1, 0 nm RMS to 0.01 nm RMS, particularly preferably in the range from 0.3 nm RMS to 0.01 nm RMS.
  • the production of the planarization layer and / or the further planarization layer can furthermore include that, following the planarization treatment, a further coating material is applied to the treated side.
  • the treated side can also be referred to as the top side.
  • planarization layer and / or the or a further planarization layer comprise one or more cover layers, which are or are preferably provided on the surface subjected to the planarization treatment and which are, for example, dichalcogenide layers or dichalcogenide layers. Can act heterostructures or boron nitride layers. These materials are preferably deposited or transferred without the need for further chemical-mechanical polishing or further resist planarization, it also being possible that this is done again.
  • the photonic platform may include further layers in addition to one or more planarization layers and / or one or more cover layers.
  • a layer can only comprise one or more layers. It can consist of just one material or several materials. For example, a layer can have two or more layers made of two or more different materials. It can of course also be the case that a layer has several layers, which, however, all consist of the same material.
  • a layer with more than one layer can in particular be obtained or present because several layers, for example several atomic layers, are provided for their production, for example are or have been deposited.
  • waveguide or waveguides of the device according to the invention it is also true that these are not or will not be bonded to the layer below, but rather are or have been on the layer below, in particular the planarization layer, or also the wafer , produced.
  • a suitable waveguide material is or has been provided on the planarization layer, for example built up or deposited thereon and then optionally structured in order to obtain the waveguide (s), for example by lithography and / or etching.
  • Lithography preferably includes, in a manner known per se, that a photosensitive lacquer is applied, in particular spun on, and exposed to light, in particular UV light. Parts not to be exposed are expediently covered with a mask. After the development, the structure on the mask is transferred into the lacquer layer. It may be that the or at least one or all of the waveguides are embedded in a layer and / or extend between two layers.
  • One or more waveguides can apply, for example, that these are embedded in the or at least one of the further planarization layers.
  • One or more waveguides which extend between two layers and are embedded in a layer can, for example, be obtained by producing the waveguide or waveguides on the side of the planarization layer facing away from the wafer. and then another planarization layer is or has been produced on the waveguide or waveguides, the production including or including that a coating material is applied to the waveguide or waveguides and the non-covered areas of the underlying planarization layer, in particular special is or has been deposited.
  • the or - in the case of several - at least one of the waveguides of the photonic platform comprises in a preferred embodiment at least one material that is transparent to electromagnetic radiation of a wavelength of 850 nm and / or 1310 nm and / or 1550 nm or consists of one such.
  • electromagnetic radiation in the wavelength range from 800 nm to 900 nm and / or from 1260 nm to 1360 nm (so-called original tape or O-band for short) and / or 1360 nm to 1460 nm (so-called extend band or E for short -Band) and / or 1460 nm to 1530 nm (so-called short band or S-band for short) and / or from 1530 nm to 1565 nm (so-called conventional band or C-band for short) and / or 1565 nm to 1625 nm ( so-called long band or short L-band) transparent.
  • These tapes are already known from the field of communications engineering.
  • the or - in the case of several - at least one of the waveguides of the photonic platform of the semiconductor device according to the invention can in a further advantageous embodiment titanium dioxide and / or aluminum nitride and / or tantalum pentoxide and / or silicon nitride and / or aluminum oxide and / or silicon oxynitride and / or lithium niobate and / or silicon, in particular polysilicon, and / or indium phosphite and / or gallium arsenide and / or indium gallium arsenide and / or aluminum gallium arsenide and / or at least one dichalcogenide, in particular two-dimensional transition metal dichalcogenide, and / or chalcogenide glass and / or resin or Flarz-containing materials, in particular SU8, and / or polymers or materials containing polymers, in particular OrmoComp, comprise or consist of one or more of these materials.
  • At least one waveguide is preferably produced with or from one of these materials or with or from a combination of one or more of these materials.
  • the at least one waveguide expediently consists of a material whose refractive index differs from the refractive index of one or the material of the planarization layer and / or the possibly present further planarization layer or comprises such a material. This in particular when the at least one waveguide has a common interface with the planarization layer and / or the further planarization layer.
  • At least one further planarization layer it can also apply with regard to this that it consists of a material or comprises a material whose refractive index differs from the refractive index of the material of the at least one waveguide. This is particularly the case when it is in contact with at least one waveguide, that is to say has or forms a common interface with it.
  • the refractive index of the material of the waveguide or waveguides is at least 20%, preferably at least 30% greater than the refractive index of the material of the planarization layer and / or the further planarization layer.
  • a refractive index contrast is implemented between at least one waveguide and the planarization layer and / or at least one waveguide and the further planarization layer that may be present.
  • a waveguide is to be understood as an element or a component that guides an electromagnetic wave, in particular light.
  • a wavelength-dependent cross section of a material that is optically transparent for at least this wavelength is expediently provided, which is distinguished by a refractive index contrast from an adjacent material that is also transparent for this wavelength.
  • the refractive index of the surrounding material is lower, the light is guided in the region of the higher refractive index.
  • two regions of high refractive index are separated from a region of low refractive index that is narrow in terms of wavelength, and the light is guided in the region of low refractive index.
  • a low side wall roughness is advantageous.
  • the thickness is preferably in the range from 150 nanometers to 10 micrometers.
  • the width and length of the waveguides, that is to say the lateral extent parallel to the wafer surface, can move in particular in the range of 100 nanometers and 10 micrometers.
  • One or more waveguides can be designed, for example, as strip waveguides which are characterized, for example, by a rectangular or square cross section.
  • One or more waveguides can alternatively or additionally also be designed as rib waveguides with a T-shaped cross section.
  • one or more waveguides are provided by slotted waveguides.
  • One or more waveguides of the device according to the invention can, for example, viewed in cross section, several sections or segments te include and be designed in several parts, for example a first, for example lower or left, and a second, for example upper or right segment, in other words part or section, include or consist of it. It may be that one or more waveguide segments are characterized by a rectangular or square cross section. If a waveguide has or consists of two or more segments, these can lie against one another or merge into one another or also be spaced apart from one another - for example with the formation of a gap or slot
  • the photonic platform provided according to the invention expediently comprises several waveguides. Then it can also be provided that at least two waveguides extend over one another at least in sections. In other words, there are then two or more levels of waveguides or these are “stacked one on top of the other”, whereby further space can be saved and more complex circuits with extended functions can be obtained.
  • passive structures can be made from waveguides, e.g. a multimode interference coupler (MMI), i.e. a 50:50 splitter based on interference, or a directional coupler in which two waveguides run side by side over a certain length and the light from one to the other couples.
  • MMI multimode interference coupler
  • Mach-Zehnder interferometers for example, can also be obtained (2 x 50/50 MMI as splitters and two arms in between).
  • the photonic platform in addition to the at least one electro-optical device, also at least one optical device, in particular at least one interferometer, for example Mach-Zehnder interferometer, and / or at least one NEN interference coupler, such as multimode interference coupler, and / or we least one directional coupler and / or at least one polarization converter and / or at least one splitter and / or at least one ring resonator.
  • the at least one optical device preferably comprises one or more waveguides and / or waveguide sections or is formed thereby. In particular, viewed in the longitudinal direction of the waveguide, it can encompass only a part or section of the waveguide, in other words a longitudinal section.
  • An optical device designed as a ring resonator expediently comprises a preferably closed, ring-shaped waveguide which forms a resonator and a preferably straight waveguide section coupled therewith.
  • the coupling can be implemented via a directional coupler, which preferably comprises an area or is formed by an area in which the distance between the annular waveguide and the straight waveguide section is such that light couples between the two.
  • the method according to the invention can accordingly be characterized in that at least one optical device is produced, preferably at least one interferometer, such as Mach-Zehnder interferometer, and / or at least interference coupler, such as multimode interference coupler, and / or at least one directional coupler and / or at least one polarization converter and / or at least one splitter and / or at least one ring resonator.
  • the photonic platform can also have one or more thermo-optical devices.
  • Such a device comprises, for example, a heating element and a longitudinal section of a waveguide, the heating element being arranged relative to the waveguide section in such a way that it can heat it.
  • the heating element can, for example, be one whose temperature increases when current flows through it will.
  • the heating element can for example be arranged in the vicinity of the waveguide. By heating the waveguide by means of the heating element, the refractive index of the waveguide can be changed. This effect can be used for phase adjustment, for example.
  • a thermo-optical device can also be assigned to an interferometer of the photonic platform or form part of such an interferometer.
  • the photonic platform has a passivation layer and / or a cladding on its side facing away from the wafer.
  • the photonic platform preferably ends with a passivation layer and / or a cladding. Then the passivation layer and / or the cladding, in other words, forms the last (s) or upper layer (s) of the photonic platform.
  • a cladding is particularly suitable or designed to make the index contrast somewhat lower, so that roughness on the side walls does not have as much effect; Usually the losses in the waveguide (s) are reduced.
  • a passivation layer preferably serves the purpose of protecting the arrangement or circuit from environmental influences, in particular water.
  • a passivation layer can consist of a dielectric material, for example. Aluminum oxide (AL 2 O3) and silicon dioxide (S1O 2 ) have proven to be particularly suitable.
  • An upper, final passivation layer expediently has openings or interruptions to the underlying contacts in order to enable an electrical connection. Openings or interruptions in a passivation layer can be caused, for example, by lithography graphics and / or etching, in particular reactive ion etching, are or have been obtained.
  • Reactive ion etching is a dry etching process in which, as a rule, specific gaseous chemicals that are excited to form a plasma enable selective and directional etching of a substrate surface.
  • a lacquer mask can protect parts that are not to be etched.
  • the etching chemistry and the parameters of the process usually determine the selectivity of the process, that is, the etching rates of different materials. This property is crucial in order to limit the depth of an etching process and thus to define layers separately from one another.
  • the semiconductor device according to the invention is characterized in that the back-end-of-line of the wafer and the photonic platform have connecting elements via which the or at least one of the integrated circuits of the wafer with the or at least one of the electro-optical Facilities of the photonic platform is connected.
  • the back-end-of-line of the wafer has connecting elements that are connected to the or at least one of the integrated circuits of the front-end-of-line, and connecting elements are produced in the photonic platform, which are connected on the one hand to the connecting elements of the back-end-of-line and on the other hand to the or at least one of the electro-optical devices.
  • the connecting elements can in particular be vertical electrical connections, which are also known as vertical inter- connect Access, abbreviated to Via or VIA.
  • the VIAs are usually defined by lithography and dry-chemically etched using RIE. Thereafter, metallization is preferred and the metallized surface is structured by means of CMP (Damascene process) or by means of lithography and RIE.
  • the connecting elements expediently comprise or consist of at least one electrically conductive material, in particular metal, such as copper and / or aluminum and / or tungsten.
  • electrically conductive material in particular metal, such as copper and / or aluminum and / or tungsten.
  • it can also apply to the electro-optical device (s) or at least parts of them that they are located on one or more of the waveguides and / or the side of the planarization layer facing away from the wafer and / or that of the wafer facing away from a possibly existing further planarization layer are or have been produced.
  • the electro-optical device or devices of the semiconductor device according to the invention can in principle be any device that is designed to generate and / or transmit and / or receive optical signals. It can or can in particular be devices for optical data communication, and / or spectrometers, and / or adjustable electro-optical filters and / or switches and / or from weaker, in particular for machine learning. It can also contain non-linear optical elements.
  • An electro-optical device designed as a filter can for example comprise a ring resonator, preferably in combination with a modulator.
  • the or, in the case of several, at least one or also each electro-optical device comprises in an expedient embodiment at least two contacts or contact elements which are used in particular to make contact with the or one active element in each case with a connecting element.
  • each electro-optical device furthermore has at least one active element.
  • an electro-optical device can comprise a section, in particular a longitudinal section, of a waveguide Waveguide forms.
  • active elements or sections thereof can also together form a waveguide or section, in particular a longitudinal section of a waveguide, for example a rib waveguide.
  • the active element or the active elements then expediently consist of a material which is transparent to electromagnetic radiation of at least one wavelength, preferably at least one wavelength range. It then preferably applies that the at least one material is transparent to electromagnetic radiation with a wavelength of 850 nm and / or 1310 nm and / or 1550 nm.
  • electromagnetic radiation in the wavelength range from 800 nm to 900 nm and / or from 1260 nm to 1360 nm (so-called original band or O-band for short) and / or 1360 nm to 1460 nm (so-called extend band or short E-band) and / or 1460 nm to 1530 nm (so-called short band or short S-band) and / or from 1530 nm to 1565 nm (so-called conventional band or short C-band) and / or 1565 nm up to 1625 nm (so-called long band or L-band for short).
  • At least one active element comprises at least one material or consists of at least one material that absorbs electromagnetic radiation of at least one wavelength, preferably at least one wavelength range, and generates and / or an electrical photo signal as a result of the absorption whose index of refraction changes as a function of a voltage and / or the presence of charge (s) and / or an electric field. It then preferably applies that the at least one material can absorb electromagnetic radiation with a wavelength of 850 nm and / or 1310 nm and / or 1550 nm and can generate a photo signal as a result of the absorption.
  • electromagnetic radiation in the wavelength range from 800 nm to 900 nm and / or from 1260 nm to 1360 nm (so-called original tape or O-band for short) and / or 1360 nm to 1460 nm (so-called Extend Band or short E-band) and / or 1460 nm to 1530 nm (so-called short band or short S-band) and / or from 1530 nm to 1565 nm (so-called conventional band or short C-band) and / or 1565 nm can absorb up to 1625 nm (so-called long band or L-band for short) and generate a photo signal based on the absorption.
  • a material changes its refractive index is to be understood in particular to mean that it changes its dispersion (in particular refractive index) and / or its absorption.
  • the dispersion or refractive index is usually given by the real part and the absorption by the imaginary part of the complex refractive index.
  • Materials whose refractive index changes as a function of a voltage and / or the presence of charge (s) and / or an electric field are to be understood in the present case in particular as those that are characterized by the Pockels effect and / or the Franz-Keldysh- Characteristic effect and / or the Kerr effect.
  • materials that are distinguished by the plasma dispersion effect are also considered to be such materials in the present case.
  • Examples of materials for the active element or elements are graphene, possibly chemically modified graphene, and / or germanium and / or lithium niobath and / or electro-optical polymers and / or silicon and / or compound semiconductors, such as III-V Semiconductors and / or II-VI semiconductors, and / or dichalcogenides, in particular two-dimensional transition metal dichalcogenides, and / or heterostructures made from two-dimensional materials. Other 2D materials than graphs are therefore also possible, both alternatively and additionally.
  • Electro-optical polymers are to be understood in particular as polymers which are distinguished by the fact that they have a strong linear electro-optical coefficient (Pockels effect).
  • a strong linear electro-optical coefficient is preferably to be understood as one which is at least 150 pmA /, preferably at least 250 pmA /. Then the electro-optical coefficient is at least about five times as great as that of lithium niobath.
  • transition metal dichalcogenides in particular have proven to be particularly suitable as two-dimensional materials, such as MoS2 or WSe2.
  • lithium niobate and electro-optical polymers are based on the electro-optical, in particular the Pockels effect, ie the E field changes the refractive index (such as, for example, the Pockels effect is used in the Pockels cell).
  • the Pockels effect is used in the Pockels cell.
  • germanium it is the Franz Keldysh effect, ie the field shifts the valence and conduction band edges against each other, so that the optical properties change.
  • charge carriers In the case of silicon or graphene, it is the charge carrier-based plasma dispersion effect, ie charge carriers (electrons or holes) are brought into the range of the optical mode (either is located in the arrangement a capacitor that is charged or a diode with a barrier layer that is depleted and enriched).
  • the refractive index (real part of the index) and the absorption (imaginary part of the index, leads to free carrier absorption) change with the charge carrier concentration.
  • III-V semiconductors or, in a manner known per se, are compound semiconductors that consist of elements from main group III and V best.
  • II-VI semiconductors or, in a manner known per se, are compound semiconductors which consist of elements of main group II or group 12 elements and elements of main group VI.
  • Graphene has proven to be a particularly suitable material for the active element or elements of the electro-optical device (s) of the semiconductor device according to the invention.
  • the at least one active element of one or more electro-optical devices is present in the form of a film.
  • a film is preferably characterized in a manner known per se by a significantly greater lateral extent than its thickness.
  • the at least one active element of one or more electro-optical devices can also be characterized by a square or rectangular cross section.
  • One or more active elements can comprise one or more plies or layers made of at least one material, the refractive index of which changes and / or which absorbs, or can be formed from one or more plies or layers of at least one such material.
  • at least one active element is designed as a film which comprises a plurality of layers or layers made of one or also different materials.
  • Films made of graphene, possibly chemically modified graphene, or dichalcogenide-graphene heterostructures consisting of at least one layer of graphene and at least one layer of a dichalcogenide or arrangements of at least one layer of boron tride and at least one layer of graphene have proven to be particularly suitable proven.
  • Active elements can, for example, also comprise or be given by one or more silicon layers. In this case, in particular, it can be provided that one or more active elements or sections thereof form a waveguide (section).
  • the active element or elements can furthermore be doped or have doped sections or regions, for example p-doped and / or n-doped or comprise corresponding sections or regions. It can also be that a p- and an n-doped region and a preferably intermediate lying undoped area are present or provided. This is also known as the pin transition, where the i stands for intrinsic, i.e. undoped.
  • Another advantageous embodiment is characterized in that an active element is provided that has a p- and an n-doped area, the two doped areas lying next to one another or an undoped area between them and the two doped areas Regions optionally together with any undoped region lying in between together form a waveguide or a section of such a waveguide.
  • An element or a layer made of an electro-optical polymer can also be provided between two active elements, for example made of doped silicon.
  • a suitable structuring process which can include, for example, lithography and / or etching, a plurality of smaller film-shaped or layer-shaped active elements lying next to one another in a plane for the majority of the devices is or has been obtained.
  • the or at least one of the active elements can be or have been provided by a transfer method. This means in particular that the element or the respective element is / will not be or was / have been produced monolithically on the wafer or a layer produced thereon, but rather produced separately and then transferred, in other words, is / are or has been transferred /became.
  • a transfer method for graphene is, for example, from the articles “Large-Area Synthesis of High-Quality and Uniform Graphene Films on Copper Foils” by Li et al., Science 324, 1312, (2009) and “Roll-to-roll produc - tion of 30-inch graphene films for transparent electrodes ”by Bae et al, Nature Nanotech 5, 574-578 (2010) or for LiNbO from the article“ Integrated lithium niobate electro-optic modulators operating at CMOS-compatible voltages ” , Nature volume 562, pagesl 01104 (2016) or, inter alia, for GaAs from the article “Transfer print techniques for heterogeneous integration of photonic components”, Progress in Quantum Electronics Volume 52, March 2017, Pages 1-17.
  • One of these methods can also be used within the scope of the present invention in order to obtain one or more graphene or LiNbO or GaAs layers / films.
  • a transfer process can also be followed by structuring.
  • the or at least one of the electro-optical devices is given by a modulator which is an active element with or made of at least one material whose refractive index is dependent on a voltage and / or the presence of charge and / or an electric field changes, and a further active element with or from at least one material whose refractive index changes as a function of a voltage and / or the presence of charge and / or an electric field, or comprises an electrode, both of which active elements or the active element and the electrode are preferably spaced from each other and so connected sets are arranged in relation to one another so that they lie one on top of the other in sections.
  • the at least one corresponding material of the one or both active elements can be graphene and / or at least one dichalcogenide, in particular two-dimensional transition metal dichalcogenide, and / or heterostructures made of two-dimensional materials and / or germanium and / or Lithium niobath and / or at least one electro-optical polymer and / or silicon and / or at least one compound semiconductor, in particular at least one III-V semiconductor and / or at least one II-VI semiconductor act.
  • dichalcogenide in particular two-dimensional transition metal dichalcogenide
  • heterostructures made of two-dimensional materials and / or germanium and / or Lithium niobath and / or at least one electro-optical polymer and / or silicon and / or at least one compound semiconductor, in particular at least one III-V semiconductor and / or at least one II-VI semiconductor act.
  • an active element and a conventional electrode are sufficient as an alternative to two active elements.
  • the electrode then in particular does not consist of at least one material whose refractive index changes, or does not include any such material, but at least one electrically conductive material. If an electrode is provided instead of one of the active elements, this can - in analogy to the active element - be in the form of an optionally multi-layer film, for example as a single or multi-layer metal film.
  • the active element (s) should preferably contain graphene, possibly chemically modified graphene, and / or at least one dichalcogenide, in particular two-dimensional transition metal dichalcogenide, and / or heterostructures made of two-dimensional materials and / or germanium and / or lithium niobath and / or at least one electro-optical polymer and / or silicon and / or at least one compound semiconductor, in particular at least one III-V semiconductor and / or at least one II-VI semiconductor, or also consist thereof be able.
  • the two active elements or the one active element and the electrode are preferably arranged at a distance from one another and / or offset from one another in such a way that they lie one above the other in sections.
  • a section of the one active element is aligned or overlaps with a section of the other active element or the electrode, possibly even without these touching one another.
  • the two active elements or the active element and the electrode or at least sections of these extend at least substantially parallel to one another.
  • An electro-optical modulator can be used in particular for optical signal coding.
  • An electro-optical modulator can also be designed as a ring modulator.
  • the or at least one of the electro-optical devices can be provided by a photodetector, which has one, preferably exactly one active element with or from at least one material, the electromagnetic radiation of at least one wavelength, preferably at least one wavelength range, absorbed and generated as a result of the absorption, an electrical photo signal, in particular graphene and / or at least one dichalcogenide, in particular two-dimensional transition metal dichalcogenide, and / or heterostructures made of two-dimensional materials and / or germanium and / or silicon and / or we- At least one compound semiconductor, in particular at least one III-V semiconductor and / or at least one II-VI semiconductor.
  • a photodetector which has one, preferably exactly one active element with or from at least one material, the electromagnetic radiation of at least one wavelength, preferably at least one wavelength range, absorbed and generated as a result of the absorption, an electrical photo signal, in particular graphene and / or at least one dichalcogenide, in particular two-dimensional transition metal dichal
  • the at least one electro-optically active material is expediently suitable for absorbing light.
  • a photodetector can be used to convert signals back from the optical to the electronic world.
  • the or at least one electro-optical device - both in the case of a modulator and in the case of a detector - can furthermore be designed as such with plasmonic coupling or - in the case of the method according to the invention - can be produced.
  • at least one plasmonic structure made of or with a plasmonic active material preferably gold and / or silver and / or aluminum and / or copper, is or is expediently provided on or above the or at least one of the active elements.
  • the plasmonic structure preferably comprises at least one pair of juxtaposed plasmonic elements made of or with the plasmonically active material.
  • the plasmonic elements can be distinguished by a section which tapers in the direction of the respective other plasmonic element.
  • the plasmonic elements can, for example, be characterized by a triangular shape.
  • Elongated plasmonic elements are or are provided, this is preferred in the case of a modulator.
  • Elongated plasmonic elements can be or will be arranged at least substantially parallel to a waveguide.
  • optical and plasmonic waveguides will pass the active element in parallel.
  • plasmonic structures are shown on a graphene channel as an active element provided on a waveguide.
  • the optical mode stimulates resonant density fluctuations in the plasmonic structures.
  • This collective movement of the electron distribution is called plasmon and is propagated in the plasmonic structure. It is characterized, among other things, by a higher electric field strength compared to the optical mode. This results in a stronger absorption in graphene or in general in an absorbent material.
  • At least one side of the or at least one of the active elements is provided with a waveguide with an end section tapering in the direction of the or at least one active element, preferably ending in a point.
  • the tapering end section can extend up to the or the at least one active element.
  • a contact element is provided on two sides of the tapering section, which is connected to the active element and which has a section adjacent to the tapering end section of the waveguide and tapering in the opposite direction .
  • a waveguide is provided with an end section tapering in the direction of the active element, preferably ending in a tip.
  • a contact element can also be provided on two sides of the respective tapering section, which is connected to the at least one active element and which has a section adjacent to the respective tapering end section of the waveguide and tapering in the opposite direction . It may be that two contact elements are provided and each contact element has two widening sections, preferably on opposite sides and one for each end section. The respective widening section of the contact element preferably follows the tapering of the respective waveguide end section. It can follow in such a way that the distance between the tapering waveguide end and the widening contact element sections lying next to it on the sides remains the same in the direction of the active element. But it can also increase or decrease, at least to a certain extent.
  • the active element comprises or consists of at least one electro-optical polymer (cf. also the publication “Silicon-Organic Hybrid (SOH) and Plasmonic-Organic Hybrid (POH) Integration” by Koos et al., Journal of Lightwave Technology, Vol. 34, No. 2, 2016).
  • the plasmonic coupling can also take place without a waveguide under the absorbing material, ie there is a transition from the optical to a plasmonic mode, the plasmonic mode then interacting with the absorbing material.
  • a photodetector also in the publication “Ultra-compact integrated graphene plasmonic photodetector with bandwidth above 110 GHz” by Ding, Y., Cheng, Z., Zhu, X., et al. (2019), Nanophotics, doi: 10.1515 / nanoph-2019-0167.
  • modulators reference should also be made to the publication “Efficient electro-optic modulation in low-loss graphene-plasmonic slot waveguides” by Ding et al. , Nanoscale, 2017, 9, 15576.
  • a modulator as an electro-optical device can also alternatively or additionally have two active elements, each of which is provided by a silicon film or a silicon layer. It can be, for example, a layer or a film with or made of polysilicon and one with or made of crystalline silicon. It is also possible for both active elements to comprise or consist of polysilicon. Of the two active elements, one is then preferably p-doped and the other n-doped. The different doping results in a capacitance. The two active elements are or are then preferably offset relative to one another in such a way that they lie one above the other in sections. The overlap area then preferably forms a waveguide or waveguide section.
  • the charge carrier concentration in the area of the waveguide or waveguide section can be varied and an optical signal can thus be coded.
  • a corresponding, silicon-based modulator is also in the publication "An efficient MOS-capacitor based silicon modulator and CMOS drivers for optical transmitters," by M. Webster et el., 11th International Conference on Group IV Photonics (GFP), Paris, 2014, pp. 1-2. doi: 10.1109 / Group4.2014.6961998.
  • the or at least one of the electro-optical devices is or will be designed as a modulator, it can also be provided that it includes a diode or capacitance. It can in particular be an integrated III-V semiconductor modulator, as described in the article “Heterogeneously integrated III-V / Si MOS capacitor Mach-Zehnder modulator” by Hiaki, Nature Photonics volume 11, pages 482-485 (2017) is.
  • a diode is or is provided for the or at least one electro-optical device, it can comprise, for example, a plurality of layers of different compositions of, for example, InGaAsP, in particular in order to generate a pn junction and two contact regions.
  • the active element or elements and optionally the electrode of one or more electro-optical devices can be provided, for example, on the side of the planarization layer facing away from the wafer or on a further planarization layer, in particular on the waveguide (s).
  • the respective element can be connected to a contact or contact element on one side or on opposite sides.
  • the contacts or contact elements can be connected to one or more electronic components from the front-end-of-line by connecting elements, in particular VIAs.
  • the connecting elements, in particular VIAs can extend through the planarization layer, the possibly present further planarization layer and the semiconductor substrate as far as the electronic component or components. To be connected is to be understood as being connected in an electrically conductive manner.
  • a detector with only one active element it can be provided that it is in contact with two contacts or contact elements, in particular for connection to one or more electronic components from the front-end-of-line stands, preferably on opposite sides, and in the case of a modulator with two active elements or one active element and one electrode, it applies that these - in particular for connection to one or more electronic components from the front-end-of-line - each is in contact with a contact or contact element. This is preferably done at those end regions or ends which are turned away from the region in that they lie one above the other or overlap in sections.
  • At least one active element is / are or will be provided on the side of one or more waveguides facing away from the wafer. This offers the advantage that the active element is closer to the waveguide or waveguides. More interaction can then be achieved between the active element or elements and an optical mode in the waveguide. Since a further planarization layer is not required in this case, a shorter component can also be obtained and fewer process steps are required.
  • Another embodiment is characterized in that the active element or the active elements is / are or will / are provided on the side of one or more control electrodes facing away from the wafer, preferably on the side of one or more control electrodes facing away from the wafer a plurality of control electrodes, which in turn are produced or have been produced on the side of one or more waveguides facing away from the wafer.
  • the side of an element facing away from the wafer can also be referred to as the upper side of this element.
  • the side facing away from the wafer can be a planarization layer, a further planarization layer, a waveguide, a waveguide base, deposited material, a graphene layer, a control electrode and / or a photonic platform can also be referred to as the top.
  • a passivation layer expediently consists of a dielectric material. It can accordingly also be referred to as a dielectric layer. At the same time, it can provide protection against corrosion. Oxides or nitrides are particularly suitable as materials for such a layer. Aluminum oxide, silicon nitride and hafnium oxide have proven to be particularly suitable.
  • a passivation layer is provided between the two active elements or the active element and the electrode, there is preferably a sandwich-like structure with an active element, passivation layer and active element or electrode, the two active elements or the active element and the electrode are preferably laterally offset from one another. It is also possible that the active element or the active elements and an optionally present electrode of at least one electro-optical device are located in sections on one or more waveguides and in sections on the planarization layer or the or a further planarization layer or a or several control electrodes.
  • one or more active elements can be provided at least in sections, possibly also completely within the or at least one of the waveguides or between two parts of a waveguide.
  • the or at least one of the active elements is expediently arranged relative to at least one waveguide in such a way that it is exposed at least in sections to the evanescent field of electromagnetic radiation which is guided by the waveguide.
  • At least one active element is preferably arranged at a distance of less than or equal to 50 nm, particularly preferably less than or equal to 30 nm, to at least one waveguide, for example at a distance of 10 nm.
  • part of the electromagnetic radiation in particular light , is guided evanescent outside the waveguide.
  • the interface of the waveguide is dielectric and accordingly the intensity distribution is described by the boundary conditions according to Maxwell with an exponential decrease. If an electro-optically active material, for example graphene, is brought into or near the waveguide in the evanescent field, photons can interact with the material, in particular graphene.
  • an electro-optically active material for example graphene
  • a photodetector expediently has an active element with or made of at least one such material and two contacts.
  • an electrical control electrode and an active element which is expediently insulated for this purpose with or made of at least one material whose refractive index changes as a function of a voltage or charges or an electric field, in particular made of graphene be provided or the electrode can also be made of a suitable material, in particular graphene, so that two active elements are then jointly in the evasive field during operation and perform the electro-optical function.
  • Graphene for example, can change its optical properties through a control voltage.
  • a capacitance is created and the two films of graphene influence one another.
  • the capacitance consisting of the two active elements forming the graphene electrodes is charged by a voltage and the electrons occupy states in the graph. This results in a shift of the Fermi energy (energy of the last occupied state in the crystal) to higher energies (or due to symmetry to lower ones). If the Fermi energy reaches half the energy of the photons, these can no longer be absorbed because the free states required for the absorption process are already occupied at the correct energy. In this state, the graph is consequently transparent because absorption is prohibited. By changing the voltage, the graph is switched back and forth between absorbing and transparent. A continuous Lent luminous laser beam is modulated in its intensity and can thus be used for information transmission. The real part of the refractive index also changes with the control voltage.
  • phase position of a laser can be modulated via the changing refractive index and thus phase modulation can be achieved.
  • the phase modulation is preferably operated in a range in which all states are occupied up to more than half the photon energy, so that the graph is transparent and the real part of the refractive index shifts significantly and the change in absorption plays a subordinate role
  • the or at least one of the electro-optical devices can furthermore comprise at least one, preferably two gate electrodes.
  • two gate electrodes can preferably be assigned to the active element. These are then preferably designed and arranged in such a way that the charge carrier concentration in the active element, for example graphene film, can be set via them and thus, for example, a pn junction can be achieved.
  • the gate electrodes are then preferably arranged at a suitable distance from the active element and are electrically insulated therefrom, for example via a dielectric layer. It may be that a dielectric layer is provided on the active element and the gate electrodes are arranged thereon.
  • the semiconductor device according to the invention in particular its photonic platform, comprises at least one coupling device which is assigned to at least one, preferably exactly one, of the waveguides.
  • the (respective) coupling device then expediently serves to couple electromagnetic radiation, in particular in the infrared and / or visible wavelength range, into at least one of the waveguides photonic platform to which the (respective) coupling device is assigned, and / or the coupling out of electromagnetic radiation, in particular in the infrared and / or visible wavelength range, from at least one of the waveguides of the photonic platform to which the (respective) coupling device is assigned.
  • it can be designed and arranged accordingly.
  • Si photonics because of the band gap, it is generally only suitable for the infrared wavelength range, since all wavelengths shorter than 1100 nm are absorbed in Si. This is usually not the case for dielectrics, which are also transparent in the visible wavelength range, which is why they are well suited for spectroscopy.
  • the or at least one of the coupling devices is designed and arranged such that electromagnetic radiation, in particular in the infrared and / or visible wavelength range, can be coupled from a glass fiber into at least one of the waveguides of the photonic platform, and / or that by means of this electromagnetic radiation, in particular in the infrared and / or visible wavelength range, can be coupled into a glass fiber from at least one of the waveguides of the photonic platform.
  • Glass fibers will generally have a larger diameter than the waveguide or waveguides and the coupling device or coupling devices will more preferably be designed to enable coupling in and / or out in such a case.
  • a coupling device can comprise a section, in particular an end section, of a waveguide to which it is assigned, for example an end section that tapers or widens towards the end.
  • the at least one coupling device can have at least one grating structure which is then designed and arranged in particular in such a way that its first order of diffraction lies in the assigned waveguide.
  • Such a coupling device can also be referred to as a grating coupling device or a grating coupler for short.
  • CMOS-compatible high efficiency double-etched apodized wave-guide grating coupler Optics Express 21, 7868-7874, 2013.
  • At least one coupling device is provided by a grating coupler, it is further preferred that it comprises a reflector or that a reflector is assigned to it.
  • a reflector is particularly suitable because it is or can be arranged in such a way that the maximum coupling is achieved. If there is no reflector, the interface between the back-end-of-line and the planarization usually results automatically as a reflector, because there is a jump in the refractive index there.
  • a grating coupler is provided, a reflector is particularly advantageous for the reason that the situation - in contrast to the situation with the interface - is precisely defined. For example, a metal foil or a thin metal layer or a dielectric layer stack, so that a Bragg reflector is produced, can serve as the reflector.
  • a reflector is preferably arranged in the planarization layer.
  • a reflector can consist of metal or include such, for example aluminum, and / or be characterized by a rectangular shape and / or be slightly larger than the grating coupler and / or be arranged at a suitable distance from the grating coupler, preferably below it .
  • At least one of the coupling devices can be designed as a side coupling device (short side coupler).
  • the Koppe The device then expediently has at least one coupling element which is designed and arranged in such a way that electromagnetic radiation can be coupled into it laterally and / or that electromagnetic radiation can be coupled out from it laterally.
  • lateral is to be understood in particular as lateral in relation to the lateral extent of the wafer, in particular in relation to the side of the wafer facing away from the front-end-of-line.
  • a grating coupling device can furthermore be designed and arranged such that the electromagnetic radiation to be coupled in can be incident on a grating from above (obliquely), or the electromagnetic radiation to be coupled out is coupled out towards the top (obliquely) in particular by a grating of this. It can furthermore preferably be designed and arranged in such a way that coupling in at an angle in the range from 0 ° to 30 °, in particular from 10 ° based on the perpendicular to the side of the wafer or the side facing away from the front-end-of-line The device according to the invention can take place.
  • Lattice coupling devices with irradiation or radiation from or to the top (at an angle) usually offer the advantage over side coupling devices that they can be checked for their function before dicing.
  • side coupling devices on the other hand, it may be that the side or edge of the element at which electromagnetic radiation is to enter or from which electromagnetic radiation is to exit is only exposed after dicing and a test can therefore only then be carried out.
  • at least two coupling devices are provided, at least one being designed as a side coupling device (side coupler for short) and at least one as a grating coupling device (grating coupler for short). If both types of coupler are provided, a grid coupler can be used to measure the components during manufacture and then a side coupler when everything is ready. It is preferred that at least one waveguide is assigned two couplers, one of the one type and one of the other type
  • the coupling device or coupling devices are preferably produced together with the at least one waveguide to which they are assigned.
  • the production can include that they - in analogy to the waveguides - are lithographically defined and structured by etching, in particular dry chemical etching.
  • the invention also relates to a method for producing at least one semiconductor device, in which a semiconductor device according to the invention is provided and divided, in other words, diced.
  • a semiconductor device according to the invention is provided and divided, in other words, diced.
  • a plurality of chips with photonics built up thereon are obtained, each of which represents a semiconductor device according to the invention.
  • This or these “bare” chips with photonics can then, for example, each be inserted into a housing (package).
  • the semiconductor devices according to the invention which comprise a conventional chip having integrated circuits and the section of the photonic platform built on it, can in turn also be referred to as a chip.
  • the invention also relates to a semiconductor device which was obtained by dividing, in other words dicing, a semiconductor device according to the invention.
  • the semiconductor device according to the invention which was obtained by dicing a semiconductor device according to the invention, is characterized by a photonic platform or a section of such, the lateral extent of which at least substantially corresponds to the lateral extent of the underlying chip or semiconductor substrate
  • the photonic platform or the section of such has, just like the substrate underneath, received its shape and expansion through the dicing.
  • a housing surrounding the semiconductor device is provided. Then it is preferred that that side of the device on which the front-end-of-line is located lies against the inside of the housing.
  • FIG. 1 shows a plan view of an exemplary embodiment of a semiconductor device according to the invention in a purely schematic representation
  • FIG. 2 shows a partial section through the semiconductor device from FIG. 1 in a purely schematic representation
  • FIG. 3 shows a plan view of the photodetector from FIGS. 2, 4 and 5 in a purely schematic representation
  • FIG. 4 shows a partial section through a second exemplary embodiment of a semiconductor device according to the invention in a purely schematic representation
  • FIG. 5 shows a partial section through a third exemplary embodiment of a semiconductor device according to the invention in a purely schematic representation
  • FIG. 6 shows a partial section through a fourth exemplary embodiment of a semiconductor device according to the invention in a purely schematic representation
  • FIG. 7 shows a partial section through a fifth exemplary embodiment of a semiconductor device according to the invention in a purely schematic representation
  • FIG. 8 shows a partial section through a sixth exemplary embodiment of a semiconductor device according to the invention in a purely schematic representation
  • FIG. 9 shows a plan view of the modulator from FIG. 8 in a purely schematic representation
  • FIG. 10 shows a partial section through a seventh exemplary embodiment of a semiconductor device according to the invention in a purely schematic representation
  • FIG. 11 shows a partial section through an eighth embodiment of a semiconductor device according to the invention in a purely schematic representation
  • FIGS. 12 five examples of possible contacting of the active elements up to 16 of the electro-optical devices of the semiconductor devices in a purely schematic representation
  • FIG. 17 shows a partial section through a ninth embodiment of a semiconductor device according to the invention in a purely schematic representation
  • FIG. 18 shows a partial section through a tenth embodiment of a semiconductor device according to the invention in a purely schematic representation
  • FIG. 19 shows a partial section through an eleventh exemplary embodiment of a semiconductor device according to the invention in a purely schematic representation
  • FIG. 20 shows a partial section through a twelfth embodiment of a semiconductor device according to the invention in a purely schematic representation
  • FIG. 21 shows a plan view of a first exemplary embodiment of a photo detector with plasmonic coupling in a purely schematic representation
  • FIG. 22 shows a plan view of a second exemplary embodiment of a photo detector with plasmonic coupling in a purely schematic representation
  • FIG. 23 shows a plan view of an exemplary embodiment of a modulator with plasmonic coupling in a purely schematic representation
  • FIG. 24 shows a plan view of an example of a side coupling device in a purely schematic representation
  • FIG. 25 shows the side coupling device from FIG. 24 in a schematic sectional illustration
  • FIG. 26 shows a plan view of an example of a grid coupling device in a purely schematic representation
  • FIG. 27 shows the grating coupling device from FIG. 26 in a schematic sectional illustration
  • FIG. 28 shows the steps of the method for lowering the device according to FIG. 1;
  • FIG. 29 shows a plan view of three semiconductor devices according to the invention in a purely schematic representation
  • FIG. 30 shows a purely schematic sectional illustration through a fall arrester device according to the invention from FIG. 29.
  • FIG. 1 shows, in a purely schematic, greatly simplified representation, a plan view of a semiconductor device according to the invention. This includes a wafer 1, which can also be seen in sections in the partial sectional view according to FIG.
  • the integrated electronic components 3, which can in particular be transistors and / or resistors and / or capacitors, are only indicated in a simplified manner in the schematic FIG. 2 by a line with hatching provided with the reference sign 3.
  • a line with hatching provided with the reference sign 3.
  • the substrate 2 there is a large number of integrated electronic components 3 in a sufficiently previously known manner.
  • the wafer 1 is a component or device from which a plurality of chips can be obtained in a manner well known from the prior art by (wafer) dicing, which is also known as wafer comminution in German .
  • the dicing or shredding can take place, for example, by (laser) cutting or sawing or Rit zen or breaking of the wafer 1.
  • a wafer accordingly comprises a plurality of areas which, following the dicing, each form a chip. These areas are referred to as chip areas 4 in the present case.
  • Each chip area 4 of the wafer 1 comprises a section or partial area of the one-piece semiconductor substrate 2 and generally at least one, preferably several, integrated electronic components 3. Depending on the configuration of the Wafers 1, which depends on the specific application, can be provided in each chip area 4, for example up to ten or even several tens, several hundred or several thousand integrated electronic components 3. These can be arranged next to one another and / or one above the other.
  • the wafer 1 has a front-end-of-line (short FEOL) 5, in which the plurality of integrated electronic components 3 are arranged and a back-end-of-line (short BEOL) 6, in which or Via which the integrated electronic components 3 of the front-end-of-lines 5 are interconnected by means of different metal levels.
  • the integrated electronic components 3 in the FEOL 5 and the associated interconnection in the BEOL 6 form integrated circuits of the wafer 1 in a well-known manner.
  • a FEOL 5 is sometimes also referred to as a transistor front end and a BEOL as a metal back end.
  • the metal levels comprise a plurality of connection elements 7, which in the present case are given by so-called VIAs, which is the abbreviation for Vertical Interconnect Access.
  • the VIAs 7 are made of metal, for example copper, aluminum or tungsten.
  • the illustrated semiconductor device 1 also includes a photonic platform 8, which, as can be seen well in the sectional view according to FIG. It should be noted that the chip areas 4 are indicated with a thin line in FIG. 1, since they lie below the photonic platform 8 in plan view.
  • the wafer 1 is distinguished by a diameter of 200 mm. This is also the diameter of the photonic platform 8 and the semiconductor device as a whole (see FIG 1), which comprises the wafer 1 and, above the wafer 1, the photonic platform 8 produced thereon.
  • the partial section according to FIG. 2 shows, in the vertical direction, the entire device according to FIG. Section of one of the chip areas 4, which in turn are small in comparison to the overall extent of the device in the horizontal direction. This applies equally to the further partial sections.
  • the chip areas 4 are characterized by a rectangular shape, each with an edge length of 2 mm in one direction and 3 mm in the other direction. It should be noted that these are indicated as a square in the purely schematic FIG.
  • the photonic platform 8 provided according to the invention comprises a planarization layer 10 which was produced on the side 9 of the wafer 1 facing away from the front end of line 5 and is made of a dielectric material.
  • the planarization layer 10 consists of silicon dioxide (S1O2), whereby this is to be understood as an example and other materials can also be used.
  • the planarization layer 10 is made by depositing the corresponding coating material, here S1O2, on the side 9 of the wafer 1 facing away from the front-end-of-line 5 and then processing the deposited material in planarization as on the side facing away from the wafer 1 11 obtained layer. Due to the processing on its side 11 facing away from the wafer 1, the planarization layer 10 is distinguished in the present case by a roughness of 0.2 nm RMS, this being understood as an example. In the example shown, the planarization layer 10 extends over the entire side 9 of the wafer 1 facing away from the front-end-of-line 5 Side 9 of the wafer 1 deposited. This is therefore characterized by a diameter which at least essentially corresponds to that of the wafer 1.
  • the photonic platform 8 further comprises a plurality of waveguides 12 produced on the side 11 of the planarization layer 10 facing away from the wafer 1.
  • waveguide materials are dielectrics, preferably titanium dioxide, which was also used in the exemplary embodiment shown.
  • waveguides 12 made of aluminum nitride and / or tantalum pentoxide and / or silicon nitride and / or aluminum oxide and / or silicon oxynitride and / or lithium niobate or also made of semiconductors such as silicon, indium phosphide, gallium arsenide, indium gallium arsenide, aluminum gallium arsenide or dichalcogenide or chalcogenide glass or Polymers such as Su8 or OrmoComp can be provided.
  • Typical dimensions of the waveguide 12 are a thickness in the range of 150 nm and 10 ⁇ m and, in lateral extension, parallel to the wafer surface, widths between 100 nm and 10 ⁇ m. Purely by way of example, a thickness of 300 nm and a width of 1.1 ⁇ m may be mentioned.
  • the specific dimensions of the waveguides 12 can vary. In particular, they are of different widths, depending on what function they fulfill.
  • the photonic platform 8 also comprises a further planarization layer 13, which consists of the same material as the planarization layer 10, that is to say in the present case also made of S1O2.
  • the further planarization layer 13 is shown on its side 14 facing away from the wafer 1 by a roughness that corresponds to that of the planarization layer 10. Their diameter corresponds at least essentially to that of the underlying planarization layer 10. It should be emphasized that the planarization layer 10 and the further planarization layer 13 - as in the present case - have the same material, the same extent and the same roughness on their faces facing away from the wafer 1 Pages 11 and 14 can distinguish, but this is not required and is therefore not to be understood as restrictive.
  • the photonic platform 8 also includes a plurality of electro-optical devices 15, which can in particular be photo detectors and / or modulators.
  • the photonic platform 8 comprises both a plurality of photodetectors 15 and a plurality of modulators 15.
  • FIG. 2 one of the electro-optical devices, specifically a photodetector 15, is shown schematically as an example.
  • FIG. 3 shows - again only schematically - a plan view of a section of the device from FIG. 1, specifically of the photodetector 15 from FIG.
  • FIGS. 4 and 5 show exemplary partial sections through further exemplary embodiments of semiconductor devices according to the invention, which in the top view can correspond to that of FIG. or the waveguide 12 is configured as an alternative to that from FIG.
  • the schematic top view from FIG. 3 also corresponds to the detectors 15 from FIGS. 4 and 5, with the proviso that of the waveguides with T-shaped cross-section (cf. narrow part is shown.
  • FIGS. 6 and 7 show partial sections through further exemplary embodiments of semiconductor devices according to the invention.
  • photodetectors 15 are provided as electro-optical devices which differ in their structure from those from FIGS. 2, 4 and 5.
  • FIGS. 8, 10 and 11 show partial sections through further exemplary embodiments of semiconductor devices according to the invention, in each of which an electro-optical device configured as a modulator 15 can be seen.
  • FIG. 9 shows a plan view of the modulator 15 from FIG.
  • the photodetectors 15 according to FIGS. 2 and 4 to 7 each comprise an active element 16 made of a material that absorbs electromagnetic radiation of at least one wavelength, preferably at least one wavelength range, and generates an electrical photo signal as a result of the absorption.
  • the active elements 16 of the photodetectors 15 are each given by a graphene film 16.
  • Graphene can also change its refractive index (refractive index and / or absorption) as a function of a voltage and / or of charge and / or an electric field.
  • the active elements 16 are given by films with or of at least one other material, for example films with or of a dichalcogenide-graphene heterostructure consisting of at least one layer of graph and at least one layer of a dichalcogenide , or by films comprising at least one layer of boron nitride and at least one layer of graphene.
  • chalcogenides transition metal dichalcogenides are particularly suitable as two-dimensional materials such as MoS2 or WSe2.
  • FIGS. 2 and 4 differ, as a comparison shows, solely in the shape of the waveguide 12. While a strip waveguide 12 with a rectangular cross section is provided in FIG. 2, FIG. 4 shows - exactly like FIG.
  • the example from FIG. 5 differs from that from FIG. 4 only in that no further planarization layer 13 is provided here.
  • the waveguide 12 in the example according to FIG. 2 could alternatively also be configured, for example, as a so-called slot waveguide with two waveguide segments spaced apart from one another to form a slot or gap. If a waveguide 12 comprises more than one segment 12a, 12b, it can apply that all segments are made of the same material, as is the case here.
  • the segments can also comprise different materials or consist of different materials.
  • the graphene film 16 of the respective electro-optical device 15 extends above a longitudinal section of the waveguide 12 that can be seen in each of the figures. This can also be seen from the top view in FIG.
  • the one graphene film 16, 16a is produced or provided on the side 14 of the further planarization layer 13 facing away from the wafer 1.
  • the graphene film 16 extends here in each case in the area of the section of the further planarization layer 13, which is trapezoidal, in particular due to the resist planarization, on this.
  • FIGS. 6 and 7 show examples in which, in deviation from FIGS. 2, 4 and 5, the graphene film 16 does not extend above but within (FIG. 6) or below (FIG. 7) the respective waveguide 12. As far as the shape of the waveguide 12 is concerned, these are in turn designed as Rippenwel lenleiter 12 with a T-shaped cross section.
  • the waveguide 12 of the example from FIG. 6 comprises a first, upper waveguide segment 12a, a middle 12b and a lower waveguide segment 12c. All waveguide segments 12a, 12b, 12c have a rectangular cross section, the middle and lower segments 12b, 12c being clearly wider.
  • the middle waveguide segment 12b is provided on the graphene film 16 and serves both as a passivation layer for this and as a waveguide segment 12b (can also be referred to as a waveguide slab).
  • the segment 12b which also serves as a passivation, consists in the present case of aluminum oxide. Alternatively or additionally, it can also comprise or consist of dichalcogenides and / or dichalcogenide-Fleterostructures and / or S1O2 and / or boron nitride.
  • the two further segments 12a, 12c can for example also consist of aluminum oxide or also titanium dioxide or comprise this.
  • the example from FIG. 7 differs from that from FIG. 6 in that there is no lower waveguide segment 12c.
  • the graphene film 16 is arranged here directly on the side 11 of the planarization layer 10 facing away from the wafer 5.
  • the active element 16 can also be assigned two Ga teel electrodes. These are then preferably designed and arranged in such a way that the charge carrier concentration in the active element, in this case graphene film 16, is set via them and thus, for example, a pn junction can be achieved.
  • the gate electrodes can, for example, be arranged above the graphene film 16 and be electrically insulated therefrom via a dielectric layer.
  • the modulators 15 according to FIGS. 8, 10 and 11 each include two active elements, specifically a lower 16a and an upper 16b, which in the present case are each given by a film 16 made of graphene.
  • the active elements can also be designed differently, for example as films with or from at least one other material.
  • the two graphene films 16a, 16b extend at a distance from one another and are not in electrical contact with one another. Rather, they are electrically insulated from one another via an intervening layer 17 made of a dielectric material, preferably an oxide or nitride, in the present case aluminum oxide.
  • the dielectric layer 17 also serves as a passivation and as an etch protection or stop.
  • FIGS. 2 and 6 shows, the arrangements are the same apart from the fact that the modulator 15 from FIG. 8 comprises a second active element 16b and the additional dielectric layer 17 is provided.
  • the two graphene films 16a, 16b are arranged offset from one another in such a way that they lie one above the other or overlap in sections (without touching one another). In the overlapping area, it also applies that the two graphene films 16a, 16b or the corresponding sections of these extend at least essentially parallel to one another.
  • an electrode made of an electrically conductive material for example copper or aluminum, can also be provided instead of one of the active elements.
  • the lower graphene film 16a - just like the only graphene film 16 of the detector from FIGS. 2 and 4 - is provided on the side 14 of the further planarization layer 13, again in the area of the trapezoidal section above the waveguide 12.
  • the second, upper graphene film extends on the side 18 of the dielectric layer 17 facing away from the wafer 5.
  • the examples from FIGS. 8, 10 and 11 also differ essentially in that the waveguide 12 is characterized by a different shape and there is no second planarization layer 13, here neither in FIG. 10 nor FIG. 11. While the example from FIG. 8 includes a strip waveguide 12, those according to FIGS. 10 and 11 each have a rib waveguide 12 with a T-shaped cross section or profile.
  • the waveguide from FIG. 10 - viewed in cross section - comprises four waveguide segments 12a, 12b, 12c, 12d and that from FIG. 11 comprises three segments 12a, 12b, 12c.
  • All segments 12a to 12d have a rectangular cross-section, whereby, as can be seen from the figures, the upper segment 12a - in analogy to FIGS of Figure 11, 12d.
  • the two or three lower segments 12a, 12b, 12c are each characterized by the same width.
  • the segment 12d of the waveguide 12 from FIG. 10 can also be regarded and referred to as the waveguide base.
  • the lower graphene film 16a extends between the here single planarization layer 10 and the overlying segment 12c of the ridge waveguide 12 and the upper graphene film 16b between the segments 12b and 12c.
  • the upper graphene film 16b thus extends within the waveguide 12.
  • the lower graphene film 16a was produced or provided on the side 11 of the planarization layer facing away from the wafer 5 and the upper side 16b on the segment 12c.
  • Each of the active elements 16, 16a, 16b of all detectors and 15 and modulators 15 of the photonic platform 8 are arranged relative to the respective waveguide 12, which can be seen in the figures and are assigned to them, that they are at least partially exposed to the evanescent field of electromagnetic radiation , which is guided with the respective waveguide 12, are exposed. It is preferable for at least one section of the respective active element 16, 16a, 16b that it extends at a distance of less than or equal to 50 nm, preferably less than or equal to 30 nm, from the respective waveguide 12. As can be seen, for example, in FIG. 2, the further planarization layer 13 between the waveguide 12 and the graphene film 16 is correspondingly thin or “thinned out” in relation to its thickness in the remaining area.
  • Each of the electro-optical devices is also electrical with at least one of the integrated electronic components 3 of the front-end-of-lines 5 of the respective wafer 1 in the illustrated exemplary embodiments conductively connected.
  • the connection is via the VIAs 7 of the back-end-of-lines 6 of the wafer 1 and further VIAs 7 that extend through the planarization layer 10 and possibly further layers or elements extend, realized.
  • the respective graphene film 16 is at opposite end regions via contacts or contact elements 19 with the upper end of VIAs 7, which extend through the planarization layer 10 and, if necessary, further layers or elements stretching as far as the back-end-of-line 6 of the wafer 1, connected in an electrically conductive manner.
  • the VIAs 7, which are connected to the contact elements 19 and are located below the former, are indicated by a thin line.
  • each of the two graphene films 16a, 16b is connected at one end region to a contact element 19 and above it to a VIA 7.
  • the contacting of an active element, present graphene films 16, 16a, 16b of an electro-optical device 15 with a contact element 19 can in principle be configured differently.
  • FIGS. 12 to 16 show five different possibilities by way of example. According to the option shown in FIG. 12, an end region of the graph film 16, 16a, 16b is in contact with a section of the underside of the contact element 19.
  • the contact element 19 here expediently consists of a metal optimized for graphene, for example nickel and / or titanium and / or aluminum and / or copper and / or chromium and / or palladium and / or platinum and / or gold and / or silver.
  • the example shown in FIG. 13 differs from the arrangement according to FIG. 10 only in that the contact element 19 comprises not just one but two metal layers 19a, 19b, whereby better performance can be achieved for a further connection, since the upper layer 19b can consist of a metal optimized for a further connection.
  • the lower layer 19a which is in contact with the graphene film 16, 16a, 16b, expediently consists in turn of a metal that is optimized for graphene.
  • the layer 19a consists of nickel and the layer 19b of aluminum or the layer 19a of titanium and the layer 19b of aluminum minium.
  • nickel and / or titanium and / or aluminum and / or copper and / or chromium and / or palladium and / or platinum and / or gold and / or silver are also possible, both for active elements with or made of graphene as well as with or from other electro-optically active materials.
  • the contact element 19 also includes a third, lower metal layer 19c, which serves as an adhesion promoter.
  • This layer 19c can for example consist of titanium or chromium or aluminum oxide.
  • the layer 19a consists, for example, of nickel and / or titanium and / or aluminum and / or copper and / or chromium and / or palladium and / or platinum and / or gold and / or silver.
  • the layer 19b can also consist of one of these metals or a combination of these. In the exemplary embodiments according to FIGS.
  • an end region of the active element in this case graphene film 16, 16a, 16b, extends between a first, lower, graphene-optimized metal layer 19a and a second, upper, also for graphene-optimized metal layer 19d of the contact element 19
  • the end region of the active element 16 is distinguished for this by an S-shaped cross section.
  • the two layers 19a and 19d are preferably made of palladium or nickel or gold, or platinum or a combination of nickel and / or titanium and / or aluminum and / or copper and / or chromium and / or palladium and / or platinum and / or gold and / or silver.
  • the example from FIG. 16 differs from that from FIG. 15 only in that the contact element 19, analogously to FIG. 14, comprises a third metal layer 19b, which is optimized for a further connection and, for example, like layer 19b from FIG. 13, can be made of aluminum .
  • the graphene film 16 can be covered by the contact element 19 or a layer 19a to 19d of this, so that the current in a vertical transition merges flatly from the contact element 19 or a layer of this into the graph (Top contact), or the graphene film 16 can also end at the edge of the contact element 19 or a layer 19a-19d of this, so that the current laterally merges into the graphene film 16 (side contact).
  • a passivation layer 25 is preferably provided above each active element, in the present case therefore preferably above each of the graphene films 16. This can only be seen in FIGS. 12 to 16, which each show a section of a graphene film 16, 16a, 16b in an enlarged representation.
  • the passivation layer 25 is made of aluminum oxide in the present case.
  • such a passivation layer 25 can also comprise or consist of dichalcogenides and / or dichalcogenide heterostructures and / or S1O2 and / or boron nitride.
  • the passivation layer 5 passivates the active elements, in this case the graphene films, and at the same time serves as an etch stop layer, so that selective etching of the contact elements 19 for connection to the VIAs 7 is possible.
  • the dielectric layer 17 provided between the active elements 16a, 16b can already serve to passivate the lower element 16b.
  • a passivation layer 25 then does not have to be assigned to this.
  • the exemplary embodiment from FIG. 17 includes an active element 16 which is formed by a layer of polycrystalline silicon which at the same time forms the waveguide 12.
  • the silicon layer 16 has the shape of a rib waveguide with a T-shaped cross section.
  • the silicon layer forming the active element 16 and the waveguide 12 has two doped regions, namely a p-doped region 16p and an n-doped region 16n. It should be noted that alternatively there could also be a pin transition, that is to say an undoped area could also be located between the p- and n-doped area.
  • the silicon layer 6 is connected to two contact elements 19.
  • the charge carrier concentration changes in the area of the barrier layer and thus also the absorption and the refractive index of the waveguide 12. It can also be said that the waveguide 12 is designed here as a diode in order to obtain a modulator.
  • FIG. 18 shows a further example of a silicon modulator, which is also known under the SISCAP (cf. also the publication "An efficient MOS capacitor-based silicon modulator and CMOS drivers for optical transmitters," by M. Webster et el., 11th International Conference on Group IV Photonics (GFP), Paris, 2014, pp. 1-2. Doi: 10.1109 / Group4.2014.6961998).
  • two active elements 16a, 16b are provided, each of which is formed by a silicon layer, preferably made of crystalline silicon or poly silicon or amorphous silicon.
  • the active element 14a is p-doped and the element 16b is n-doped.
  • the active elements 16a, 16b are also arranged offset from one another in such a way that they lie one above the other in an overlap area, this in analogy to the active elements 16 of the example from FIGS. 8, 10 and 11.
  • the area of the overlap forms the waveguide 12.
  • the charge carrier concentration can be set in this range and thus the optical properties of the waveguide 12.
  • FIG. 19 shows a further example of a silicon modulator 15.
  • This likewise comprises two active elements 16a, 16b which are formed by silicon layers which are p- and n-doped, respectively. These lie next to one another in one plane and an element made of an electro-optical polymer 26 is provided between them.
  • the two active elements 16a, 16b and the element 29 made of an electro-optical polymer form a Rip pen waveguide 12 with a - formed by the element 26 gap.
  • the side walls of the gap serve here as electrodes of a capacitance.
  • the electric field in the gap influences the optical properties of the polymer and enables an optical signal to be modulated.
  • FIG. 20 shows an example of a modulator with a diode 27 made of compound semiconductors.
  • the diode 27 consists of layers 27a to 27d of different compositions, for example InGaAsP, in order to produce a pn junction and two contact regions.
  • the contact areas are connected to the contact elements 19 and thus to integrated electronic components 4 by means of electrodes 28.
  • the or at least one electro-optical device - both in the case of a modulator 15 and in the case of a detector 15 - can also be used as those with plasmonic coupling be designed or manufactured to who.
  • the plasmonic structure 29 comprises three pairs of plasmonic elements 30 arranged next to one another made of or with the plasmonically active material.
  • the plasmonic elements consist of gold. Silver and / or aluminum and / or copper may be mentioned as further suitable material examples.
  • the plasmonic elements 30 quasi form antennas on the waveguide 12 to increase the absorption (cf.
  • Such a plasmonic structure can be provided, for example, on the active element 16 of an arrangement according to FIGS. 2, 4 or 5.
  • FIG. 22 shows an example of a photodetector 15 in which no waveguide 12 or a section of such is provided below or above the active element 16, but rather in which a waveguide 12 is preferably in a plane with the active element 16 and to the side of this is provided, which has a V-shaped in the direction of the active element 16 tapering portion 31.
  • the section 31 terminates in a tip which extends to the left side of the active element 16 in FIG. 22, for example graphene film.
  • the contact elements 19 here include sections 19e which run in opposite directions, that is, in taper in the direction away from the active element 16. The contact elements 19 follow, so to speak, sections of the tapering end section 31 of the waveguide 12, which enables the plasmonic coupling.
  • FIG. 22 shows an example of a photodetector 15 in which no waveguide 12 or a section of such is provided below or above the active element 16, but rather in which a waveguide 12 is preferably in a plane with the active element 16 and to the side of this is provided, which
  • the active element comprises or consists of at least one electro-optical polymer (cf. also the publication "Silicon-Organic Hybrid (SOH) and Plasmonic-Organic Hybrid (POH) Integration", von Koos et al., Journal of Lightwave Technology, Vol. 34, No. 2, 2016).
  • the photonic platform 8 made on the wafer 1 of a semiconductor device according to the invention will generally comprise a very large number of electro-optical devices 15, which can be provided in particular by photo detectors and / or modulators. This is also the case in the illustrated embodiment.
  • each section of the photonic platform 8 which extends above a chip area 4 of the wafer 1 will already comprise a plurality of electro-optical devices 15 and a plurality of waveguides 12.
  • several tens, several hundred or even several thousand electro-optical devices 15 and / or waveguides 12 can be provided in each section of the photonic platform 8 extending above a chip area 4. The number can be selected for the specific application.
  • all electro-optical devices 15 and Wel lenleiter 12 of the photonic platform 8 are structurally identical.
  • the correspondence enables a particularly simple and rapid production.
  • a semiconductor device according to the invention to include various of the examples shown in FIGS. 2, 4 to 8, 10, 11 and / or 17 to 23, for example both detectors 15 with underlying waveguides 12 according to FIG. 2 as well as modulators 15 and waveguides 12 according to FIG. 8.
  • the active element or elements 16, 16a, 16b of each electro-optical device can be electrically conductively connected in one of the ways shown in FIGS. 12 to 16 with one, in the case of the detectors, two contact elements 19. It is possible for all active elements 16, 16a, 16b of a semiconductor device according to the invention to be included in the same way Contact elements 19 are contacted. Alternatively, it is of course also possible for different active elements 16 of a device to be contacted in different ways.
  • the waveguides 12 and contact elements 19 FIGS Light from the wave guide 12 are used. One of these is arranged here at opposite ends of the respective waveguide 12.
  • the coupling devices 32 are presently each formed out as side or lattice coupling devices.
  • FIGS. 24 to 27 show purely schematic representations of examples of such.
  • a side coupling device 32 is shown in a plan view and in section in FIGS. 24 and 25, and a grid coupling device 32 is shown in a plan view and in section in FIGS. 26 and 27.
  • a coupling device 32 is assigned to several, possibly also each of the waveguides 12 of the photonic platform 8 or two coupling devices 32 are assigned.
  • a waveguide 12 is or will be assigned two coupling devices 32, especially in the case where light is to be coupled in and out.
  • this is characterized by a width b as height h, which exceeds the extent of the waveguide 12 in corresponding directions, in the present case each corresponds to a multiple of this.
  • the side coupling device 32 further comprises an end region 34 of the waveguide 12 which extends into the side coupling element and which, as can be clearly seen in FIG. 24, tapers conically in the direction of its end.
  • the outer contour of the tapering section 34 is indicated with a thin line, since this is covered by a section of the element 33 when viewed from above.
  • the element 33 effects an adaptation of the mode field from the diameter of a glass fiber (for example 5 ⁇ m to 15 ⁇ m diameter) to the size of the waveguide 12 (for example 300 nm high, 1.1 ⁇ m wide).
  • the outgoing tip 34 of the waveguide 12 effects an adiabatic adaptation of the effective refractive index in the region of the mode field, so that the optical mode is increasingly transferred from the coupling structure into the waveguide 12.
  • the grating coupling device 32 is formed by an end section 35 of the waveguide 12, which widens conically towards the end and, as the section from FIG. 27 shows, on its part of the wafer 5 has a grid structure 36 facing away from the side.
  • the dimension of the waveguide 12 (for example 300 nm height, 1.1 ⁇ m width) is adapted to the diameter of the mode field in a glass fiber (for example 5 ⁇ m to 15 ⁇ m), thus increasing the coupling efficiency.
  • the lattice structure 36 is indicated only in a simplified manner by a plurality of parallel lines.
  • the incident light is diffracted by the grid-like arrangement of refractive index levels.
  • the dimensions of the grating are expediently calculated so that at a given angle of incidence the first order of diffraction in the waveguide 12 and so the light in the Wel lenleiter 12 is coupled.
  • the coupling devices 32 lie in one plane with the respective waveguide 12, that is to say in the present case on the side 11 of the planarization layer 10 facing away from the wafer 5.
  • the photonic platform 8 can also include one or more optical devices.
  • This can be, for example, one or more interferometers, such as Mach-Zehnder interferometers, and / or MMIs and / or directional couplers and / or ring resonators and / or polarization converters and / or splitters.
  • the optical devices are usually formed by several sections of waveguides 12, which are then arranged accordingly. They represent in particular passive structures made of waveguides 12 or waveguide longitudinal sections.
  • thermo-optical devices For example, a heating element and a longitudinal section of a waveguide 12, the heating element being arranged relative to the waveguide section in such a way that it can heat this up.
  • the refractive index of the waveguide 12 can be changed in the longitudinal section. This effect can be used for phase adjustment, for example.
  • a thermo-optical device can also be assigned to an interferometer of the photonic platform or form part of such an interferometer.
  • a longitudinal section of the waveguide 12 to be seen in FIGS. 2, 4 to 11 can, for example, be part of a thermo-optical device, again a section which lies in front of or behind the electro-optical device 15 in a direction perpendicular to the plane of the drawing.
  • the photonic platform 8 also comprises a passivation layer 37, which extends above the electro-optical devices 15 and preferably forms the top-side termination of the photonic platform 8 and the semiconductor device (cf. FIG. 1).
  • the passivation 37 also represents a cladding. It should be noted that the passivation layer 37 is not shown in the plan views according to FIGS Step S1 (cf. FIG. 28) the wafer 1 with the integrated circuits comprising the integrated electronic components 3 and the metallization including the VIAs 7.
  • the wafer 1 can be any desired wafer 1 of a conventional type that has been obtained by a known manufacturing method.
  • the photonic platform 8 is then produced on the BEOL 6 of the wafer 1.
  • the planarization layer 10 is produced on the back-end-of-line 6 of the wafer 1.
  • a coating material in this case silicon dioxide (S1O2), is applied, for example by chemical vapor deposition, such as low-pressure chemical vapor deposition (LPCVD) or plasma-assisted chemical vapor deposition (PECVD), or physical vapor deposition or by centrifuging spin-on -Glass can be done. PECVD is used in the lying position.
  • step S3 the side of the coating obtained facing away from the wafer 5 is subjected to a planarization treatment (step S3), in the present case a resist planarization, whereby a side 11 facing away from the wafer 5 is obtained with a roughness of 0.2 nm RMS will.
  • the resist planarization includes a single or repeated spin-on-glass spin-on and subsequent etching, in the present case reactive ion etching (RIE).
  • RIE reactive ion etching
  • the spin-on-glass layer partially compensates for the height differences, ie valleys in the topology have a higher layer thickness after the spin-on-glass coating than neighboring elevations. If, after the spin-on-glass coating, the entire spin-on-glass layer is etched, for example by RIE, the height difference has been reduced due to the planarizing effect of the spin-on-glass layer. The height difference can be further reduced by repetition until the desired roughness is obtained.
  • a side 11 of the planarization layer 10 facing away from the wafer 5 can alternatively be obtained, for example, by chemical-mechanical polishing (CMP) with correspondingly low roughness.
  • CMP chemical-mechanical polishing
  • the waveguides are produced.
  • waveguide material in this case titanium dioxide (T1O2)
  • ALD Atomic layer deposition
  • ALD or a transfer printing process can also be performed.
  • LPCVD is used.
  • Lithography and structuring are carried out, in particular by means of reactive ion etching (RIE), in order to obtain the individual waveguides 12.
  • the waveguide material is completely removed wherever no strip waveguide 12 is to remain, in other words, it is etched down to the layer 10 underneath.
  • the coupling devices 32 including their waveguide ends 34, 35 belong are in the present case produced together with the rib or strip waveguides 12, with the lateral expansion of the waveguide 12 in the area in the case of rib waveguides 12 the coupling point can be removed dry-chemically in a separate etching step.
  • Waveguides 12, which consist of layers arranged one above the other, can be structured with the top layer 12a after the layer structure has been completed, with the lateral extension of the waveguide in the area of the coupling point being removed dry-chemically in a separate etching step in the case of rib waveguides 12 .
  • mode converters between rib and strip waveguides 12 can be defined and subregions of rib waveguides 12 can be formed as strip waveguides 12 by means of lithography and RIE.
  • Grating couplers 32 with grating structures 36 can be defined lithographically and structured dry-chemically.
  • one or more layers of dielectrics and / or semiconductors and / or resins and / or polymers are deposited and structured by means of lithography and / or RIE.
  • the further planarization layer 13 is produced on the waveguides 12 and on the side 11 of the planarization layer 10.
  • this is obtained completely analogously to the planarization layer 10 by deposition by means of PECVD and resist planarization.
  • the resist planarization the section of the further planarization layer 13, which is trapezoidal in cross section, results above the waveguide 12 (see FIG. 2).
  • planarization layer 13 it is also true that, as an alternative to LPCVD and CMP, other of the aforementioned methods can be used and a different planarization treatment, such as CMP, and / or further planarization is possible, as described above for the planarization layer 10. If CMP is used, a flat surface is usually obtained, that is, there is no trapezoidal section above the waveguide 12, as can be seen in FIG. 2 (and also, for example, FIGS. 4 and 9).
  • the planarization layer 10 and further planarization layer 13 can comprise one or more cover layers which are preferably provided on the surface subjected to the planarization treatment or who and which can be dichalcogenide layers or dichalcogenide heterostructures or boron nitride layers, for example. These materials are preferably deposited or transferred without that further chemical-mechanical polishing or further resist planarization is required, although it is not excluded that this is done again.
  • a semiconductor device according to the invention should also have areas without a further planarization layer 13, for example also areas in which the structure corresponds to that according to FIGS. 5, 10 or 11, the further planarization layer 13 (and any layers thereon) is then partially removed again, in particular by lithography and etching.
  • step S6 the VIAs 7 are produced through the planarization layer 10 and the further planarization layer 13.
  • this can be done in any manner previously known from the prior art.
  • first of all the areas in which they should extend are preferably defined by lithography and dry-chemically etched by means of RIE.
  • RIE dry-chemically etched by means of RIE.
  • the VIAs 7 are made after the completion of the further planarization layer 13 through both planarization layers 10, 13 or also after completion of the first layer 10 from sections of this through the first planarization layer 10 and after completion of the second 13 sections of this through the second layer 13.
  • the electro-optical devices 15 are then produced.
  • step S7 the active elements of the detectors given by the graphene film 16 are provided on the side 14 of the further planarization layer 13 facing away from the wafer 5, for example deposited on the page 14, and then in step S8 the Kunststoffele elements 19 (one or more layers) received.
  • the graphene films 16 can be deposited using a transfer method, for example, as described in more detail above. Then in particular a graphene film produced on a separate substrate or a separate metal foil or a separate germanium wafer is transferred to the further planarization layer 13. It is also possible for the graphene films to be produced directly on the further planarization layer 13. This can include a material deposition, for example.
  • the passivation layer 25 can be provided on the side of the respective graphene film 16 facing away from the wafer 5, for this to be deposited or deposited thereon, and then to be transferred with it.
  • the passivation layer 25 can also be deposited or deposited after the transfer or manufacture of the graphene film 16 or the graphene films 16.
  • the contact elements 19 or their layers 19a to 19d are then produced, preferably by adding one (FIG. 12) or several layers (FIGS 13 to 16) metal are deposited over the entire surface and then structuring is carried out by means of lithography and RIE.
  • the lower metal layer 19c or 19a of the contact elements 19 is produced, then the graphene films 16 and then the further 19b, 19d or the two further layers 19a, 19b or 19d, 19b.
  • This can also be done by depositing the corresponding metal over the entire surface and then structuring it by means of lithography and RIE.
  • the upper passivation 37 is preferably deposited from Al2O3 and S1O2.
  • openings, in particular for contact elements are then expediently finally produced by means of lithography and RIE (step S10). Openings are preferably made to contact elements that serve to connect the photonics and / or electronics to the outside.
  • a semiconductor device with strip waveguides 12 and electro-optical devices 15 according to FIG. 2 can be obtained via the steps described above.
  • the lower waveguide segment 12c is first produced on the side of the planarization layer 10 facing away from the wafer, it being possible to use the methods described above, for example PECVD.
  • the active element in the present case the graphene film 16 and the contact elements 19, are then produced, the sequence again depending on which of the contacting schemes shown in FIGS. 12 to 16 is selected.
  • the passivation layer 25 is then produced on the graphene film 16 (can only be seen in FIGS. 12 to 16) and then the two segments 12b and 12a and the layer 37.
  • the procedure differs in part from that which was described above in connection with FIG.
  • the procedure can in principle be the same up to the further planarization layer 13 and the VIAs 7 through the planarization layer 10 and this 13, so steps S1 to S6 can be identical.
  • the free position of the respective modulator 15 then comprises, however, that the one lower graphene film 16a is initially provided as one of the two active elements on the further planarization layer 13 and only one contact element is provided at one of its end regions pointing to the left in FIG 19 is made.
  • the lowering position can take place in exactly the same way as described above in connection with FIG. 2 for one graphene film 16 and the two contact elements 19.
  • the dielectric layer 17 is then provided, for example by deposition, preferably of aluminum oxide. It is also possible that the dielectric layer 17 is provided by a transfer method.
  • the second, upper graphene film 16b is then produced and the second contact element 19 is reached at its end region pointing to the right in FIG. 6.
  • the lowering position can again take place in the same way as described above in connection with FIG. 2 for one graphene film 16 and the two contact elements 19. Steps S8 and S9 described above can then follow in order to obtain the upper passivation 37 and the openings therein.
  • steps S1 to S6 can also be carried out identically and then the further planarization layer 13 can be partially removed again. Alternatively, their freeing position, i.e. the step S5 is omitted and only VIAs are produced through the planarization layer 10 in step S6.
  • the segment 12d ie the waveguide base
  • an optically transparent, preferably dielectric layer or a semiconductor is deposited and structured by means of lithography and RIE.
  • T1O2 is deposited.
  • the lower graphene film 16a and then the associated contact element 19 are produced, then the waveguide segment 12c, above this the upper graphene film 16b with the associated contact element 19, up there the waveguide segment 12b and on top the waveguide segment 12a, which is characterized by a significantly smaller width than the further segments 12b, 12c, 12d.
  • the material for the waveguide segment 12b can for example be produced by means of ALD or by a chalcogenide layer and ALD obtained by means of CVD or transfer, and / or a layer of dielectric or semiconducting material produced by means of PVD and structured with lithography and RIE.
  • the segment 12a is then provided, a dielectric or semiconducting material and / or a dichalcogenide layer obtained by CVD or transfer being provided by means of ALD and / or PVD and / or PECVD and / or LPCVD and structured using lithography and RIE.
  • the graphene films 16a, 16b and contact elements 19 can be produced in exactly the same way as described above in connection with FIG.
  • steps S9 and S10 can be carried out, again in order to obtain the passivation layer 37 and openings in it.
  • the same procedure can generally be followed as described above in connection with FIG. 10, with the only difference that the lowering position of the lowest waveguide segment 12d in FIG. 10 is omitted and the lower graphene film 16a is directly on side 11 the planarization layer 10 is produced
  • the same procedure can be followed again up to the completion of the planarization layer 10 (steps S1 to S3).
  • the silicon layer 16 is then produced as an active element.
  • This in turn can include a material deposition, for example using one of the aforementioned processes, for example a CVD or PVD process or spin coating, and a subsequent structuring (e.g. lithography and RIE) to obtain the T-shape.
  • the rib waveguide obtained is p- doped on one side and n-doped on its other side in order to obtain the regions 16p and 16n. This preserves the pn junction.
  • the contact elements 19 can then be produced.
  • steps S1 to S3 can again be identical and then the two silicon layers 16a and 16b, each forming an active element, are produced, which also involves material deposition, for example over one of the
  • the aforementioned method for example a CVD or PVD method or spin-on, and subsequent structuring (for example lithography and RIE) can include, and the associated contact elements 19 are produced.
  • FIG. 19 the same procedure can in principle be followed as in FIG. 17, where element 26 is then also produced from an electro-optical polymer between the two elements 16a and 16b.
  • steps S1 to S5 can be identical, as described above in connection with FIG.
  • the first electrode 28 with the associated contact element 19 then the diode 27 with the layers 27a to 27d and then the second electrode 28 with the associated contact element 19 can be produced, this can include a material deposition and subsequent structuring.
  • the layer 37 can finally be produced in analogy to the remaining examples.
  • the photonic platform 8 is produced directly on the BEOL 6 of the wafer 1. It can also be said that it was produced monolithically on the wafer 1 or is a monolithic platform 8.
  • the layers 10, 13, 37 and the waveguides 12 are produced directly on the wafer 1 by applying appropriate material to the BEOL 6 of the wafer 1 or layers already produced thereon. There is no separate production of the layers 10, 13, 37 or waveguide 12 and subsequent connection by bonding.
  • the semiconductor device shown in FIG. 1 can be divided, which includes, for example, (laser) cutting and / or sawing and / or breaking along the lines shown defining the chip regions 4.
  • dicing can take place in any manner previously known from the prior art, in particular as in the prior art for conventional wafers 1.
  • FIG. 29 shows, by way of example and purely schematically, three chips with integrated photonics obtained by such a dicing in a top view.
  • These represent exemplary embodiments of semiconductor devices 38 according to the invention.
  • Each of these semiconductor devices 38 comprises a chip 39, the extent of which corresponds to a chip region 4 of the wafer 1, and a section 40 of the photonic platform 8 lying above it, the lateral extent of which is at least substantially due to the thickness with the lateral extent of the underlying chip 39 coincides.
  • the chip 39 and the section 40 of the photonic platform 8 lying above it can be seen in the purely schematic sectional illustration from FIG. It should be noted that in this greatly simplified illustration only the two superimposed areas defined by the chip 39 and the photonics 40 are indicated, but not layers and components of these.
  • the chip 39 includes, inter alia, a variety of integrated electronic components 3, such as transistors and / or capacitors and / or resistors, which can be, for example, parts of a processor of the chip 39, and the portion 40 of the photonic platform 8, among other things, a variety of electronic Optical devices 15, as can be seen in particular from FIGS. 2 to 11 and 17 to 23.
  • integrated electronic components 3 such as transistors and / or capacitors and / or resistors, which can be, for example, parts of a processor of the chip 39, and the portion 40 of the photonic platform 8, among other things, a variety of electronic Optical devices 15, as can be seen in particular from FIGS. 2 to 11 and 17 to 23.
  • the semiconductor devices 38 obtained by dicing a semiconductor device according to the invention which each represent a bare chip with monolithically integrated photonics, can then, as is also known from conventional bare chips, be used in packages and supplied for further use .
  • the section 40 of the photonic platform can be used, for example, to convert electrical signals of the integrated electrical components of the chip 39 into optical signals, so that, for example, communication with other chips and / or other integrated electronic components 4 of the device 38 is optical can be done.
  • light can be modulated on the one hand with a modulator 15, which is coupled to an integrated electronic component, e.g. of the same or a different chip can be received.

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Optics & Photonics (AREA)
  • Computer Hardware Design (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Nonlinear Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Optical Integrated Circuits (AREA)
  • Light Receiving Elements (AREA)
  • Optical Modulation, Optical Deflection, Nonlinear Optics, Optical Demodulation, Optical Logic Elements (AREA)
  • Bipolar Transistors (AREA)
EP20829172.4A 2020-01-31 2020-12-21 Halbleitervorrichtung und halbleitereinrichtung sowie verfahren zur herstellung solcher Pending EP4097520A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE102020102534.3A DE102020102534A1 (de) 2020-01-31 2020-01-31 Halbleitervorrichtung und Halbleitereinrichtung sowie Verfahren zur Herstellung solcher
PCT/EP2020/087445 WO2021151594A1 (de) 2020-01-31 2020-12-21 Halbleitervorrichtung und halbleitereinrichtung sowie verfahren zur herstellung solcher

Publications (1)

Publication Number Publication Date
EP4097520A1 true EP4097520A1 (de) 2022-12-07

Family

ID=74175810

Family Applications (1)

Application Number Title Priority Date Filing Date
EP20829172.4A Pending EP4097520A1 (de) 2020-01-31 2020-12-21 Halbleitervorrichtung und halbleitereinrichtung sowie verfahren zur herstellung solcher

Country Status (8)

Country Link
US (1) US20230123602A1 (zh)
EP (1) EP4097520A1 (zh)
JP (1) JP2023512099A (zh)
KR (1) KR20220126734A (zh)
CN (1) CN115039003A (zh)
CA (1) CA3169253A1 (zh)
DE (1) DE102020102534A1 (zh)
WO (1) WO2021151594A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023162526A1 (ja) * 2022-02-28 2023-08-31 株式会社村田製作所 光学用積層体及び光学素子

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013086047A1 (en) 2011-12-06 2013-06-13 Cornell University Integrated multi-chip module optical interconnect platform
CN105842782B (zh) * 2016-05-05 2019-04-16 湖南大学 一种采用石墨烯光电器件的单片光电集成电路
CN106199837A (zh) 2016-07-27 2016-12-07 电子科技大学 一种基于氟化物波导或硫系玻璃波导的石墨烯中红外光路由器
CN106990563B (zh) 2017-06-02 2019-07-05 电子科技大学 基于石墨烯微带线行波电极的环形谐振腔光调制器

Also Published As

Publication number Publication date
CN115039003A (zh) 2022-09-09
KR20220126734A (ko) 2022-09-16
DE102020102534A1 (de) 2021-08-05
JP2023512099A (ja) 2023-03-23
CA3169253A1 (en) 2021-08-05
US20230123602A1 (en) 2023-04-20
WO2021151594A1 (de) 2021-08-05

Similar Documents

Publication Publication Date Title
DE112017000319B4 (de) Integrierter einphotonenumsetzer zum umsetzen von mikrowellen- auf optische signale mit spannungsinduziertem elektrooptischem material
DE69434745T2 (de) Verfahren zur Herstellung eines Aggregats von Mikro-Nadeln aus Halbleitermaterial und Verfahren zur Herstellung eines Halbleiterbauelements mit einem solchen Aggregat
DE60116381T2 (de) Elektro-optische struktur und verfahren zu ihrer herstellung
DE69212427T2 (de) Integrierter elektro-optischer Modulator und dessen Herstellungsverfahren
DE19633373A1 (de) Aufbau eines in der Wellenlänge abstimmbaren Lasers
EP0524219B1 (de) Halbleiterelement mit einer silizium-schicht
WO2008037506A1 (de) Selbstorganisierte nadelartige nano-strukturen in ihren anwendungen
DE102014119717B4 (de) Integrierte optoelektronische Vorrichtung und System mit Wellenleiter und Herstellungsverfahren derselben
WO2021180464A1 (de) Photodetektor, modulator, halbleitereinrichtung und halbleitervorrichtung
DE102021106176A1 (de) In-situ-kappe für germanium-fotodetektor
WO2021151584A1 (de) Verfahren zur herstellung einer elektro-optischen einrichtung und elektro-optische einrichtung
WO2021151594A1 (de) Halbleitervorrichtung und halbleitereinrichtung sowie verfahren zur herstellung solcher
EP3704536B1 (de) Wellenleiter-bauelement
DE102021122930A1 (de) Mit einem oder mehreren luftspalten integrierte gitterkoppler
EP4189449A1 (de) Elektro-optische einrichtung, halbleitereinrichtung und halbleitervorrichtung, elektro-optische anordnung und verwendung
DE112021004502T5 (de) Eine plasmonische Vorrichtung und ein Verfahren zur Herstellung einer plasmonischen Vorrichtung
EP3149778A1 (de) Plasmonisches bauteil und plasmonischer photodetektor sowie deren herstellungsverfahren
DE112016000832B4 (de) Verfahren zur Strukturierung einer Nitridschicht, optoelektronisches Bauelement und Ätzverfahren zum Ätzen von Schichten
DE112022002427T5 (de) Fotodetektor und Verfahren zum Bilden davon
DE112022002328T5 (de) Elektrooptischer Modulator und Verfahren zu dessen Bildung
WO2022058217A1 (de) Optoelektronisches halbleiterbauelement und verfahren zur herstellung
DE102023102574A1 (de) Photonic device and methods of forming same
DE102023107753A1 (de) Lichtablenkstruktur zum verbessern der optischen kopplung

Legal Events

Date Code Title Description
STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: UNKNOWN

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE INTERNATIONAL PUBLICATION HAS BEEN MADE

PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: REQUEST FOR EXAMINATION WAS MADE

17P Request for examination filed

Effective date: 20220726

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AL AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HR HU IE IS IT LI LT LU LV MC MK MT NL NO PL PT RO RS SE SI SK SM TR

DAV Request for validation of the european patent (deleted)
DAX Request for extension of the european patent (deleted)
RAP1 Party data changed (applicant data changed or rights of an application transferred)

Owner name: BLACK SEMICONDUCTOR GMBH