DE102020102534A1 - Halbleitervorrichtung und Halbleitereinrichtung sowie Verfahren zur Herstellung solcher - Google Patents

Halbleitervorrichtung und Halbleitereinrichtung sowie Verfahren zur Herstellung solcher Download PDF

Info

Publication number
DE102020102534A1
DE102020102534A1 DE102020102534.3A DE102020102534A DE102020102534A1 DE 102020102534 A1 DE102020102534 A1 DE 102020102534A1 DE 102020102534 A DE102020102534 A DE 102020102534A DE 102020102534 A1 DE102020102534 A1 DE 102020102534A1
Authority
DE
Germany
Prior art keywords
wafer
waveguide
semiconductor device
planarization layer
electro
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020102534.3A
Other languages
English (en)
Inventor
Daniel Schall
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
BLACK SEMICONDUCTOR GMBH, DE
Original Assignee
Ges Fuer Angewandte Mikro und Optoelektronik Amo GmbH mbH
Amo GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ges Fuer Angewandte Mikro und Optoelektronik Amo GmbH mbH, Amo GmbH filed Critical Ges Fuer Angewandte Mikro und Optoelektronik Amo GmbH mbH
Priority to DE102020102534.3A priority Critical patent/DE102020102534A1/de
Priority to US17/796,369 priority patent/US20230123602A1/en
Priority to EP20829172.4A priority patent/EP4097520A1/de
Priority to JP2022547027A priority patent/JP2023512099A/ja
Priority to PCT/EP2020/087445 priority patent/WO2021151594A1/de
Priority to CN202080095177.8A priority patent/CN115039003A/zh
Priority to CA3169253A priority patent/CA3169253A1/en
Priority to KR1020227025950A priority patent/KR20220126734A/ko
Publication of DE102020102534A1 publication Critical patent/DE102020102534A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • G02B6/132Integrated optical circuits characterised by the manufacturing method by deposition of thin films
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/12004Combinations of two or more optical elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/122Basic optical elements, e.g. light-guiding paths
    • G02B6/1226Basic optical elements, e.g. light-guiding paths involving surface plasmon interaction
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/122Basic optical elements, e.g. light-guiding paths
    • G02B6/1228Tapered waveguides, e.g. integrated spot-size transformers
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/03Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on ceramics or electro-optical crystals, e.g. exhibiting Pockels effect or Kerr effect
    • G02F1/035Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on ceramics or electro-optical crystals, e.g. exhibiting Pockels effect or Kerr effect in an optical waveguide structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/1443Devices controlled by radiation with at least one potential jump or surface barrier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0203Containers; Encapsulations, e.g. encapsulation of photodiodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0232Optical elements or arrangements associated with the device
    • H01L31/02325Optical elements or arrangements associated with the device the optical elements not being integrated nor being directly associated with the device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/08Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
    • H01L31/09Devices sensitive to infrared, visible or ultraviolet radiation
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12035Materials
    • G02B2006/12061Silicon
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12083Constructional arrangements
    • G02B2006/12097Ridge, rib or the like
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12133Functions
    • G02B2006/12142Modulator
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F2201/00Constructional arrangements not provided for in groups G02F1/00 - G02F7/00
    • G02F2201/06Constructional arrangements not provided for in groups G02F1/00 - G02F7/00 integrated waveguide
    • G02F2201/063Constructional arrangements not provided for in groups G02F1/00 - G02F7/00 integrated waveguide ridge; rib; strip loaded
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F2201/00Constructional arrangements not provided for in groups G02F1/00 - G02F7/00
    • G02F2201/06Constructional arrangements not provided for in groups G02F1/00 - G02F7/00 integrated waveguide
    • G02F2201/066Constructional arrangements not provided for in groups G02F1/00 - G02F7/00 integrated waveguide channel; buried
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F2202/00Materials and properties
    • G02F2202/10Materials and properties semiconductor
    • G02F2202/101Ga×As and alloy
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F2202/00Materials and properties
    • G02F2202/10Materials and properties semiconductor
    • G02F2202/104Materials and properties semiconductor poly-Si
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F2202/00Materials and properties
    • G02F2202/36Micro- or nanomaterials
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F2203/00Function characteristic
    • G02F2203/10Function characteristic plasmon

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Optics & Photonics (AREA)
  • Computer Hardware Design (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Nonlinear Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Optical Integrated Circuits (AREA)
  • Light Receiving Elements (AREA)
  • Optical Modulation, Optical Deflection, Nonlinear Optics, Optical Demodulation, Optical Logic Elements (AREA)
  • Bipolar Transistors (AREA)

Abstract

Die vorliegende Anmeldung betrifft eine Halbleitervorrichtung umfassend einen Wafer (1) mit einem bevorzugt einteiligen Halbleiter-, insbesondere Siliziumsubstrat (2) und wenigstens einem integrierten elektronischen Bauteil (3), das sich in und/oder auf dem Halbleitersubstrat (2) erstreckt, wobei der Wafer (1) ein das oder wenigstens eines der integrierten elektronischen Bauteile (3) umfassendes Front-End-of-Line (5) und ein darüber liegendes Back-End-of-Line (6) aufweist, und eine auf der von dem Front-End-of-Line (5) abgewandten Oberseite (9) des Wafers (1) hergestellte photonische Plattform (8), die wenigstens einen Wellenleiter (12) und wenigstens eine elektro-optischen Einrichtung (15), insbesondere wenigstens einen Photodetektor und/oder wenigstens einen elektro-optischen Modulator, aufweist, wobei die oder wenigstens eine der elektro-optischen Einrichtungen (15) der photonischen Plattform (8) mit dem oder wenigstens einem der integrierten elektronischen Bauteile (3) des Wafers (1) verbunden ist.

Description

  • Die Erfindung betrifft eine Halbleitervorrichtung und ein Verfahren zur Herstellung einer solchen. Darüber hinaus betrifft die Erfindung eine Halbleitereinrichtung und ein Verfahren zur Herstellung einer solchen.
  • Der Austausch von Daten innerhalb und insbesondere zwischen Chips kommt zunehmend an Kapazitätsgrenzen. Die Anzahl möglicher Anschlüsse ist durch die zur Verfügung stehende Chipfläche und durch technologische Faktoren, die sich auf die Herstellbarkeit auswirken, begrenzt. Zudem ist die Bandbreite elektrischer Verbindungen durch mit der Frequenz stark zunehmende elektrische Verluste begrenzt. Für ein großes Anwendungsspektrum liegt der Bedarf an breitbandiger I/O Schnittstellen oberhalb der aktuellen Kapazitäten. Beispielhafte Anwendungen liegen im Bereich des sogenannten disaggregierten Computings (englisch: disaggregated computing), welches insbesondere die konfigurierbare Vernetzung von CPU oder GPU und Speicher betrifft bzw. einschließt, der CPU-Speicher-Anbindung und loT Netzwerken u.a. für autonome Mobilität. In den genannten Fällen ist oftmals eine extreme Bandbreite mit Gb/s bis Tb/s Datentransfer erforderlich.
  • Derzeit werden I/O-Schnittstellen im Wesentlichen elektronisch realisiert. Das trifft auf Speicheranbindung, Sensornetzwerke (loT), und wesentliche Bereiche der Datenkommunikation zu. Die aktuell technisch mögliche I/O-Bandbreite reicht oftmals nicht aus, um gewünschte Transferraten zu erreichen. Physikalische, grundlegend begrenzend wirkende Zusammenhänge wie Verluste und minimale Abmessungen von elektrischen Kontaktstellen verhindern dabei eine wesentliche Steigerung der Performance. Die elektrischen Verluste spielen insbesondere bei hohen Frequenzen eine wesentliche Rolle (beispielsweise 10 dB/m im Bereich um 50 GHz für koaxiale Leiter), wohingegen die Verluste in Glasfasern im Bereich von 0.1 dB/km im Vergleich extrem klein sind. Der Wechsel zu optischen Schnittstellen kann das Problem der Bandbreite und Reichweite lösen. Die Herstellung kostengünstiger, leistungsfähiger, in sehr großen Stückzahlen verfügbarer Bauteile stellt hier jedoch eine große Herausforderung dar. Dazu ist gegenwärtig nur die Siliziumtechnologie in der Lage, die allerdings nur eingeschränkte photonische Funktionalität mit sich bringt. Besser geeignet, aber nicht monolithisch in die Si Technologie integriert sind III-V Halbleiter.
  • Neben I/O-Schnittstellen sind weitere Anwendungsgebiete denkbar. Es könnten auch optische Systeme wie Filter, Spektrometer oder neuronale Netze für maschinelles Lernen realisiert werden. Durch eine enge Integration von Photonik und Elektronik könnten neuartige Chiparchitekturen ermöglicht werden.
  • Optische Schnittstellen werden in gewissem Umfang für Datenkommunikation entweder durch Heterointegration oder Bondingtechniken elektronischer und optischer Chips erreicht. Das bedeutet, dass optische und elektronische Chips mit unterschiedlichen Technologien hergestellt und anschließend verbunden werden. Dazu werden üblicherweise auf III-V Übergangshalbleitern basierte optische Schaltungen auf Si Wafer mit elektronischen Steuerschaltungen gebondet. Der Vorteil ist, dass jede Schaltungsgattung in ihrem optimalen Prozess hergestellt werden kann. Der erhebliche Nachteil sind allerdings die hohen Kosten und die sequentielle und damit zeitintensive Fertigungstechnik für das Bonding (jeder Chip muss einzeln nacheinander auf den Wafer geklebt werden) und der Bruch der Herstellungslinie. Nachdem die einzelnen Chips auf den Wafer geklebt sind, kann der Wafer nicht weiter insgesamt verarbeitet werden. Der Wafer wird im nächsten Schritt vereinzelt und die Chips separat zu Ende verarbeitet (der Hauptteil der Fertigungsschritte ist allerdings bereits erfolgt).
  • Alternativ kann Silizium als Ausgangsmaterial eingesetzt werden und es können elektronische und photonische Schaltungen auf einem Chip erreicht werden. Dabei ist allerdings die Kombination der Technologie für elektronische und photonische Schaltungen festgelegt, weil optische und elektronische Schaltungen in derselben Ebene hergestellt werden. Die Si Elektronik und Photonik befindet sich auf einem Wafer, nebeneinander angeordnet. Dies ist beispielsweise aus dem Artikel „Integrating photonics with silicon nanoelectronics for the next generation of systems on a chip" , Nature 556, Seiten 349-354 (2018), doi: 10.1038/s41586-018-0028-z bekannt. Vorteil ist, dass in dieser kombinierten Si-Technologie erhebliche Kosten und Zeitersparnis gegenüber der die-attach oder bonding Strategie mit III-V Halbleitern erreicht werden können. Der Nachteil ist, dass die Si Photonik Bauteile üblicherweise weniger gute Performance aufweisen im Vergleich zu III-V Übergangshalbleitern. Ein weiterer erheblicher Nachteil ist die Festlegung der Elektronik- und Photonik-Technologie, so dass aus technischen und wirtschaftlichen Gründen nur bestimmte Typen Mikrochips sinnvoll hergestellt werden können.
  • Aus der US 2014/0264400 A1 geht eine Halbleitervorrichtung mit integrierten Schaltkreisen hervor. Die Vorrichtung umfasst eine Mehrzahl von Chips, die die integrierten Schaltkreise aufweisen und die beabstandet voneinander in Vertiefungen eines Trägersubstrates fixiert sind. Auf den Chips und der Substratoberfläche sind planare Schichten deponiert, die Wellenleiter und photonische Einrichtungen umfassen, um eine optische Intra-Chip-Verbindung für photonische Einrichtungen eines Chips oder eine optische Inter-Chip-Verbindung für photonische Einrichtungen verschiedener Chips zu gewährleisten.
  • Die vorbekannten Halbleitervorrichtungen haben sich prinzipiell bewährt. Es besteht jedoch weiterhin Bedarf an alternativen Vorrichtungen. Es besteht insbesondere Bedarf daran, einzelne Chips mit integrierter Photonik in großen Mengen mit vertretbarem Produktionsaufwand und somit zu vertretbaren Kosten erhalten zu können.
  • Es ist eine Aufgabe der vorliegenden Erfindung, eine alternative Halbleitervorrichtung zu schaffen, bei der eine Integration von elektronischen Schaltkreisen und photonischen Komponenten realisiert ist, und die es ermöglicht, Chips mit integrierter Photonik in hohen Stückzahlen mit vertretbarem Aufwand zu erhalten. Darüber hinaus ist es eine Aufgabe der Erfindung, ein Verfahren zur Herstellung einer solchen Vorrichtung zu erhalten.
  • Die erstgenannte Aufgabe wird gelöst durch eine Halbleitervorrichtung umfassend einen Wafer mit einem bevorzugt einteiligen Halbleiter-, insbesondere Siliziumsubstrat und wenigstens einem integrierten elektronischen Bauteil, das sich in und/oder auf dem Halbleitersubstrat erstreckt, wobei der Wafer ein das oder wenigstens eines der integrierten elektronischen Bauteile umfassendes Front-End-of-Line und ein darüber liegendes Back-End-of-Line aufweist, und eine auf der von dem Front-End-of-Line abgewandten Oberseite des Wafers hergestellte photonische Plattform, die wenigstens einen Wellenleiter und wenigstens eine elektro-optischen Einrichtung, insbesondere wenigstens einen Photodetektor und/oder wenigstens einen elektro-optischen Modulator, aufweist, wobei die oder wenigstens eine der elektro-optischen Einrichtungen der photonischen Plattform mit dem oder wenigstens einem der integrierten elektronischen Bauteile des Wafers verbunden ist.
  • Die zweitgenannte Aufgabe wird gelöst durch ein Verfahren zum Herstellen einer Halbleitervorrichtung, umfassend die Schritte
    • - ein Wafer mit einem bevorzugt einteiligen Halbleiter-, insbesondere Siliziumsubstrat und wenigstens einem integrierten elektronischen Bauteil, das sich in und/oder auf dem Halbleitersubstrat erstreckt, wird bereitgestellt, wobei der Wafer ein das oder wenigstens eines der integrierten elektronischen Bauteile umfassendes Front-End-of-Line und ein darüber liegendes Back-End-of-Line aufweist,
    • - es wird eine photonische Plattform auf der von dem Front-End-of-Line abgewandten Oberseite des Wafers hergestellt, die wenigstens einen Wellenleiter und wenigstens eine elektro-optischen Einrichtung, insbesondere wenigstens ein Photodetektor und/oder wenigstens ein elektro-optischer Modulator, umfasst.
  • Der Grundgedanke der vorliegenden Erfindung besteht mit anderen Worten darin, unmittelbar auf dem Back-End-of-Line eines Wafers eine photonische Plattform mit wenigstens einem Wellenleiter und wenigstens einer elektro-optischen Einrichtung herzustellen, insbesondere direkt darauf aufzubauen.
  • Unter einem Wafer ist dabei in aus dem Stand der Technik hinlänglich vorbekannter Weise eine Komponente bzw. ein Element bzw. eine Einrichtung zu verstehen, aus dem bzw. aus der durch Wafer-Dicing, das im Deutschen auch als Wafer-Zerkleinern bezeichnet wird, eine Mehrzahl von Chips erhalten wird. Das Dicing bzw. Zerkleinern kann beispielsweise ein (Laser-)Schneiden bzw. Sägen bzw. Ritzen bzw. Brechen des Wafers einschließen. Im Englischen wird ein einzelner bzw. vereinzelter Chip auch als Die bezeichnet bzw. werden Chips im Plural auch als Dies oder Dice bezeichnet. Es sei angemerkt, dass die nach dem Dicen vorliegenden Chips teilweise auch als Nacktchips bzw. im Englischen bare chips oder bare dies bezeichnet werden. „Nackt“ bezieht sich dabei darauf, dass die Chips noch nicht in ein Gehäuse (englisch: package) eingesetzt wurden. Vorliegend werden auch „nackte“ Chips ohne Gehäuse kurz als Chips bezeichnet.
  • Wird ein Wafer im Querschnitt betrachtet, lässt sich sein vertikaler Aufbau in unterschiedliche Teilbereiche einteilen. Der unterste Teil ist das Front-End-of-Line oder kurz FEOL, das eines oder mehrere integrierte elektronische Bauteile umfasst. Bei dem bzw. den integrieren elektronischen Bauteilen kann es sich beispielsweise um Transistoren und/oder Kondensatoren und/oder Widerstände handeln. Über dem Front-End-of-Line befindet sich das Back-End-of-Line oder kurz BEOL, in dem in der Regel verschiedene Metallebenen liegen, mittels derer die integrierten elektronischen Bauteile des FEOL verschaltet werden.
  • Ein Wafer umfasst eine Mehrzahl von Bereichen, die im Anschluss an das Dicen/Zerkleinern/Vereinzeln jeweils einen Chip bzw. Die bilden. Diese Bereiche werden vorliegend auch als Chip- bzw. Die-Bereiche bezeichnet. Jeder Chipbereich des Wafers umfasst bevorzugt einen Abschnitt bzw. Teilbereich des insbesondere einteiligen Halbleitersubstrats des Wafers. Bevorzugt weist ferner jeder Chipbereich einen oder mehrere integrierte elektronische Bauteile auf, die sich in und/oder auf dem entsprechenden Bereich des Halbleitersubstrats - im Querschnitt betrachtet insbesondere im FEOL - erstrecken. Es sei betont, dass die Chipbereiche keine vereinzelten Chips darstellen, der Wafer also keine vereinzelten Chips umfasst.
  • Es kann sein, dass der bzw. die integrierten elektronischen Bauteile mehrerer, insbesondere aller Chipbereiche des Wafers gleich sind. Dann kann aus der erfindungsgemäßen Vorrichtung durch Dicen eine Mehrzahl identischer Chips mit darauf hergestellter photonischer Plattform (bzw. jeweils einem Abschnitt dieser) erhalten werden.
  • Ein Wafer weist zweckmäßiger Weise eine oder mehrere Markierungen auf, entlang der bzw. derer im Rahmen des Dicings das Zerteilen erfolgen kann bzw. zu erfolgen hat.
  • Im Rahmen der vorliegenden Erfindung wird eine photonische Plattform unmittelbar auf dem Wafer aufgebaut, noch bevor ein Zerteilen (Dicen) des Wafers in einzelne Chips erfolgt. Da bei der erfindungsgemäßen Vorrichtung eine photonische Plattform auf einem Wafer hergestellt, insbesondere aufgebaut ist bzw. wird, kann aus dieser anschließend durch bloßes Dicen eine große Anzahl von Chips mit integrierter Photonik erhalten werden. Das Dicen kann dabei genauso erfolgen, wie bei herkömmlichen Wafern ohne photonische Plattform auf dem Back-End-of-Line. Es können insbesondere auch hierfür bestehende Einrichtungen bzw. Anlagen verwendet werden. Im Ergebnis können einzelne Chips mit Photonik mit vertretbarem Aufwand auch in Massen produziert werden.
  • Die erfindungsgemäße Vorrichtung zeichnet sich in zweckmäßiger Ausgestaltung dadurch aus, dass sich oberhalb mehrerer, insbesondere jedes Chipbereiches des Wafers ein darauf hergestellter Bereich der photonischen Plattform erstreckt, wobei zweckmäßiger Weise jeder der Bereiche der Plattform wenigstens einen, bevorzugt mehrere Wellenleiter und wenigstens eine, bevorzugt mehrere elektro-optisch Einrichtungen, die mit wenigstens einem integrierten elektronischen Bauteil bzw. Schaltkreis des jeweilige darunterliegenden Chipbereiches verbunden sind, umfasst.
  • Die photonische Plattform umfasst zweckmäßiger Weise eine Vielzahl von funktionalen Einheiten, wobei besonders bevorzugt gilt, dass jedem Chipbereich des Wafers wenigstens eine, insbesondere genau eine der funktionalen Einheiten zugeordnet ist, die sich oberhalb des jeweiligen Chipbereichs erstreckt.
  • Die photonische Plattform wird erfindungsgemäß auf dem Back-End-of-Line des Wafers hergestellt, insbesondere, nachdem der (konventionelle) Herstellungsprozess des Wafers vollständig abgeschlossen ist. Insbesondere in diesem Falle wird es möglich, ohne eine Anpassung der (konventionellen) Wafer-Herstellungsschritte auszukommen. Die Herstellung der photonischen Plattform kann auch vollständig getrennt von der (konventionellen) Wafer-Fertigung erfolgen. Es ist somit ein hohes Maß an Flexibilität gegeben.
  • Dass sich ein integriertes elektronisches Bauteil in und/oder auf dem Halbleitersubstrat des Wafers der erfindungsgemäßen Vorrichtung erstreckt, bedeutet insbesondere, dass es innerhalb und/oder unmittelbar auf dem Substrat angeordnet ist. Natürlich kann es dabei sein, dass sich ein integriertes elektronisches Bauteil abschnittsweise innerhalb des Substrats erstreck und abschnittsweise unmittelbar auf dem Substrat, etwa unmittelbar auf einer oder mehreren Seiten des Substrates.
  • Das Halbleitersubstrat der erfindungsgemäßen Halbleitervorrichtung ist bevorzugt einteilig. Es ist insbesondere ein monolithisches Substrat. Das Substrat in mehreren Lagen hergestellt worden sein.
  • Das Halbleitersubstrat kann sich ferner durch einen kreisrunden Umfang auszeichnen. Alternativ oder zusätzlich kann es einen Durchmesser im Bereich von 600 mm bis 50 mm, bevorzugt 500 mm bis 100 mm aufweisen. Als beispielhafte Durchmesser seien 150 mm, 200 mm, 300 mm und 450 mm genannt.
  • Dass die photonische Plattform auf dem Backend hergestellt ist/wird und nicht in einer Ebene mit der Elektronik im Front-End-of-Line, bietet den großen Vorteil, dass dort kein zusätzlicher Platz (auch als „Real-Estate‟ bezeichnet) für die Photonik benötigt wird. Die teilweise bestehende Problematik eines begrenzten Real-Estate im Front-End wird also nicht weiter verschärft.
  • Dass die photonische Plattform auf dem Wafer hergestellt wird bzw. ist bedeutet, dass sie direkt auf diesem gefertigt wird bzw. wurde, was beispielsweise einen Materialaufbau bzw. eine Materialabscheidung direkt auf dem bzw. den Wafer einschließt. Die photonische Plattform zeichnet sich bevorzugt dadurch aus, dass sie auf der von dem Front-End-of-Line abgewandten Oberseite des Wafers abgeschiedenes Material umfasst. Bei dem erfindungsgemäßen Verfahren kann entsprechend vorgesehen sein, dass die Herstellung der photonischen Plattform einschließt, dass auf der von dem Front-End-of-Line abgewandten Oberseite des Wafers Material abgeschieden wird. Die photonische Plattform wird bzw. ist insbesondere nicht unabhängig von dem Wafer, etwa auf einem anderen Substrat, hergestellt (worden) und dann auf den Wafer übertragen und z.B. durch Bonding mit dem Wafer verbunden (worden). Sie wird bzw. wurde vielmehr auf diesem erhalten.
  • Es kann sein, dass die photonische Plattform der erfindungsgemäßen Halbleitervorrichtung, gegebenenfalls mit Ausnahmen einer oder mehrerer elektro-optischer Einrichtungen bzw. Komponenten wenigstens einer solchen, keine gebondeten Schichten aufweist.
  • In besonders vorteilhafter Ausgestaltung weist die photonische Plattform eine Planarisierungsschicht aus einem dielektrischen Material auf. Diese ist bevorzugt auf der von dem Front-End-of-Line abgewandten Oberseite des Wafers hergestellt. Weiter bevorzugt kann gelten, dass der oder wenigstens einer der Wellenleiter auf der von dem Wafer abgewandten Oberseite der Planarisierungsschicht hergestellt ist.
  • Entsprechend kann sich das erfindungsgemäße Verfahren in Weiterbildung dadurch auszeichnen, dass die Herstellung der photonischen Plattform einschließt, dass insbesondere auf der von dem Front-End-of-Line abgewandten Oberseite des Wafers eine Planarisierungsschicht aus einem dielektrischen Material hergestellt wird.
  • Die gemäß dieser Ausführungsformen vorgesehene Planarisierungsschicht der photonischen Plattform kann die Grundlage für eine oder mehrere photonische Schichten bzw. Ebenen bilden, die bevorzugt jeweils wenigstens einen Wellenleiter und/oder wenigstens eine elektro-optische Einrichtung umfassen.
  • Der oder wenigstens einer der Wellenleiter kann dann weiter bevorzugt auf der von dem Wafer abgewandten Oberseite der Planarisierungsschicht hergestellt sein bzw. werden.
  • Die Herstellung des wenigstens einen Wellenleiters kann darüber hinaus einschließen, dass ein Wellenleitermaterial insbesondere auf die Oberseite der Planarisierungsschicht aufgebracht, bevorzugt auf diese abgeschieden oder aufgeschleudert oder transferiert wird, und anschließend bevorzugt eine Strukturierung des aufgebrachten Wellenleitermaterials insbesondere mittels Lithografie und/oder reaktivem lonenätzen durchgeführt wird. Es können beispielsweise die gleichen Abscheidungsverfahren zum Einsatz kommen, die im Folgenden im Zusammenhang mit der Planarisierungsschicht beschrieben sind.
  • Umfasst die photonische Plattform eine auf dem Back-End-Of-Line vorgesehen Planarisierungsschicht, gilt für diese, dass sie nicht unabhängig von dem Wafer, etwa auf einem anderen Substrat hergestellt und dann auf den Wafer übertragen und z.B. durch Bonding mit dem Wafer verbunden wurde bzw. worden ist. Sie wird bzw. wurde vielmehr auf diesem erhalten. Man kann dann auch sagen, dass die Planarisierungsschicht eine monolithische Schicht ist, insbesondere eine mit bzw. zu dem Wafer monolithische Schicht.
  • Die Planarisierungsschicht zeichnet sich in Weiterbildung an ihrer vom Wafer abgewandten Oberseite durch eine Rauheit von weniger als 2,0 nm RMS, bevorzugt weniger als 1,0 nm RMS, besonders bevorzugt weniger als 0,3 nm RMS auszeichnet. Eine untere Grenze kann beispielsweise bei 0,01 nm RMS liegen. Mit anderen Worten kann die Rauheit beispielsweise im Bereich von 2,0 nm RMS bis 0,01 nm RMS, bevorzugt im Bereich von 1,0 nm RMS bis 0,01 nm RMS, besonders bevorzugt im Bereich von 0,3 nm RMS bis 0,01 nm RMS liegen. Die Abkürzung nm steht hier und im Folgenden in an sich bekannter Weis für Nanometer (10-9 m). Die Abkürzung RMS für root mean squared. Die RMS-Rauheit wird im Deutschen auch als quadratische Rauheit bezeichnet.
  • Bei einer weiteren Ausführungsform der erfindungsgemäßen Vorrichtung umfasst die Planarisierungsschicht Spin-on-Glass und/oder wenigstens ein Polymer und/oder wenigstens ein Oxid, insbesondere Siliziumdioxid, und/oder wenigstens ein Nitrid oder besteht daraus. Das erfindungsgemäße Verfahren kann entsprechend umfassen, dass eine Planarisierungsschicht aus oder mit Spin-on-Glass und/oder wenigstens einem Polymer und/oder wenigstens einem Oxid, insbesondere Siliziumdioxid, und/oder wenigstens einem Nitrid hergestellt wird.
  • Bei Spin-on-Glass handelt es sich in der Regel um einen flüssigen Stoff, mit dem Wafer durch aufschleudern beschichtet werden können. Nach dem Schleudern ergibt sich eine Schicht auf dem Wafer, deren Dicke von der Oberflächentopologie abhängt. Vertiefungen werden so zum Teil ausgeglichen und die Spin-on-Glass-Beschichtung hat eine planarisierende Wirkung. Spin-on-Glass wird in der Regel nach dem Aufbringen erhitzt und wird dadurch eine glasartige Schicht.
  • Alternativ oder zusätzlich kann vorgesehen sein, dass die Planarisierungsschicht eine durch Abscheidung, insbesondere chemische Gasphasenabscheidung (englisch: chemical vapor deposition, kurz CVD), bevorzugt Niederdruck chemische Gasphasenabscheidung (englisch: low pressure chemical vapor deposition, kurz: LPCVD) und/oder plasmaunterstütze chemische Gasphasenabscheidung (englisch plasma enhanced chemical vapor deposition, kurz: PECVD), und/ oder durch physikalische Gasphasenabscheidung (englisch: physical vapor deposition) eines Beschichtungsmaterials auf der vom Front-End-of-Line abgewandten Oberseite des Wafers und bevorzugt anschließendes Bearbeiten des abgeschiedenen Materials auf der vom Wafer abgewandten Oberseite mittels chemisch-mechanischen Polierens und/oder mittels Resistplanarisierung erhaltene Schicht ist.
  • Bei dem erfindungsgemäßen Verfahren kann entsprechend vorgesehen sein, dass im Rahmen der Herstellung der Planarisierungsschicht wenigstens ein Beschichtungsmaterial auf die von dem Front-End-of-Line abgewandte Oberseite des Wafers abgeschieden wird, insbesondere durch chemische Gasphasenabscheidung, bevorzugt Niederdruck chemische Gasphasenabscheidung, und/oder plasmaunterstütze chemische Gasphasenabscheidung und/oder durch physikalische Gasphasenabscheidung. Bevorzugt wird das abgeschiedene Material an der vom Wafer abgewandten Oberseite anschließend chemisch-mechanisch poliert und/oder Resist-planarisiert, besonders bevorzugt derart, dass eine Rauheit von weniger als 2,0 nm, bevorzugt weniger als 1,0 nm RMS, besonders bevorzugt weniger als 0,3 nm RMS erhalten wird. Das chemisch-mechanische Polieren und/oder die Resistplanarisierung können insbesondere derart durchgeführt werden, dass eine Rauheit im Bereich von 2,0 nm RMS bis 0,01 nm RMS, bevorzugt im Bereich von 1,0 nm RMS bis 0,01 nm RMS, besonders bevorzugt im Bereich von 0,3 nm RMS bis 0,01 nm RMS erhalten wird.
  • Rauheiten in diesen Bereichen haben sich als besonders geeignet erwiesen. Sie sind insbesondere vorteilhaft, um Stress und Verspannungen in darüber liegenden Schichten zu vermeiden. In diesem Zusammenhang sei auch auf den Aufsatz „Identifying suitable substrates for high-quality graphene-based heterostructures“ von L. Banszerus et al, 2D Mater., Vol. 4, No. 2, 025030, 2017 verwiesen.
  • Als Messverfahren zur Bestimmung der Rauheit kann die Rasterkraftmikroskopie (englisch: atomic force microscopy, kurz: AFM) zum Einsatz kommen, insbesondere, wie in der Norm EN ISO 25178 beschrieben. Die Rasterkraftmikroskopie ist vor allem in dem sich mit Messmethoden zur Rauheitsbestimmung beschäftigten Teil 6 (EN ISO 25178-6:2010-01) dieser Norm erörtert.
  • Es gibt unterschiedliche aus dem Stand der Technik vorbekannte Verfahren der chemischen Gasphasenabscheidung, die allesamt im Rahmen der vorliegenden Erfindung zum Einsatz kommen können. Allen gemein ist in der Regel eine chemische Reaktion von eingeleiteten Gasen, die zu einer Abscheidung des gewünschten Materials führen.
  • Auch bezüglich der physikalischen Gasphasenabscheidung gilt, dass alle aus dem Stand der Technik vorbekannten Varianten zum Einsatz kommen können. Rein beispielhaft sei das Elektronenstrahlverdampfen genannt, bei dem mittels eines Elektronenstrahls Material geschmolzen und verdampft wird, sowie das thermische Verdampfen, bei dem Material mittels einer Heizung bis zum Schmelzpunkt erwärmt und auf ein Zielsubstrat gedampft wird, sowie die Kathodenzerstäubung (englisch: sputter deposition), bei der mittels eines Plasmas Atome aus einem Materialträger herausgeschlagen und auf einem Zielsubstrat abgeschieden werden.
  • Alternativ oder zusätzlich zu den vorgenannten Abscheidungsverfahren kommt auch die Atomlagenabscheidung in Frage. Im Rahmen dieser werden isolierende oder leitende Materialien (Dielektrika, Halbleiter oder Metalle) Atomlage für Atomlage sequenziell abgeschieden.
  • Beim chemisch-mechanischen Polieren wird ein zu polierendes Objekt, etwa ein Wafer in der Regel durch eine rotierende Bewegung zwischen Schleifpads poliert. Die Politur erfolgt zum einen chemisch und zum anderen physikalisch mittels einer Schleifpaste. Durch die Kombination der chemischen und physikalischen Wirkung können auf sub-nm-Skala glatte Oberflächen erhalten werden.
  • Die Resistplanarisierung schließt insbesondere ein einmaliges oder wiederholtes Spin-on-Glass-Aufschleudern und anschließendes Ätzen, bevorzugt reaktives lonenätzen (englisch: reactive ion etching, kurz: RIE), mit ein. Soll eine Oberfläche, etwa eine SiO2-Oberfläche, die Höhenunterschiede aufweist, planarisiert werden, kann das mittels Spin-On-Glass aufschleudern und Ätzen erfolgen. Die Spin-on-Glass-Schicht gleicht teilweise die Höhenunterschiede aus, d.h. Täler der Topologie weisen nach der Spin-on-Glass-Beschichtung eine höhere Schichtdicke auf als benachbarte Erhöhungen. Die Ätzrate von Spin-on-Glass und beispielsweise SiO2 ist in einem angepassten RIE-Prozess ähnlich oder gleich. Unter angepasst ist hier insbesondere zu verstehen, dass der Druck, der Gasfluss, die Zusammensetzung des Gasgemisches und die Leistung entsprechend gewählt werden. Wird nach der Spin-on-Glass-Beschichtung die gesamte Spin-on-Glass-Schicht per RIE geätzt, hat sich der Höhenunterschied wegen der planarisierenden Wirkung der Spin-on-Glass-Schicht reduziert. Durch Wiederholung kann der Höhenunterschied weiter reduziert werden. Die konsumierte SiO2-Schichtdicke muss beim Aufbringen der SiO2 Schicht berücksichtigt werden, so dass nach Abschluss des letzten Ätzschritts die gewünschte SiO2 Schichtdicke erreicht wird. Es sei betont, dass die Resistplanarisierung nicht auf SiO2 beschränkt ist, sondern auch für andere Materialien in Frage kommt. Zweckmäßig ist, wenn eine Ätzrate des Materials erzielt werden kann, die derjenigen von Spin-On-Glass ähnelt bzw. zumindest im Wesentlichen mit dieser übereinstimmt. Für SiO2 und Spin-On-Glass ist diese Bedingung erfüllt. Es sei angemerkt, dass beispielsweise auch Materialien, deren Ätzrate von derjenigen von Spin-On-Glass um einen Faktor 2 abweicht, möglich sind, wobei dann in der Regel mehrere Durchgänge nötig sind. Als flüssiges Material aufgebracht, insbesondere aufgeschleudert werden kann beispielsweise Wasserstoff-Silsesquioxan und/oder ein Polymer. Dieses verglast bei einem anschließenden Ausheizen, weshalb es auch als Spin-on-Glas bezeichnet wird. Bei Wasserstoff-Silsesquioxan (englisch: hydrogen silsesquioxane, kurz: HSQ) handelt es sich um eine Klasse anorganischer Verbindungen mit der Formel [HSiO3/2]n.
  • In weiterer vorteilhafter Ausgestaltung umfasst die photonische Plattform wenigstens eine weitere Planarisierungsschicht. Die oder - im Falle mehrerer - wenigstens eine der weiteren Planarisierungsschichten kann dann bevorzugt aus dem gleichen Material gefertigt sein bzw. werden wie die Planarisierungsschicht. Sie kann auch auf die gleiche Weise hergestellt sein bzw. werden wie die Planarisierungsschicht. Dies ist jedoch optional und nicht einschränkend zu verstehen.
  • Die oder - im Falle mehrerer - eine der weiteren Planarisierungsschichten kann auf dem wenigstens einen Wellenleiter und/oder der Planarisierungsschicht angeordnet bzw. hergestellt sein.
  • Bei dem erfindungsgemäßen Verfahren kann entsprechend vorgesehen sein, dass wenigstens eine weitere Planarisierungsschicht bevorzugt im Anschluss an die Herstellung des wenigstens einen Wellenleiters hergestellt wird. Die Herstellung der wenigstens einen weiteren Planarisierungsschicht schließt besonders bevorzugt ein, dass ein Beschichtungsmaterial auf die von dem Wafer abgewandte Oberseite des wenigstens einen Wellenleiters und/oder der Planarisierungsschicht aufgebracht, insbesondere abgeschieden wird.
  • Das Beschichtungsmaterial der weiteren Planarisierungsschicht kann - in völliger Analogie zu der Planarisierungsschicht - zumindest an seiner von dem Wafer abgewandten Oberseite einer Planarisierungsbehandlung unterzogen, insbesondere chemisch-mechanisch poliert und/oder Resist-planarisiert werden bzw. worden sein. Dies erfolgt bzw. erfolgte wiederum bevorzugt derart, dass eine Rauheit der Oberseite von weniger als 2,0 nm, bevorzugt weniger 1,0 nm RMS, besonders bevorzugt weniger als 0,3 nm RMS erhalten wird. Auch bezüglich der wenigstens einen weiteren Planarisierungsschicht gilt bevorzugt, dass das chemisch-mechanische Polieren und/oder die Resistplanarisierung derart durchgeführt werden, dass eine Rauheit im Bereich von 2,0 nm RMS bis 0,01 nm RMS, bevorzugt im Bereich von 1,0 nm RMS bis 0,01 nm RMS, besonders bevorzugt im Bereich von 0,3 nm RMS bis 0,01 nm RMS erhalten wird.
  • Weiterhin kann vorgesehen sein, dass die Planarisierungsschicht und/oder die bzw. eine weitere Planarisierungsschicht eine oder mehrere Decklagen umfassen, die bevorzugt auf der der Planarisierungsbehandlung unterzogenen Oberfläche vorgesehen sind bzw. werden und bei denen es sich beispielsweise um Dichalkogenidlagen oder Dichalkogenid-Heterostrukturen oder auch Bornitridlagen handeln kann. Diese Materialen werden bevorzugt abgeschieden oder transferiert ohne das es eines weiteren chemisch-mechanischen Polierens bzw. weiteren Resistplanarisierens bedarf, wobei auch nicht ausgeschlossen ist, dass dies nochmals erfolgt.
  • Natürlich ist es möglich, dass die photonische Plattform neben einer oder mehreren Planarisierungsschichten und/oder einer oder mehreren Deckschichten noch weitere Schichten umfasst.
  • Eine Schicht kann nur genau eine oder auch mehrere Lagen umfassen. Sie kann aus nur einem Material bestehen oder auch mehrere Materialien umfassen. Beispielsweise kann eine Schicht zwei oder mehr Lagen aus zwei oder mehr verschiedenen Materialien aufweisen. Es kann natürlich auch sein, dass eine Schicht mehrere Lagen aufweist, die jedoch alle aus demselben Material bestehen. Eine Schicht mit mehr als einer Lage kann insbesondere erhalten werden bzw. vorliegen, weil für deren Herstellung mehrere Lagen, beispielsweise mehrere Atomlagen, vorgesehen, beispielsweise abgeschieden werden bzw. wurden.
  • Weiterhin gilt auch bezüglich des bzw. der Wellenleiter der erfindungsgemäßen Vorrichtung, dass diese nicht mit der darunter liegenden Schicht gebondet sind bzw. werden, sondern diese werden bzw. wurden vielmehr auf der darunter liegenden Schicht, insbesondere der Planarisierungsschicht, oder auch dem Wafer, hergestellt. Beispielsweise wird bzw. wurde ein geeignetes Wellenleitermaterial auf der Planarisierungsschicht vorgesehen, etwa darauf aufgebaut bzw. abgeschieden und dann gegebenenfalls strukturiert, um den oder die Wellenleiter zu erhalten, beispielsweise durch Lithografie und/oder Ätzen. Lithografie schließt bevorzugt in an sich bekannter Weise ein, dass ein photoempfindlicher Lack aufgetragen, insbesondere aufgeschleudert und mit Licht, insbesondere UV Licht, belichtet wird. Nicht zu belichtende Teile werden zweckmäßiger Weise mit einer Maske abgedeckt. Nach dem Entwickeln ist die Struktur auf der Maske in die Lackschicht übertragen.
  • Es kann sein, dass der oder wenigstens einer der oder auch alle Wellenleiter in eine Schicht eingebettet sind und/oder sich zwischen zwei Schichten erstrecken. Für einen oder mehrere Wellenleiter kann beispielsweise gelten, dass diese in die bzw. wenigstens eine der weiteren Planarisierungsschichten eingebettet sind. Einer oder mehrere Wellenleiter, die sich zwischen zwei Schichten erstrecken und in eine Schicht eingebettet sind, kann bzw. können beispielsweise erhalten werden bzw. worden sein, indem der oder die Wellenleiter auf der Oberseite der Planarisierungsschicht hergestellt werden bzw. wurden und dann eine weitere Planarisierungsschicht auf dem oder den Wellenleitern hergestellt wird bzw. wurde, wobei die Herstellung mit einschließt bzw. eingeschlossen hat, dass ein Beschichtungsmaterial auf den oder die Wellenleiter und die nicht verdeckten Bereiche der darunter liegenden Planarisierungsschicht aufgebracht, insbesondere abgeschieden wird bzw. wurde.
  • Der oder - im Falle mehrerer - wenigstens einer der Wellenleiter der photonischen Plattform umfasst in bevorzugter Ausgestaltung wenigstens ein Material, das für elektromagnetische Strahlung einer Wellenlänge von 850 nm und/oder 1310 nm und/oder 1550 nm transparent ist oder besteht aus einem solchen. Besonders bevorzugt ist es für elektromagnetische Strahlung im Wellenlängenbereich von 800 nm bis 900 nm und/oder von 1260 nm bis 1360 nm (sogenanntes Original Band bzw. kurz O-Band) und/oder 1360 nm bis 1460 nm (sogenanntes Extend Band oder kurz E-Band) und/oder 1460 nm bis 1530 nm (sogenanntes Short Band oder kurz S-Band) und/oder von 1530 nm bis 1565 nm (sogenanntes Conventional Band bzw. kurz C-Band) und/oder 1565 nm bis 1625 nm (sogenanntes Long Band bzw. kurz L-Band) transparent. Diese Bänder sind aus dem Bereich der Nachrichtentechnik vorbekannt.
  • Der oder - im Falle mehrerer - wenigstens einer der Wellenleiter der photonischen Plattform der erfindungsgemäßen Halbleitervorrichtung kann in weiterer vorteilhafter Ausgestaltung Titandioxid und/oder Aluminiumnitrid und/oder Tantalpentoxid und/oder Siliziumnitrid und/oder Aluminiumoxid und/oder Siliziumoxynitrid und/oder Lithiumniobat und/oder Silizium, insbesondere Polysilizium, und/oder Indiumphosphit und/oder Galliumarsenid und/oder Indiumgalliumarsenid und/oder Aluminiumgalliumarsenid und/oder wenigstens ein Dichalkogenid, insbesondere zweidimensionales Übergangsmetall-Dichalkogenid, und/oder Chalkogenidglas und/oder Harze bzw. Harz enthaltende Materialien, insbesondere SU8, und/oder Polymere bzw. Polymere enthaltende Materialien, insbesondere OrmoComp, umfassen oder aus einem oder mehreren dieser Materialien bestehen. Im Rahmen des erfindungsgemäßen Verfahrens wird bevorzugt wenigstens ein Wellenleiter mit oder aus einem dieser Materialen bzw. mit oder aus einer Kombination eines oder mehrerer dieser Materialien hergestellt.
  • Der wenigstens eine Wellenleiter besteht zweckmäßiger Weise aus einem Material, dessen Brechungsindex sich von dem Brechungsindex eines bzw. des Materials der Planarisierungsschicht und/oder der ggf. vorhandenen weiteren Planarisierungsschicht unterscheidet bzw. umfasst eine solches. Dies insbesondere, wenn der wenigstens eine Wellenleiter mit der Planarisierungsschicht und/oder der weiteren Planarisierungsschicht eine gemeinsame Grenzfläche hat.
  • Als rein beispielhafte Paare von Brechungsindices seien genannt 3,4 (Si) für den bzw. die Wellenleiter und 1,5 (Si02) für die Planarisierungsschicht(en) oder, im Falle von Dielektrika, 2,4 (TiO2) für den bzw. die Wellenleiter und 1,5 (SiO2) für die Planarisierungsschicht(en) oder 2 (SiN) für den oder die Wellenleiter und 1,47 Planarisierungsschicht(en).
  • Ist wenigstens eine weitere Planarisierungsschicht vorgesehen, kann auch bezüglich dieser gelten, dass sie aus einem Material besteht bzw. ein Material umfasst, dessen Brechungsindex sich von dem Brechungsindex des Materials des wenigstens einen Wellenleiters unterscheidet. Dies insbesondere, wenn sie mit wenigstens einem Wellenleiter in Kontakt steht, also eine gemeinsame Grenzfläche mit diesem hat bzw. bildet.
  • Besonders bevorzugt gilt, dass der Brechungsindex des Materials des oder der Wellenleiter um mindestens 20 %, bevorzugt mindestens 30 % größer ist als der Brechungsindex des Materials der Planarisierungsschicht und/oder der weiteren Planarisierungsschicht.
  • Bei diesen Ausführungsformen ist bzw. wird mit anderen Worten einen Brechungsindexkontrast zwischen wenigstens einem Wellenleiter und der Planarisierungsschicht und/oder wenigstens einem Wellenleiter und der gegebenenfalls vorhandenen weiteren Planarisierungsschicht realisiert.
  • Unter einem Wellenleiter ist ein Element bzw. eine Komponente zu verstehen, die eine elektromagnetische Welle, insbesondere Licht, leitet. Um die Welle zu leiten wird zweckmäßiger Weise ein von der Wellenlänge abhängiger Querschnitt eines für mindestens diese Wellenlänge optisch transparenten Materials, das sich von einem benachbarten Material, das ebenfalls für diese Wellenlänge transparent ist, durch einen Brechungsindexkontrast auszeichnet, vorgesehen. Ist der Brechungsindex des umgebenden Materials niedriger, wird das Licht im Bereich des höheren Brechungsindexes geführt. Für den besonderen Fall einer Schlitzmode sind zwei Bereiche hohen Brechungsindexes von einem bezüglich der Wellenlänge schmalen Bereich niedrigen Brechungsindexes getrennt und das Licht wird im Bereich des niedrigen Brechungsindexes geführt. Um niedrige Verluste durch Streuung zu erreichen, ist eine geringe Seitenwandrauheit vorteilhaft.
  • Was die Abmessungen des bzw. der Wellenleiter angeht, kann insbesondere das Folgende gelten. Die Dicke liegt bevorzugt im Bereich von 150 Nanometern bis 10 Mikrometer. Die Breite und Länge der Wellenleiter, also die laterale Ausdehnung parallel zu der Wafer-Oberfläche, kann sich insbesondere im Bereich von 100 Nanometer und 10 Mikrometer bewegen.
  • Einer oder mehrere Wellenleiter können beispielsweise als Streifenwellenleiter ausgebildet sein, die sich beispielsweise durch einen rechteckigen oder quadratischen Querschnitt auszeichnen. Einer oder mehrere Wellenleiter können alternativ oder zusätzlich auch als Rippenwellenleiter mit T-förmigem Querschnitt ausgebildet sein. Weiter alternativ oder zusätzlich ist es möglich, dass einer oder mehrere Wellenleiter durch Schlitzwellenleiter gegeben sind.
  • Einer oder mehrere Wellenleiter der erfindungsgemäßen Vorrichtung können, beispielsweise im Querschnitt betrachtet, mehrere Abschnitte bzw. Segmente umfassen und mehrteilig ausgebildet sein, etwa ein erstes, beispielsweise unteres oder linkes, und ein zweites, beispielsweise oberes oder rechtes Segment, mit anderen Worten Teil bzw. Abschnitt, umfassen oder daraus bestehen. Es kann sein, dass eines oder mehrere Wellenleitersegmente sich durch einen rechteckigen oder quadratischen Querschnitt auszeichnen. Weist ein Wellenleiter zwei oder mehr Segmente auf bzw. besteht daraus, können diese aneinander anliegen oder ineinander übergehen oder auch - etwa unter Bildung eines Spaltes bzw. Schlitzes (englisch: slot) - zueinander beabstandet sein.
  • Die erfindungsgemäß vorgesehene photonische Plattform umfasst zweckmäßiger Weise mehrere Wellenleiter. Dann kann weiterhin vorgesehen sein, dass sich zumindest zwei Wellenleiter zumindest abschnittsweise übereinander erstrecken. Mit anderen Worten existieren dann zwei oder mehr Ebenen von Wellenleitern bzw. diese sind „übereinandergestapelt‟, wodurch weiter Platz eingespart werden kann und komplexere Schaltungen mit erweiterter Funktion erhalten werden können.
  • Zudem können passive Strukturen aus Wellenleitern hergestellt werden, z.B. ein Multimode-Interferenzkoppler (MMI) also ein auf Interferenz basierender 50:50 Splitter, oder ein direktionaler Koppler, bei dem über eine gewisse Länge zwei Wellenleiter nebeneinander laufen und das Licht vom einen in den anderen koppelt. Man kann beispielsweise auch Mach-Zehnder-Interferometer erhalten (2 x 50/50 MMI als Splitter und dazwischen zwei Arme).
  • Eine weitere Ausführungsform zeichnet sich dadurch aus, dass die photonische Plattform neben der wenigstens einen elektro-optischen Einrichtung auch wenigstens eine optische Einrichtung, insbesondere wenigstens ein Interferometer, etwa Mach-Zehnder-Interferometer, und/oder wenigstens einen Interferenzkoppler, etwa Multimode-Interferenzkoppler, und/oder wenigstens einen direktionalen Koppler und/oder wenigstens einen Polarisationskonverter und/oder wenigstens einen Splitter und/oder wenigstens einen Ringresonator umfasst. Die wenigstens eine optische Einrichtung umfasst bevorzugt einen oder mehrere Wellenleiter und/oder Wellenleiterabschnitte bzw. wird dadurch gebildet. Sie kann insbesondere in Längsrichtung des Wellenleiters betrachtet nur einen Teil bzw. Abschnitt des Wellenleiters umfassen, mit anderen Worten einen Längsabschnitt. Eine als Ringresonator ausgebildete optische Einrichtung umfasst zweckmäßiger Weise einen bevorzugt in sich geschlossenen, ringförmigen Wellenleiter, der einen Resonator bildet, und einen damit gekoppelten, bevorzugt geraden Wellenleiterabschnitt. Die Kopplung kann über einen direktionalen Koppler realisiert sein, der bevorzugt einen Bereich umfasst bzw. durch einen Bereich gebildet wird, in dem der Abstand zwischen dem ringförmigen Wellenleiter und dem geraden Wellenleiterabschnitt derart ist, dass Licht zwischen den beiden koppelt.
  • Das erfindungsgemäße Verfahren kann sich entsprechend dadurch auszeichnen, dass wenigstens eine optische Einrichtung hergestellt wird, bevorzugt wenigstens ein Interferometer, etwa Mach-Zehnder-Interferometer, und/oder wenigstens Interferenzkoppler, etwa Multimode-Interferenzkoppler, und/oder wenigstens ein direktionaler Koppler und/oder wenigstens ein Polarisationskonverter und/oder wenigstens ein Splitter und/oder wenigstens ein Ringresonator.
  • Auch kann die photonische Plattform eine oder mehrere thermo-optische Einrichtungen aufweisen. Ein solche umfasst beispielsweise ein Heizelement und einen Längsabschnitt eines Wellenleiters, wobei das Heizelement derart relativ zu dem Wellenleiterabschnitt angeordnet ist, dass es dieses erwärmen kann. Bei dem Heizelement kann es sich beispielsweise um ein solches handeln, dessen Temperatur sich erhöht, wenn es von Strom durchflossen wird. Das Heizelement kann beispielsweise in der Nähe des Wellenleiters angeordnet sein. Durch eine Erwärmung des Wellenleiters mittels des Heizelementes kann der Brechungsindex des Wellenleiters verändert werden. Dieser Effekt kann beispielsweise zur Phasenanpassung genutzt werden. Eine thermo-optische Einrichtung kann auch einem Interferometer der photonischen Plattform zugeordnet sein bzw. einen Teil eines solchen bilden.
  • Bei einer weiteren Ausführungsform weist die photonische Plattform an ihrer vom Wafer abgewandten Oberseite eine Passivierungsschicht und/oder ein Cladding auf. Die photonische Plattform schließt bevorzugt mit einer Passivierungsschicht und/oder einem Cladding ab. Dann bildet die Passivierungsschicht und/oder das Cladding mit anderen Worten die letzte(n) bzw. oberste(n) Schicht(en) der photonischen Plattform.
  • Ein Cladding ist insbesondere dazu geeignet bzw. ausgebildet, den Indexkontrast etwas geringer zu machen, so dass sich Rauheiten an den Seitenwänden nicht ganz so stark auswirken; üblicherweise gehen die Verluste in den bzw. die Wellenleiter zurück.
  • Eine Passivierungsschicht dient bevorzugt dem Zweck, die Anordnung bzw.Schaltung vor Umwelteinflüssen, insbesondere Wasser, zu schützen. Eine Passivierungsschicht kann beispielsweise aus einem dielektrischen Material bestehen. Als besonders geeignet haben sich Aluminiumoxid (AL2O3) und Siliziumdioxid (SiO2) erwiesen.
  • Eine obere, abschließende Passivierungsschicht weist zweckmäßiger Weise Öffnungen bzw. Unterbrechungen zu darunterliegenden Kontakten auf, um einen elektrischen Anschluss zu ermöglichen. Öffnungen bzw. Unterbrechungen in einer Passivierungsschicht können beispielsweise durch Lithographie und/oder Ätzen, insbesondere reaktives lonenätzen, erhalten werden bzw. worden sein.
  • Das reaktive lonenätzen ist ein Trockenätzverfahren, bei dem in der Regel mittels spezieller gasförmiger Chemikalien, die zu einem Plasma angeregt werden, ein selektives und gerichtetes Ätzen einer Substratoberfläche ermöglicht wird. Eine Lackmaske kann dabei nicht zu ätzende Teile schützen. Die Ätzchemie und die Parameter des Prozesses entscheiden in der Regel über die Selektivität des Prozesses, also die Ätzraten unterschiedlicher Materialien. Diese Eigenschaft ist entscheidend, um einen Ätzvorgang in der Tiefe zu begrenzen und damit Schichten voneinander getrennt zu definieren.
  • In weiterer vorteilhafter Ausgestaltung zeichnet sich die erfindungsgemäße Halbleitervorrichtung dadurch aus, dass das Back-End-of-Line des Wafers und die photonische Plattform Verbindungselemente aufweisen, über welche der oder wenigstens einer der integrierten Schaltkreise des Wafers mit der oder wenigstens einer der elektro-optischen Einrichtungen der photonischen Plattform verbunden ist.
  • Bei dem erfindungsgemäßen Verfahren kann entsprechend in vorteilhafter Weiterbildung vorgesehen sein, dass das Back-End-of-Line des breitgestellten Wafers Verbindungselemente aufweist, die mit dem oder wenigstens einem der integrierten Schaltkreise des Front-End-of-Line verbunden sind, und in der photonischen Plattform Verbindungselemente hergestellt werden, die einerseits mit den Verbindungselementen des Back-End-of-Line und andererseits mit der oder wenigstens einer der elektro-optischen Einrichtungen verbunden sind.
  • Bei den Verbindungselementen kann es sich insbesondere um vertikale elektrische Verbindungen handeln, die im Englischen auch als Vertical Interconnect Access, kurz Via bzw. VIA bezeichnet werden. Die VIAs werden in der Regel durch Lithografie definiert und mittels RIE trockenchemisch geätzt. Danach wird bevorzugt metallisiert und die metallisierte Oberfläche mittels CMP (Damascene-Prozess) oder mittels Lithografie und RIE strukturiert.
  • Die Verbindungselemente umfassen bzw. bestehen zweckmäßiger Weise aus wenigstens einem elektrisch leitenden Material, insbesondere Metall, wie etwa Kupfer und/oder Aluminium und/oder Wolfram.
  • In weiterer Ausgestaltung kann auch für die elektro-optische(n) Einrichtung(en) bzw. zumindest Teile dieser gelten, dass sie auf einem oder mehreren der Wellenleiter und/oder der Oberseite der Planarisierungsschicht und/oder der Oberseite einer gegebenenfalls vorhandenen weiteren Planarisierungsschicht hergestellt werden bzw. worden sind.
  • Bei der bzw. den elektro-optischen Einrichtungen der erfindungsgemäßen Halbleitervorrichtung kann es sich prinzipiell um jede Einrichtung handeln, die dazu ausgebildet ist, optische Signale zu erzeugen und/oder zu übermitteln und/der zu empfangen. Es kann bzw. können insbesondere Einrichtungen der optischen Datenkommunikation sein, und/oder Spektrometer, und/oder einstellbare elektro-optische Filter und/oder Schalter und/oder Abschwächer insbesondere für maschinelles Lernen. Es können auch nichtlineare optische Elemente enthalten sein.
  • Eine als Filter ausgestaltete elektro-optische Einrichtung kann beispielsweise einen Ringresonator umfassen, bevorzugt in Kombination mit einem Modulator.
  • Die bzw. im Falle mehrere wenigstens eine oder auch jede elektro-optische Einrichtung umfasst in zweckmäßiger Ausgestaltung wenigstens zwei Kontakte bzw. Kontaktelemente, die insbesondere der Kontaktierung des bzw. jeweils eines aktiven Elementes mit einem Verbindungselement dienen.
  • Bevorzugt weist die bzw. im Falle mehrere wenigstens eine oder auch jede elektro-optische Einrichtung ferner wenigstens ein aktives Element auf. Eine elektro-optische Einrichtung kann neben wenigstens einem aktiven Element einen Abschnitt, insbesondere Längsabschnitt eines Wellenleiters umfassen. Es ist auch möglich, dass ein aktives Element einer elektro-optischen Einrichtung bzw. ein Abschnitt eines solchen einen Wellenleiter oder zumindest einen Abschnitt, insbesondere Längsabschnitt eines Wellenleiters bildet. Auch können mehrere, beispielsweise zwei aktive Elemente oder Abschnitte solcher zusammen einen Wellenleiter bzw. Abschnitt, insbesondere Längsabschnitt eines Wellenleiters, beispielsweise Rippenwellenleiters, bilden. Dann besteht das aktive Element bzw. bestehen die aktiven Elemente zweckmäßiger Weise aus einem Material, welches für elektromagnetische Strahlung wenigstens einer Wellenlänge, bevorzugt wenigstens eines Wellenlängenbereichs transparent ist. Bevorzugt gilt dann, dass das wenigstens eine Material für elektromagnetische Strahlung einer Wellenlänge von 850 nm und/oder 1310 nmm und/oder 1550 nm transparent ist. Besonders bevorzugt ist es für elektromagnetische Strahlung im Wellenlängenbereich von 800 nm bis 900 nm und/oder von 1260 nm bis 1360 nm (sogenanntes Original Band bzw. kurz O-Band) und/oder 1360 nm bis 1460 nm (sogenanntes Extend Band oder kurz E-Band) und/oder 1460 nm bis 1530 nm (sogenanntes Short Band oder kurz S-Band) und/oder von 1530 nm bis 1565 nm (sogenanntes Conventional Band bzw. kurz C-Band) und/oder 1565 nm bis 1625 nm (sogenanntes Long Band bzw. kurz L-Band).
  • Ist wenigstens ein aktives Element vorgesehen, gilt bevorzugt, dass dieses wenigstens ein Material umfasst bzw. aus wenigstens einem Material besteht, das elektromagnetische Strahlung wenigstens einer Wellenlänge, bevorzugt wenigstens eines Wellenlängenbereichs, absorbiert und infolge der Absorption ein elektrisches Photosignal erzeugt und/oder dessen Brechungsindex sich in Abhängigkeit einer Spannung und/oder dem Vorhandensein von Ladung(en) und/oder einem elektrischen Feld ändert. Bevorzugt gilt dann, dass das wenigstens eine Material elektromagnetische Strahlung einer Wellenlänge von 850 nm und/oder 1310 nmm und/oder 1550 nm absorbieren und infolge der Absorption ein Photosignal erzeugen kann. Besonders bevorzugt gilt, dass es elektromagnetische Strahlung im Wellenlängenbereich von 800 nm bis 900 nm und/oder von 1260 nm bis 1360 nm (sogenanntes Original Band bzw. kurz O-Band) und/oder 1360 nm bis 1460 nm (sogenanntes Extend Band oder kurz E-Band) und/oder 1460 nm bis 1530 nm (sogenanntes Short Band oder kurz S-Band) und/oder von 1530 nm bis 1565 nm (sogenanntes Conventional Band bzw. kurz C-Band) und/oder 1565 nm bis 1625 nm (sogenanntes Long Band bzw. kurz L-Band) absorbieren und infoge der Absorption ein Photosignal erzeugen kann.
  • Darunter, dass ein Material seinen Brechungsindex ändert ist insbesondere zu verstehen, dass es seine Dispersion (insbesondere Brechzahl) und/oder seine Absorption ändert. Die Dispersion bzw. Brechzahl ist in der Regel durch den Realteil und die Absorption durch den Imaginärteil des komplexen Brechungsindexes gegeben. Unter Materialien, deren Brechungsindex sich in Abhängigkeit einer Spannung und/oder dem Vorhandensein von Ladung(en) und/oder einem elektrischen Feld ändert, sind vorliegend insbesondere solche zu verstehen, die sich durch den Pockels-Effekt und/oder den Franz-Keldysh-Effekt und/oder den Kerr-Effekt auszeichnen. Darüber hinaus werden auch Materialien, die sich durch den Plasmadispersions-Effekt auszeichnen vorliegend als solche Materialien erachtet.
  • Beispielhaft für Materialien für das bzw. die aktiven Elemente seien Graphen, ggf. chemisch modifiziertes Graphen, und/oder Germanium und/oder Lithiumniobad und/oder elektro-optische Polymere und/oder Silizium und/oder Verbindungshalbleiter, wie etwa Ill-V-Halbleiter und/oder II-VI-Halbleiter, und/oder Dichalkogenide, insbesondere zweidimensionale Übergangsmetall-Dichalkogenide, und/oder Heterostrukturen aus zweidimensionalen Materialien genannt. Andere 2D-Materialien als Graphen sind somit ebenfalls möglich, sowohl alternativ als auch zusätzlich. Unter elektro-optischen Polymeren sind insbesondere Polymere zu verstehen, die sich dadurch auszeichnen, dass sie einen starken linearen elektro-optischen Koeffizienten (Pockels-Effekt) haben. Unter einem starken linearen elektro-optischen Koeffizienten ist bevorzugt ein solcher zu verstehen, der mindestens 150 pm/V, bevorzugt mindestens 250 pm/V beträgt. Dann ist der elektro-optische Koeffizient mindestens etwa fünfmal so groß wie derjenige von Lithiumniobad.
  • Es gibt unterschiedliche Chalkogenide. Im Rahmen der vorliegenden Erfindung haben sich insbesondere Übergangsmetall-Dichalkogenide als zweidimensionale Materialien, wie MoS2 oder WSe2, als besonders geeignet erwiesen.
  • Es sei angemerkt, dass Lithiumniobat und elektro-optische Polymere auf dem elektro-optischen, insbesondere dem Pockels-Effekt basieren, d.h. das E-Feld ändert den Brechungsindex (wie z.B. der Pockels-Effekt in der Pockelszelle genutzt wird). Bei Germanium ist es der Franz-Keldysh-Effekt, d.h. das Feld verschiebt die Valenz und Leitungsbandkanten gegeneinander, so dass sich die optischen Eigenschaften ändern. Diese Effekte sind feldbasierte Effekte. Bei Silizium oder Graphen ist es der ladungsträgerbasierte Plasmadispersions-Effekt, d.h. Ladungsträger (Elektronen oder Löcher) werden in den Bereich der optischen Mode gebracht (entweder befindet sich in der Anordnung ein Kondensator, der aufgeladen wird, oder eine Diode mit einer Sperrschicht, die verarmt und angereichert wird). Der Brechungsindex (Realteil vom Index) und die Absorption (Imaginärteil des Indexes, führt zu free carrier absorption) ändern sich mit der Ladungsträgerkonzentration.
  • Bei III-V-Halbleitern bzw. handelt es sich in an sich bekannter Weise um Verbindungshalbleiter, die aus Elementen der Hauptgruppe III und V bestehen. Bei II-VI-Halbleitern bzw. handelt es sich in an sich bekannter Weise um Verbindungshalbleiter, die aus Elementen der Hauptgruppe II bzw. Gruppe-12-Elementen und Elementen der Hauptgruppe VI bestehen.
  • Als besonders geeignetes Material für das bzw. die aktiven Elemente der elektro-optische(n) Einrichtung(en) der erfindungsgemäßen Halbleitervorrichtung hat sich u.a. Graphen erwiesen.
  • Viele Materialien zeichnen sich sowohl dadurch aus, dass sich ihr Brechungsindex in Abhängigkeit einer Spannung und/oder dem Vorhandensein von Ladung und/oder einem elektrischen Feld ändert, als auch dadurch, dass sie elektromagnetische Strahlung wenigstens einer Wellenlänge absorbieren und infolge der Absorption ein elektrisches Photosignal erzeugen. Für Graphen beispielsweise ist dies der Fall. Graphen ist entsprechend sowohl für die aktiven Elemente von Photodetektoren als auch Modulatoren geeignet. Dies gilt ebenfalls für Dichalkogenide, etwa zweidimensionale Übergangsmetall-Dichalkogenide, Heterostrukturen aus zweidimensionalen Materialien, Germanium, Silizium sowie Verbindungshalbleiter, insbesondere Ill-V-Halbleiter und/oder II-VI-Halbleiter. Lithiumniobad beispielsweise ist in der Regel nur für Modulatoren geeignet. Da es transparent ist, erfüllt es nicht die absorbierende Eigenschaft und kommt daher für Photodetektoren nicht in Frage.
  • Es kann sein, dass das wenigstens eine aktive Element von einer oder auch mehreren elektro-optischen Einrichtungen in Form eines Films vorliegt. Ein Film zeichnet sich bevorzugt in an sich bekannter Weise durch eine deutlich größere laterale Ausdehnung als Dicke aus. Das wenigstens eine aktive Element einer oder mehrerer elektro-optischer Einrichtungen kann sich ferner durch einen quadratischen oder rechteckigen Querschnitt auszeichnen.
  • Eines oder mehrere aktive Elemente können eine oder mehrere Lagen bzw. Schichten aus wenigstens einem Material, dessen Brechungsindex sich ändert und/oder das absorbiert, umfassen oder aus einer oder mehreren Lagen bzw. Schichten wenigstens eines solchen gebildet sein. Insbesondere kann vorgesehen sein, dass wenigstens ein aktives Element als Film ausgebildet ist, der mehrere Lagen bzw. Schichten aus einem oder auch verschiedenen Materialien umfasst.
  • Als besonders geeignet haben sich Filme aus Graphen, ggf. chemisch modifiziertem Graphen, oder auch Dichalkogenid-Graphen-Heterostrukturen bestehend aus mindestens einer Lage Graphen und mindestens einer Lage eines Dichalkogenids oder Anordnungen aus mindestens einer Lage Bornitrid und mindestens einer Lage Graphen erwiesen.
  • Aktive Elemente können beispielsweise auch eine oder mehrere Siliziumschichten umfassen bzw. dadurch gegeben sein. Insbesondere in diesem Fall kann vorgesehen sein, das eines oder mehrere aktive Elemente bzw. Abschnitte dieser einen Wellenleiter(abschnitt) bilden.
  • Das oder die aktiven Elemente können ferner dotiert sein bzw. dotierte Abschnitte bzw. Regionen aufweisen, beispielsweise p-dotiert und/oder n-dotiert sein bzw. entsprechende Abschnitte bzw. Regionen umfassen. Es kann auch sein, dass ein p- und ein n-dotierte Bereich und ein bevorzugt dazwischenliegender undotierter Bereich vorliegen bzw. vorgesehen werden. Dies wird auch als pin-Übergnag bezeichnet, wobei das i für intrinsisch, also undotiert steht.
  • Eine weitere vorteilhafte Ausführungsform zeichnet sich dadurch aus, dass ein aktives Element vorgesehen ist, dass einen p- und einen n-dotierten Bereich aufweist, wobei die beiden dotierten Bereiche nebeneinanderliegen oder sich ein undotierter Bereich zwischen diesen befindet, und die beiden dotierten Bereiche gegebenenfalls zusammen mit dem etwaig dazwischenliegenden undotierten Bereich gemeinsam einen Wellenleiter bzw. einen Abschnitt eines solchen bilden.
  • Auch kann zwischen zwei aktiven Elementen, beispielsweise aus dotiertem Silizium, ein Element bzw. eine Schicht aus einem elektro-optischen Polymer vorgesehen sein.
  • Weiterhin kann vorgesehen sein, dass zum Erhalt von aktiven Elementen für eine Mehrzahl von elektro-optischen Einrichtungen wenigstens ein sich gegebenenfalls über die gesamte laterale Ausdehnung des Wafers erstreckender Film bzw. Schicht (mit einer oder auch mehreren Lagen) vorgesehen, beispielsweise abgeschieden wird bzw. wurde, und aus diesem großen Film durch ein geeignetes Strukturierungsverfahren, das beispielsweise Lithografie und/oder Ätzen einschließen kann, eine Mehrzahl von in einer Ebene nebeneinander liegenden kleineren Film- bzw. Schicht-förmige aktive Elemente für die Mehrzahl der Einrichtungen erhalten wird bzw. wurde. So können mit vergleichsweise geringem Aufwand viele aktive Elemente für eine Vielzahl von elektro-optischen Einrichtungen erhalten werden.
  • Alternativ oder zusätzlich kann das oder wenigstens eines der aktiven Elemente durch ein Transferverfahren vorgesehen werden bzw. worden sein. Dies bedeutet insbesondere, dass das bzw. das jeweilige Element nicht monolithisch auf dem Wafer bzw. einer darauf hergestellten Schicht hergestellt wird/werden bzw. wurde/wurden, sondern separat hergestellt und dann transferiert, mit anderen Worten übertragen wird/werden bzw. wurde/wurden. Ein Transferverfahren für Graphen ist beispielsweise aus den Aufsätzen „ Large-Area Synthesis of High-Quality and Uniform Graphene Films on Copper Foils‟ von Li et al., Science 324, 1312, (2009) und „Roll-to-roll production of 30-inch graphene films for transparent electrodes‟ von Bae et al, Nature Nanotech 5, 574-578 (2010) bzw. für LiNbO aus dem Aufsatz „ Integrated lithium niobate electro-optic modulators operating at CMOS-compatible voltages‟, Nature volume 562, pages101104 (2018) bzw. U.a. für GaAs aus dem Aufsatz „Transfer print techniques for heterogeneous integration of photonic components‟, Progress in Quantum Electronics Volume 52, March 2017, Pages 1-17 bekannt. Eines dieser Vefahren kann auch im Rahmen der vorliegenden Erfindung angewendet werden, um eine oder mehrere Graphen- bzw. LiNbO- bzw. GaAs-Schichten/-Filme zu erhalten.
  • Auch an ein Transferverfahren kann sich eine Strukturierung anschließen.
  • Bei einer weiteren Ausführungsform ist vorgesehen, dass die oder wenigstens eine der elektro-optischen Einrichtungen durch einen Modulator gegeben ist, der ein aktives Element mit oder aus wenigstens einem Material, dessen Brechungsindex sich in Abhängigkeit einer Spannung und/oder dem Vorhandensein von Ladung und/oder einem elektrischen Feld ändert, und ein weiteres aktives Element mit oder aus wenigstens einem Material, dessen Brechungsindex sich in Abhängigkeit einer Spannung und/oder dem Vorhandensein von Ladung und/oder einem elektrischen Feld ändert, oder eine Elektrode umfasst, wobei die beiden aktiven Elemente bzw. das aktive Element und die Elektrode bevorzugt voneinander beabstandet und derart versetzt zueinander angeordnet sind, dass sie abschnittsweise übereinander liegen. Bei dem wenigstens einen entsprechenden Material des einen bzw. der beiden aktiven Elemente kann es sich um Graphen, und/oder wenigstens ein Dichalkogenid, insbesondere zweidimensionales Übergangsmetall-Dichalkogenid, und/oder Heterostrukturen aus zweidimensionalen Materialien und/oder Germanium und/oder Lithiumniobad und/oder wenigstens ein elektro-optischer Polymer und/oder Silizium und/oder wenigstens ein Verbindungshalbleiter, insbesondere wenigsten ein III-V-Halbleiter und/oder wenigstens ein II-VI-Halbleiter, handeln.
  • Mit anderen Worten genügt bei einem Modulator alternativ zu zwei aktiven Elementen auch ein aktives Element und eine konventionelle Elektrode. Die Elektrode besteht dann insbesondere nicht aus wenigstens einem Material, dessen Brechungsindex sich ändert, bzw. umfasst kein solches, sondern wenigstens ein elektrisch leitfähiges Material. Ist anstelle eines der aktiven Elemente eine Elektrode vorgesehen, kann diese - in Analogie zum aktiven Element - in Form eines ggf. mehrlagigen Filmes vorliegen, etwa als ein- oder mehrlagiger Metallfilm.
  • Auch im Falle des Modulators gilt für das oder die aktiven Elemente, dass sie bevorzugt Graphen, ggf. chemisch modifiziertes Graphen, und/oder wenigstens ein Dichalkogenid, insbesondere zweidimensionales Übergangsmetall-Dichalkogenid, und/oder Heterostrukturen aus zweidimensionalen Materialien und/oder Germanium und/oder Lithiumniobad und/oder wenigstens ein elektro-optisches Polymer und/oder Silizium und/oder wenigstens einen Verbindungshalbleiter, insbesondere wenigsten einen Ill-V-Halbleiter und/oder wenigstens einen II-VI-Halbleiter, umfassen oder auch daraus bestehen können.
  • Die beiden aktiven Elemente bzw. das eine aktive Element und die Elektrode sind bevorzugt voneinander beabstandet und/oder derart versetzt zueinander angeordnet, dass sie abschnittsweise übereinanderliegen. Mit anderen Worten fluchtet bzw. überlappt dann ein Abschnitt des einen aktiven Elementes mit einem Abschnitt des anderen aktiven Elementes bzw. der Elektrode, dies ggf. auch ohne dass sich diese berühren. Bevorzugt gilt zumindest im Bereich des Übereinanderliegens, mit anderen Worten im Überlappungsbereich, dass sich die beiden aktiven Elemente bzw. das aktive Element und die Elektrode bzw. zumindest Abschnitte dieser zumindest im Wesentlichen parallel zueinander erstrecken.
  • Auch bei einem Modulator mit zwei aktiven Elementen bzw. einem aktiven Element und einer konventionellen Elektrode kann ferner gelten, dass das jeweilige aktive Element bzw. das eine aktive Element und die Elektrode als Film ausgebildet ist.
  • Ein elektro-optischer Modulator kann insbesondere zur optischen Signalcodierung verwendet werden. Ein elektro-optischer Modulator kann auch als Ringmodulator ausgebildet sein.
  • Alternativ oder zusätzlich kann die oder wenigstens eine der elektro-optischen Einrichtungen durch einen Photodetektor gegeben sein, der ein, bevorzugt genau ein aktives Element mit oder aus wenigstens einem Material, das elektromagnetische Strahlung wenigstens einer Wellenlänge, bevorzugt wenigstens eines Wellenlängenbereichs, absorbiert und infolge der Absorption ein elektrisches Photosignal erzeugt, insbesondere Graphen und/oder wenigstens ein Dichalkogenid, insbesondere zweidimensionales Übergangsmetall-Dichalkogenid, und/oder Heterostrukturen aus zweidimensionalen Materialien und/oder Germanium und/oder Silizium und/oder wenigstens ein Verbindungshalbleiter, insbesondere wenigsten ein III-V-Halbleiter und/oder wenigstens ein II-VI-Halbleiter, umfasst.
  • Bei einem Photodetektor ist das wenigstens eine elektro-optisch aktive Material zweckmäßiger Weise geeignet, Licht zu absorbieren.
  • Ein Photodetektor kann insbesondere der Signalwandlung zurück von der optischen in die elektronische Welt dienen.
  • Die oder wenigstens eine elektro-optische Einrichtung - sowohl im Falle eines Modulators als auch im Falle eines Detektors - kann ferner als solche mit plasmonischer Kopplung ausgebildet sein bzw. - im Falle des erfindungsgemäßen Verfahrens - hergestellt werden.
  • Dann ist bzw. wird zweckmäßiger Weise auf oder oberhalb des oder wenigstens eines der aktiven Elemente wenigstens eine plasmonische Struktur aus oder mit einem plasmonisch aktiven Material, bevorzugt Gold und/oder Silber und/oder Aluminium und/oder Kupfer, vorgesehen. Die plasmonische Struktur umfasst bevorzugt wenigstens ein Paar von nebeneinander angeordneten plasmonischen Elementen aus oder mit dem plasmonisch aktiven Material. Die plasmonischen Elemente können sich durch einen sich in Richtung des jeweils anderen plasmonischen Elementes verjüngende Abschnitt auszeichnen. Die plasmonischen Elemente können sich beispielsweise durch eine dreieckige Form auszeichnen.
  • Es kann auch sein, dass längliche plasmonische Elemente vorgesehen sind bzw. werden, dies bevorzugt im Falle eines Modulators. Längliche plasmonische Elemente können zumindest im Wesentlichen parallel zu einem Wellenleiter angeordnet sein bzw. werden. Dann werden mit anderen Worten optische und plasmonische Wellenleiter parallel am aktiven Element vorbeigeführt, wie in der Publikation „Efficient electro-optic modulation in low-loss graphene-plasmonic slot waveguides“ , von Zhu et al., Optics Communications (2019), doi: https://doi.org/10.1016/j.optcom.2019.124559 beschrieben.
  • Die Responsivität von insbesondere Graphen umfassenden Photodetektoren kann durch plasmonisch verstärkte Absorption erhöht werden. Dabei werden beispielsweise wie in Ma et al., „Plasmonically Enhanced Graphene Photodetector Featuring 100 Gbit/s Data Reception, High Responsivity, and Compact Size‟, ACS Photonics 2019, 6, Seiten 154 bis 161 (2018), gezeigt plasmonische Strukturen auf einem Graphenkanal als aktiven Element, der auf einem Wellenleitervorgesehen ist, hergestellt. Durch die optische Mode werden resonant Dichteschwankungen in den plasmonischen Strukturen angeregt. Diese kollektive Bewegung der Elektronenverteilung wird als Plasmon bezeichnet und pflanzt sich in der plasmonischen Struktur fort. Kennzeichnend ist u.a. eine höhere elektrische Feldstärke gegenüber der optischen Mode. Daraus ergibt sich eine stärkere Absorption in Graphen oder im Allgemeinen in einem absorbierenden Material.
  • Eine weitere Ausführungsform zeichnet sich dadurch aus, dass an wenigstens einer Seite des oder wenigstens eines der aktiven Elemente ein Wellenleiter mit einem sich in Richtung des oder des wenigstens einen aktiven Elementes verjüngenden, bevorzugt in einer Spitze auslaufenden Endabschnitt vorgesehen ist. Der sich verjüngende Endabschnitt kann sich bis an das bzw. das wenigstens eine aktive Element erstrecken. Alternativ oder zusätzlich kann vorgesehen sein, das zu zwei Seiten des sich verjüngenden Abschnitts jeweils ein Kontaktelement vorgesehen ist, das mit dem aktiven Element verbunden ist und welches einen neben dem sich verjüngenden Endabschnitt des Wellenleiters liegenden, sich in entgegengesetzter Richtung verjüngenden Abschnitt aufweist.
  • Auch kann vorgesehen sein, dass zu zwei Seiten des oder des wenigstens einen aktiven Elementes jeweils ein Wellenleiter mit einem sich in Richtung des aktiven Elementes verjüngenden, bevorzugt in einer Spitze auslaufenden Endabschnitt vorgesehen ist. Dann kann für beide Endabschnitte gelten, dass sie sich bis an das oder das wenigstens eine aktive Element erstrecken. Auch kann zu zwei Seiten des jeweiligen sich verjüngenden Abschnitts jeweils ein Kontaktelement vorgesehen sein, das mit dem oder dem wenigstens einen aktiven Element verbunden ist und welches einen neben dem jeweiligen sich verjüngenden Endabschnitt des Wellenleiters liegenden, sich in entgegengesetzter Richtung verjüngenden Abschnitt aufweist. Es kann sein, dass zwei Kontaktelemente vorgesehen sind und jedes Kontaktelement zwei sich verbreiternde Abschnitte aufweist, bevorzugt an gegenüberliegenden Seiten und für jeden Endabschnitt einen. Der bzw. der jeweilige sich verbreiternde Abschnitt des Kontaktelementes folgt bevorzugt der Verjüngung des bzw. des Jeweiligen Wellenleiterendabschnittes. Er kann so folgen, dass der Abstand zwischen dem sich verjüngenden Wellenleiterende und den zu beiden Seiten daneben liegenden, sich verbreiternden Kontaktelementabschnitten in Richtung des aktiven Elementes gleich bleibt. Er kann aber auch, zumindest in einem gewissen Maße, zu- oder abnehmen.
  • Insbesondere bei dieser Ausführungsform kann ferner vorgesehen, dass das aktive Element wenigstens einen elektro-optischen Polymer umfasst bzw. daraus besteht (vgl. auch die Publikation „Silicon-Organic Hybrid (SOH) and Plasmonic-Organic Hybrid (POH) Integration“ , von Koos et al., Journal of Lightwave Technology, Vol. 34, No. 2, 2016).
  • Die plasmonische Kopplung kann mit anderen Worten auch ohne Wellenleiter unter dem absorbierenden Material erfolgen, d.h. es findet ein Übergang der optischen in eine plasmonische Mode statt, wobei die plasmonische Mode dann mit dem absorbierenden Material wechselwirkt. Dies ist - im Zusammenhang mit einem Photodetektor - auch in der Publikation „ Ultra-compact integrated graphene plasmonic photodetectorwith bandwidth above 110 GHz‟ von Ding, Y., Cheng, Z., Zhu, X., et al. (2019), Nanophotonics, doi:10.1515/nanoph-2019-0167 beschrieben. Im Zusammenhang mit Modulatoren sei ferner auf die Publikation „Efficient electro-optic modulation in low-loss graphene-plasmonic slot waveguides" von Ding et al., Nanoscale, 2017, 9, 15576 verwiesen.
  • Insbesondere ein Modulator als elektro-optische Einrichtung kann ferner alternativ oder zusätzlich zwei aktive Elemente aufweisen, die jeweils durch einen Siliziumfilm bzw. eine Siliziumschicht gegeben sind. Es kann sich beispielsweise um eine Schicht bzw. einen Film mit oder aus Polysilizium und einen mit oder aus kristallinem Silizium handeln. Es können auch beide aktiven Elemente Polysilizium umfassen oder daraus bestehen. Von den beiden aktiven Elementen ist dann bevorzugt eines p- und das andere n-dotiert. Durch die unterschiedliche Dotierung ergibt sich eine Kapazität. Die beiden aktiven Elemente sind bzw. werden dann bevorzugt derart versetzt zueinander angeordnet, dass sie abschnittsweise übereinanderliegen. Der Überlappungsbereich bildet dann bevorzugt einen Wellenleiter bzw. Wellenleiterabschnitt. Durch Anlegen einer Spannung kann die Ladungsträgerkonzentration im Bereich des Wellenleiters bzw. Wellenleiterabschnitts, also im Betrieb der der optischen Mode, variiert werden und damit ein optisches Signal codiert werden. Ein entsprechender, Silizium-basierter Modulator ist auch in der Veröffentlichung „An efficient MOS-capacitor based silicon modulator and CMOS drivers for optical transmitters,“ von M. Webster et el., 11th International Conference on Group IV Photonics (GFP), Paris, 2014, pp. 1-2. doi: 10.1109/Group4.2014.6961998, offenbart.
  • Wenn die oder wenigstens eine der elektro-optischen Einrichtungen als Modulator ausgebildet ist bzw. Wird, kann darüber hinaus vorgesehen sein, dass sie einen Diode oder Kapazität umfasst. Es kann sich insbesondere um einen integrierten III-V Halbleiter Modulator handeln, wie er in dem Aufsatz „Heterogeneously integrated III- V/Si MOS capacitor Mach- Zehnder modulator‟ von Hiaki, Nature Photonics volume 11, pages 482- 485 (2017) beschrieben ist.
  • Ist bzw. wird für die oder wenigstens eine elektro-optische Einrichtung eine Diode vorgesehen, kann diese beispielsweise eine Mehrzahl von Schichten unterschiedlicher Zusammensetzung von beispielsweise InGaAsP umfassen, insbesondere, um einen pn-Übergang und zwei Kontaktgebiete zu erzeugen.
  • Das bzw. die aktiven Elemente und gegebenenfalls die Elektrode einer oder mehrerer elektro-optischer Einrichtungen kann bzw. können beispielsweise auf der von dem Wafer abgewandten Oberseite der Planarisierungsschicht oder einer weiteren, insbesondere auf dem oder den Wellenleitern hergestellten Planarisierungsschicht vorgesehen sein bzw. werden. Das bzw. das jeweilige Element kann an einer Seite oder auch jeweils an gegenüberliegenden Seiten mit einem Kontakt bzw. Kontaktelement verbunden sein. Die Kontakte bzw. Kontaktelemente können durch Verbindungselemente, insbesondere VIAs mit einem oder mehreren elektronischen Bauteilen aus dem Front-End-of-Line verbunden sein bzw. werden. Die Verbindungselemente, insbesondere VIAs können sich durch die Planarisierungsschicht, die ggf. vorhandene weitere Planarisierungsschicht und das Halbleitersubstrat bis zu dem oder den elektronischen Bauteilen erstrecken. Unter verbunden sein ist zweckmäßiger Weise elektrisch leitfähig verbunden zu verstehen.
  • Es sei angemerkt, dass insbesondere im Falle eines Detektors mit nur einem aktiven Element vorgesehen sein kann, dass dieses - insbesondere zur Verbindung mit einem oder mehreren elektronischen Bauteilen aus dem Front-End-of-Line - mit zwei Kontakten bzw. Kontaktelementen in Kontakt steht, bevorzugt an gegenüberliegenden Seiten, und im Falle eines Modulators mit zwei aktiven Elementen bzw. einem aktiven Element und einer Elektrode gilt, dass diese - insbesondere zur Verbindung mit einem oder mehreren elektronischen Bauteilen aus dem Front-End-of-Line - jeweils mit einem Kontakt bzw. Kontaktelement in Kontakt steht. Dies bevorzugt an denjenigen Endbereichen bzw. Enden, die von dem Bereich abgewandt sind, indem sie abschnittsweise übereinanderliegen bzw. überlappen.
  • Es ist auch möglich, dass wenigstens ein aktives Element auf der vom Wafer abgewandten Oberseite eines oder mehrerer Wellenleiter vorgesehen ist/sind bzw. wird/werden. Dies bietet den Vorteil, dass das aktive Element näher an dem bzw. den Wellenleitern ist. Dann kann mehr Interaktion zwischen dem bzw. den aktiven Elementen und einer optischen Mode im Wellenleiter erzielt werden. Da eine weitere Planarisierungsschicht in diesem Falle nicht erforderlich ist, kann ferner ein kürzeres Bauteil erhalten werden und es sind weniger Prozessschritte erforderlich.
  • Eine weitere Ausführungsform zeichnet sich dadurch aus, dass das aktive Element bzw. die aktiven Elemente auf der von dem Wafer abgewandten Oberseite einer oder mehrerer Steuerelektroden vorgesehen ist/sind bzw. wird/werden, bevorzugt auf der Oberseite einer oder mehrerer Steuerelektroden, die ihrerseits auf der Oberseite eines oder mehrerer Wellenleiter hergestellt werden bzw. worden sind.
  • Im Falle eines Modulators mit zwei aktiven Elementen oder einem aktiven Element und einer Elektrode kann ferner vorgesehen sein, dass zwischen den beiden aktiven Elementen bzw. zwischen dem aktiven Element und der Elektrode eine Passivierungsschicht vorgesehen ist bzw. wird. Eine Passivierungsschicht besteht zweckmäßigerweise aus einem dielektrischen Material. Sie kann entsprechend auch als dielektrische Schicht bezeichnet werden. Sie kann gleichzeitig einen Ätzschutz bilden. Als Materialien für eine solche Schicht sind besonders Oxide oder Nitride geeignet. Als besonders geeignet haben sich Aluminiumoxid, Siliziumnitrid bzw. Hafniumoxid erwiesen. Ist eine Passivierungsschicht zwischen den beiden aktiven Elementen bzw. dem aktiven Element und der Elektrode vorgesehen, liegt bevorzugt ein sandwichartiger Aufbau mit aktivem Element, Passivierungsschicht und aktivem Element bzw. Elektrode vor, wobei die beiden aktiven Elemente bzw. das aktive Element und die Elektrode bevorzugt seitlich versetzt zueinander sind.
  • Es ist auch möglich, dass sich das aktive Element bzw. die aktiven Elemente und eine ggf. vorhandene Elektrode wenigstens einer elektro-optischen Einrichtung abschnittsweise auf einem oder mehreren Wellenleitern und abschnittsweise auf der Planarisierungsschicht bzw. der oder einer weiteren Planarisierungsschicht bzw. einer oder mehreren Steuerelektroden erstrecken.
  • Ferner kann es sein, dass eines oder mehrere aktive Elemente zumindest abschnittsweise, ggf. auch vollständig innerhalb des oder wenigstens eines der Wellenleiter bzw. zwischen zwei Teilen eines Wellenleiters vorgesehen sind bzw. werden.
  • Das oder wenigstens eines der aktiven Elemente ist zweckmäßiger Weise derart relativ zu wenigstens einem Wellenleiter angeordnet, dass es zumindest abschnittsweise dem evaneszenten Feld von elektromagnetischer Strahlung, die mit dem Wellenleiter geführt wird, ausgesetzt ist. Bevorzugt ist wenigstens ein aktives Element in einem Abstand kleiner gleich 50 nm, besonders bevorzugt kleiner gleich 30 nm zu wenigstens einem Wellenleiter angeordnet, beispielsweise in einem Abstand von 10 nm.
  • Bei Wellenleitern gilt, dass ein Teil der elektromagnetischen Strahlung, insbesondere des Lichts, evaneszent außerhalb des Wellenleiters geführt wird. Die Grenzfläche des Wellenleiters ist dielektrisch und entsprechend wird die Intensitätsverteilung durch die Randbedingungen gemäß Maxwell mit einem exponentiellen Abfall beschrieben. Wird ein elektro-optisch aktives Material, beispielsweise Graphen auf den bzw. in die Nähe des Wellenleiters in das evaneszente Feld gebracht, können Photonen mit dem Material, insbesondere Graphen in Wechselwirkung treten.
  • Ein Photodetektor weist zweckmäßiger Weise ein aktives Element mit oder aus wenigstens einem solchen Material und zwei Kontakte auf.
  • Es existieren vier Effekte in Graphen, die zu einem Photostrom führen. Einerseits der bolometrischer Effekt, gemäß dem durch die absorbierte Energie der Widerstand des Graphens steigt und sich ein angelegter DC Strom reduziert. Die Änderung des DC Stroms ist dann das Photosignal. Ein weiterer Effekt ist die Photoleitfähigkeit. Dabei führen absorbierte Photonen zu einer Erhöhung der Ladungsträgerkonzentration und die zusätzlichen Ladungsträger reduzieren den Widerstand des Graphens wegen der Proportionalität des Widerstands zur Ladungsträgerkonzentration. Ein angelegter DC Strom erhöht sich und die Änderung ist das Photosignal. Es existiert auch ein thermoelektrische Effekt, gemäß dem sich durch einen pn Übergang und einen Temperaturgradienten an diesem Übergang wegen unterschiedlicher Seebeckkoeffizienten für das p und n Gebiet eine Thermospannung ergibt. Der Temperaturgradient entsteht durch die Energie des absorbierten optischen Signals. Diese Thermospannung ist dann das Signal. Der vierte Effekt ist dadurch gegeben, dass an einem pn Übergang die angeregten Elektron-Lochpaare getrennt werden. Der resultierende Photostrom ist das Signal.
  • Im Falle eines Modulators kann, wie vorstehend dargelegt, eine elektrische Steuerelektrode und ein dazu zweckmäßiger Weise isoliertes aktives Element mit oder aus wenigstens einem Material, dessen Brechungsindex sich in Abhängigkeit einer Spannung bzw. von Ladungen bzw. einem elektrischen Feld ändert, insbesondere aus Graphen vorgesehen sein bzw. auch die Elektrode aus einem entsprechenden Material, insbesondere Graphen, bestehen, so dass im Betrieb dann zwei aktive Elemente gemeinsam im evaneszenten Feld sind und die elektro-optische Funktion ausführen. Graphen beispielsweise kann durch eine Steuerspannung seine optischen Eigenschaften ändern. Im besonders vorteilhaften Fall einer Graphen-Dielektrikum-Graphen-Anordnung entsteht eine Kapazität und die beiden Filme Graphen beeinflussen sich gegenseitig. Durch eine Spannung wird die Kapazität bestehend aus den Graphen-Elektroden bildenden beiden aktiven Elementen geladen und die Elektronen besetzen Zustände im Graphen. Daraus ergibt sich eine Verschiebung der Fermi-Energie (Energie des letzten besetzten Zustands im Kristall) zu höheren Energien (oder wegen Symmetrie zu niedrigeren). Erreicht die Fermi-Energie die halbe Energie der Photonen, können diese nicht mehr absorbiert werden, weil die für den Absorptionsvorgang erforderlichen freien Zustände bei der richtigen Energie bereits besetzt sind. In diesem Zustand ist das Graphen folglich transparent, weil die Absorption verboten ist. Durch Wechsel der Spannung wird das Graphen zwischen absorbierend und transparent hin und her geschaltet. Ein kontinuierlich leuchtender Laserstahl wird in seiner Intensität moduliert und kann so zur Informationsübertragung eingesetzt werden. Ebenfalls ändert sich der Realteil des Brechungsindexes mit der Steuerspannung. Durch Wechsel der Spannung kann über den sich ändernden Brechungsindex die Phasenlage eines Lasers moduliert und so Phasenmodulation erreicht werden. Bevorzugt wird die Phasenmodulation in einem Bereich betrieben, in dem alle Zustände bis über der halben Photonenenergie besetzt sind, so dass das Graphen transparent ist und sich maßgeblich der Realteil des Brechungsindexes verschiebt und die Änderung der Absorption eine untergeordnete Rolle spielt.
  • Die oder wenigstens eine der elektro-optischen Einrichtungen kann ferner wenigstens eine, bevorzugt zwei Gateelektroden umfassen. Insbesondere bei einer als Photodetektor ausgebildeten elektro-optischen Einrichtung können bevorzugt zwei Gateelektroden dem aktiven Element zugeordnet sein. Diese sind dann bevorzugt derart ausgestaltet und angeordnet, dass über diese die Ladungsträgerkonzentration im aktiven Element, beispielsweise Graphenfilm, eingestellt und so z.B. ein pn-Übergang erzielt werden kann. Die Gateelektroden sind dann bevorzugt in einem geeigneten Abstand zu dem aktiven Element angeordnet und von diesem elektrisch isoliert, beispielsweise über eine dielektrische Schicht. Es kann sein, dass auf dem aktivem Element eine dielektrische Schicht vorgesehen ist und die Gateelektroden auf dieser angeordnet sind.
  • Eine weitere besonders vorteilhafte Ausführungsform zeichnet sich dadurch aus, dass die erfindungsgemäße Halbleitervorrichtung, insbesondere deren photonische Plattform wenigstens eine Koppeleinrichtung umfasst, die wenigstens einem, bevorzugt genau einem der Wellenleiter zugeordnet ist. Die (jeweilige) Koppeleinrichtung dient dann zweckmäßiger Weise der Einkopplung elektromagnetischer Strahlung, insbesondere im infraroten und/oder sichtbaren Wellenlängenbereich, in wenigstens einen der Wellenleiter der photonischen Plattform, dem die (jeweilige) Koppeleinrichtung zugeordnet ist, und/oder der Auskopplung elektromagnetischer Strahlung, insbesondere im infraroten und/oder sichtbaren Wellenlängenbereich, aus wenigstens einem der Wellenleiter der photonischen Plattform, dem die (jeweilige) Koppeleinrichtung zugeordnet ist. Hierfür kann sie entsprechend ausgebildet und angeordnet sein. Es sei angemerkt, dass für Si-Photonik gilt, dass diese wegen der Bandlücke in der Regel nur für den infraroten Wellenlängenbereich geeignet ist, da alle Wellenlängen kürzer als 1100 nm in Si absorbiert werden. Für Dielektrika ist das in der Regel nicht der Fall, diese sind auch im sichtbaren Wellenlängenbereich transparent, weswegen sie gut für Spektroskopie geeignet sind.
  • Besonders bevorzugt ist die oder wenigstens eine der Koppeleinrichtungen derart ausgebildet und angeordnet, dass mittels dieser elektromagnetische Strahlung, insbesondere im infraroten und/oder sichtbaren Wellenlängenbereich, aus einer Glasfaser in wenigstens einen der Wellenleiter der photonischen Plattform, eingekoppelt werden kann, und/oder dass mittels dieser elektromagnetische Strahlung, insbesondere im infraroten und/oder sichtbaren Wellenlängenbereich, aus wenigstens einem der Wellenleiter der photonischen Plattform in eine Glasfaser eingekoppelt werden kann. Glasfasern werden in der Regel einen größeren Durchmesser aufweisen als der oder die Wellenleiter und die Koppeleinrichtung bzw. Koppeleinrichtungen werden weiter bevorzugt ausgebildet sein, um die Ein- und/oder Auskopplung in einem solchen Fall zu ermöglichen.
  • Eine Koppeleinrichtung kann einen Abschnitt, insbesondere Endabschnitt, eines Wellenleiters umfassen, dem sie zugeordnet ist, beispielsweise einen sich zum Ende hin verjüngenden oder verbreiternden Endabschnitt.
  • Die wenigstens eine Koppeleinrichtung kann in Weiterbildung wenigstens eine Gitterstruktur aufweisen, die dann insbesondere derart ausgebildet und angeordnet ist, dass deren erste Beugungsordnung in dem zugeordneten Wellenleiter liegt. Eine solche Koppeleinrichtung kann man auch als Gitterkoppeleinrichtung bzw. kurz Gitterkoppler bezeichnen. Im Zusammenhang mit dem Aufbau und der Funktionsweise von Gitterkopplern sei auch auf den Aufsatz „CMOS-compatible high efficiency double-etched apodized waveguide grating coupler‟, Optics Express 21, 7868-7874, 2013, verwiesen.
  • Ist wenigstens eine Koppeleinrichtung durch einen Gitterkoppler gegeben, gilt weiter bevorzugt, dass diese einen Reflektor umfasst bzw. dieser ein Reflektor zugeordnet ist. Ein Reflektor ist besonders geeignet, da er so angeordnet sein bzw. werden kann, dass die maximale Kopplung erreicht wird. Wenn kein Reflektor vorhanden ist, ergibt sich in der Regel das Interface/die Grenzfläche zwischen Back-End-Of-Line und Planarisierung automatisch als Reflektor, weil dort ein Brechungsindexsprung existiert. Ist ein Gitterkoppler vorgesehen, ist ein Reflektor auch aus dem Grunde besonders vorteilhaft, weil dann die Situation - im Gegensatz zu derjenigen beim Interface - genau definiert ist. Als Reflektor kann beispielsweise eine Metallfolie bzw. dünne Metallschicht oder ein dielektrischer Schichtstapel, so dass eine Bragg-Reflektor entsteht, dienen.
  • Ein Reflektor ist bevorzugt in der Planarisierungsschicht angeordnet. Ein Reflektor kann aus Metall bestehen bzw. solches umfassen, z.B. Aluminium, und/oder sich durch eine rechteckige Form auszeichnen und/oder etwas größer als der Gitterkoppler sein und/oder in einem geeigneten Abstand zu dem Gitterkoppler, bevorzugt unterhalb dieses, angeordnet sein.
  • Alternativ oder zusätzlich kann wenigstens eine der Koppeleinrichtungen als Seitenkoppeleinrichtung (kurz Seitenkoppler) ausgebildet sein. Die Koppeleinrichtung weist dann zweckmäßiger Weise wenigstens ein Koppelelement auf, welches derart ausgebildet und angeordnet ist, dass in dieses seitlich elektromagnetische Strahlung eingekoppelt und/oder dass aus diesem seitlich elektromagnetische Strahlung ausgekoppelt werden kann. Unter seitlich ist dabei insbesondere seitlich in Bezug auf die laterale Ausdehnung des Wafers, insbesondere in Bezug auf die vom Front-End-of-Line abgewandte Oberseite des Wafers, zu verstehen.
  • Im Zusammenhang mit dem Aufbau und der Funktionsweise von Gitterkopplern sei auch auf den Aufsatz „Ultra-Iow-Ioss inverted taper coupler for silicon-on-insulator ridge waveguide‟, Optics Communications Volume 283, Issue 19, Oktober 2010, Seiten 3678-3682, verwiesen.
  • Eine Gitterkoppeleinrichtungen kann ferner derart ausgebildet und angeordnet sein, dass die einzukoppelnde elektromagnetische Strahlung von (schräg) oben insbesondere auf ein Gitter dieser einfallen kann bzw. die auszukoppelnde elektromagnetische Strahlung nach (schräg) oben insbesondere von einem Gitter dieser ausgekoppelt wird. Sie kann weiter bevorzugt derart ausgebildet und angeordnet sein, dass eine Einkopplung unter einem Winkel im Bereich von 0° bis 30°, insbesondere von 10° bezogen auf das Lot auf die Oberseite des Wafers bzw. der erfindungsgemäßen Vorrichtung erfolgen kann.
  • Gitterkoppeleinrichtungen mit Ein- bzw. Abstrahlung von bzw. nach (schräg) oben hin bieten gegenüber Seitenkoppeleinrichtungen in der Regel den Vorteil, dass diese bereits vor dem Dicen auf ihre Funktion überprüft werden können. Bei Seitenkoppeleinrichtungen hingegen kann es sein, dass die Seite bzw. Kante des Elementes, an welcher elektromagnetische Strahlung eintreten bzw. aus welcher elektromagnetische Strahlung austreten soll, erst nach dem Dicen freiliegt und daher erst dann ein Test erfolgen kann.
  • In Weiterbildung kann vorgesehen sein, dass wenigstens zwei Koppeleinrichtungen vorgesehen sind, wobei wenigstens eine als Seitenkoppeleinrichtung (kurz Seitenkoppler) und wenigstens eine als Gitterkoppeleinrichtung (kurz Gitterkoppler) ausgebildet ist. Sind beide Kopplertypen vorgesehen, kann ein Gitterkoppler genutzt werden, um die Bauteile während der Herstellung zu vermessen und dann ein Seitenkoppler, wenn alles fertig ist. Bevorzugt gilt, dass wenigstens einem Wellenleiter zwei Koppler, jeweils einer des einen und einer des anderen Typs zugeordnet sind.
  • Die Koppeleinrichtung bzw. Koppeleinrichtungen werden bevorzugt zusammen mit dem wenigstens einen Wellenleiter hergestellt, dem sie zugeordnet sind hergestellt. Die Herstellung kann einschließen, dass sie - in Analogie zu den Wellenleitern - lithographisch definiert und durch Ätzen, insbesondere trockenchemisches Ätzen, strukturiert werden.
  • Die Erfindung betrifft auch ein Verfahren zur Herstellung wenigstens einer Halbleitereinrichtung, bei dem eine erfindungsgemäße Hableitervorrichtung bereitgestellt und zerteilt, mit anderen Worten gediced wird. Durch das Zerteilen/Dicen wird wenigstens ein Chip, werden in der Regel eine Mehrzahl von Chips mit darauf aufgebauter Photonik erhalten, die jeweils eine erfindungsgemäße Halbleitereinrichtung darstellen. Dieser bzw. diese „nackten ‟ Chips mit Photonik können dann beispielsweise jeweils in ein Gehäuse (package) eingesetzt werden. Es sei angemerkt, dass die erfindungsgemäßen Halbleitereinrichtungen, die einen konventionellen, integrierte Schaltkreise aufweisenden Chip und den darauf aufgebauten Abschnitt der photonischen Plattform umfassen, ihrerseits auch wieder als Chip bezeichnet werden kann.
  • Gegenstand der Erfindung ist ferner eine Halbleitereinrichtung, die durch Zerteilen, mit anderen Worten Dicen, einer erfindungsgemäßen Halbleitervorrichtung erhalten wurde.
  • Die erfindungsgenmäße Halbleitereinrichtung, die durch Dicen einer erfindungsgemäßen Halbleitervorrichtung erhalten wurde, zeichnet sich durch eine photonische Plattform bzw. einen Abschnitt einer solchen aus, deren laterale Ausdehnung zumindest im Wesentlichen mit der lateralen Ausdehnung des darunter liegenden Chips bzw. Halbleiter-Substrats übereinstimmt. Die photonische Plattform bzw. der Abschnitt einer solchen hat, genau wie das darunterliegende Substrat, seine Form und Ausdehnung durch das Dicen erhalten.
  • Es kann sein, dass ein die Halbleitereinrichtung umgebendes Gehäuse vorgesehen ist. Dann gilt bevorzugt, dass diejenige Seite der Einrichtung, an der das Front-End-of-Line liegt, innenseitig an dem Gehäuse anliegt.
  • Hinsichtlich der Ausgestaltungen der Erfindung wird auch auf die Unteransprüche sowie auf die nachfolgende Beschreibung mehrerer Ausführungsbeispiele unter Bezugnahme auf die beiliegende Zeichnung verwiesen.
  • In der Zeichnung zeigt:
    • 1 eine Aufsicht auf ein Ausführungsbeispiel einer erfindungsgemäßen Halbleitervorrichtung in rein schematischer Darstellung;
    • 2 einen Teilschnitt durch die Halbleitervorrichtung aus 1 in rein schematischer Darstellung;
    • 3 eine Aufsicht auf den Photodetektor aus 2, 4 und 5 in rein schematischer Darstellung;
    • 4 einen Teilschnitt durch ein zweites Ausführungsbeispiel einer erfindungsgemäßen Halbleitervorrichtung in rein schematischer Darstellung;
    • 5 einen Teilschnitt durch ein drittes Ausführungsbeispiel einer erfindungsgemäßen Halbleitervorrichtung in rein schematischer Darstellung;
    • 6 einen Teilschnitt durch ein viertes Ausführungsbeispiel einer erfindungsgemäßen Halbleitervorrichtung in rein schematischer Darstellung;
    • 7 einen Teilschnitt durch ein fünftes Ausführungsbeispiel einer erfindungsgemäßen Halbleitervorrichtung in rein schematischer Darstellung;
    • 8 einen Teilschnitt durch ein sechstes Ausführungsbeispiel einer erfindungsgemäßen Halbleitervorrichtung in rein schematischer Darstellung;
    • 9 eine Aufsicht auf den Modulator aus 8 in rein schematischer Darstellung;
    • 10 einen Teilschnitt durch ein siebtes Ausführungsbeispiel einer erfindungsgemäßen Halbleitervorrichtung in rein schematischer Darstellung;
    • 11 einen Teilschnitt durch ein achtes Ausführungsbeispiel einer erfindungsgemäßen Halbleitervorrichtung in rein schematischer Darstellung;
    • 12 fünf Beispiele möglicher Kontaktierungen der aktiven Elemente bis 16 der elektro-optischen Einrichtungen der Halbleitervorrichtungen in rein schematischer Darstellung;
    • 17 einen Teilschnitt durch ein neuntes Ausführungsbeispiel einer erfindungsgemäßen Halbleitervorrichtung in rein schematischer Darstellung;
    • 18 einen Teilschnitt durch ein zehntes Ausführungsbeispiel einer erfindungsgemäßen Halbleitervorrichtung in rein schematischer Darstellung;
    • 19 einen Teilschnitt durch ein elftes Ausführungsbeispiel einer erfindungsgemäßen Halbleitervorrichtung in rein schematischer Darstellung;
    • 20 einen Teilschnitt durch ein zwölftes Ausführungsbeispiel einer erfindungsgemäßen Halbleitervorrichtung in rein schematischer Darstellung;
    • 21 eine Aufsicht auf ein erstes Ausführungsbeispiel eines Photodetektors mit plasmonischer Kopplung in rein schematischer Darstellung;
    • 22 eine Aufsicht auf ein zweites Ausführungsbeispiel eines Photodetektors mit plasmonischer Kopplung in rein schematischer Darstellung;
    • 23 eine Aufsicht auf ein Ausführungsbeispiel eines Modulators mit plasmonischer Kopplung in rein schematischer Darstellung;
    • 24 eine Aufsicht auf eine Beispiel einer Seitenkoppeleinrichtung in rein schematischer Darstellung;
    • 25 die Seitenkoppeleinrichtung aus 24 in schematischer Schnittdarstellung;
    • 26 eine Aufsicht auf eine Beispiel einer Gitterkoppeleinrichtung in rein schematischer Darstellung;
    • 27 die Gitterkoppeleinrichtung aus 26 in schematischer Schnittdarstellung;
    • 28 die Schritte des Verfahrens zur Herstellung der Vorrichtung gemäß 1;
    • 29 eine Aufsicht auf drei erfindungsgemäße Halbleitereinrichtungen in rein schematischer Darstellung; und
    • 30 eine rein schematische Schnittdarstellung durch eine erfindungsgemäße Halbleitereinrichtung aus 29.
  • In den Figuren sind gleiche Komponenten bzw. Elemente mit gleichen Bezugszeichen versehen.
  • Die 1 zeigt in rein schematischer, stark vereinfachter Darstellung eine Aufsicht auf eine erfindungsgemäße Halbleitervorrichtung. Diese umfasst einen Wafer 1, der auch abschnittsweise in der Teilschnittdarstellung gemäß 2 zu erkennen ist, und der ein einteiliges Siliziumsubstrat 2 und eine Mehrzahl von integrierten elektronischen Bauteilen 3, die sich bei dem gezeigten Beispiel in dem Halbleitersubstrat 2 erstreckten, umfasst. Die integrierten elektronischen Bauteile 3, bei denen es sich insbesondere um Transistoren und/oder Widerstände und/oder Kondensatoren handeln kann, sind in der schematischen 2 nur vereinfacht durch eine mit dem Bezugszeichen 3 versehene Linie mit Schraffur angedeutet. An entsprechender Stelle in dem Substrat 2 findet sich in hinlänglich vorbekannter Weise eine Vielzahl integrierter elektronischer Bauteile 3. Diese können in ebenfalls vorbekannter Weise Bestandteile von Prozessoren, etwa CPUs und/oder GPUs sein bzw. solche bilden.
  • Bei dem Wafer 1 handelt es sich um eine Komponente bzw. Einrichtung, aus der in aus dem Stand der Technik hinlänglich vorbekannter Weise durch (Wafer-)Dicing, das im deutschen auch als Wafer-Zerkleinern bezeichnet wird, eine Mehrzahl von Chips erhalten werden kann. Das Dicing bzw. Zerkleinern kann beispielsweise durch (Laser-)Schneiden bzw. Sägen bzw. Ritzen bzw. Brechen des Wafers 1 erfolgen. Ein Wafer umfasst entsprechend eine Mehrzahl von Bereichen, die im Anschluss an das Dicing jeweils einen Chip bilden. Diese Bereiche werden vorliegend als Chip-Bereiche 4 bezeichnet.
  • In der 1 sind diese rein schematisch mit dünner Linie angedeutet. Jeder Chipbereich 4 des Wafers 1 umfasst einen Abschnitt bzw. Teilbereich des einteiligen Halbleitersubstrats 2 und in der Regel wenigstens eines, bevorzugt mehrere integrierte elektronische Bauteile 3. Je nach Ausgestaltung des Wafers 1, die vom konkreten Anwendungsfall abhängt, können in jedem Chipbereich 4 beispielsweise bis zu zehn oder auch mehrere zehn, mehrere hundert oder mehrere tausend integrierte elektronische Bauteile 3 vorgesehen sein. Diese können nebeneinander und/oder übereinander angeordnet sein.
  • Der Wafer 1 hat ein Front-End-of-Line (kurz FEOL) 5, in dem die Mehrzahl integrierter elektronischer Bauteile 3 angeordnet ist und ein darüber liegendes Back-End-of-Line (kurz BEOL) 6, in dem bzw. über das die integrierten elektronischen Bauteile 3 des Front-End-of-Lines 5 mittels verschiedener Metallebenen verschaltet sind. Die integrierten elektronischen Bauteile 3 im FEOL 5 und die zugehörige Verschaltung im BEOL 6 bilden in hinlänglich vorbekannter Weise integrierte Schaltkreise des Wafers 1. Ein FEOL 5 wird teilweise auch als Transistor-Frontend und ein BEOL als Metall-Backend bezeichnet. Die Metallebenen umfassen eine Mehrzahl von Verbindungselementen 7, die vorliegend durch sogenannte VIAs gegeben sind, was die Abkürzung für Vertical Interconnect Access ist. Die VIAs 7 bestehen aus Metall, beispielswiese Kupfer, Aluminium oder Wolfram.
  • Die dargestellte Halbleitervorrichtung 1 umfasst ferner eine photonische Plattform 8, die sich, wie in der Schnittdarstellung gemäß 2 gut erkennbar, oberhalb des Wafers 1 befindet und erfindungsgemäß auf dessen Back-End-of-Line 6 hergestellt, konkret direkt darauf aufgebaut wurde. Es sei angemerkt, dass die Chipbereiche 4 in der 1 mit dünner Linie angedeutet sind, da diese in der Aufsicht unterhalb der photonischen Plattform 8 liegen.
  • Der Wafer 1 zeichnet sich bei dem dargestellten Ausführungsbeispiel durch einen Durchmesser von 200 mm aus. Dies ist auch der Durchmesser der photonischen Plattform 8 und der Halbleitervorrichtung als Ganzes (vgl. 1), die den Wafer 1 und oberhalb von dem Wafer 1 die darauf hergestellte photonische Plattform 8 umfasst. Der Teilschnitt gemäß 2 zeigt in vertikaler Richtung die gesamte Vorrichtung gemäß 1 mit den übereinanderliegenden Komponenten bzw. Schichten bzw. Elementen dieser, in horizontaler Richtung jedoch nur einen sehr kleinen Teil der Vorrichtung, konkret nur einen kleinen Teile bzw. Abschnitt von einem der ihrerseits im Vergleich zur Gesamtausdehnung der Vorrichtung in horizontaler Richtung kleinen Chipbereiches 4. Für die weiteren Teilschnitte gilt dies gleichermaßen. Vorliegend zeichnen sich die Chipbereiche 4 in der Aufsicht durch eine rechteckige Form jeweils mit einer Kantenlänge von 2 mm in der einen und 3 mm in der anderen Richtung aus. Es sei angemerkt, dass diese in der rein schematischen 1 lediglich aus Gründen der Vereinfachung quadratisch angedeutet sind.
  • Wie man der 2 entnehmen kann, umfasst die erfindungsgemäß vorgesehene photonische Plattform 8 eine Planarisierungsschicht 10, die auf der von dem Front-End-of-Line 5 abgewandten Oberseite 9 des Wafers 1 hergestellt wurde und aus einem dielektrischen Material ist. Vorliegend besteht die Planarisierungsschicht 10 aus Siliziumdioxid (SiO2), wobei dies beispielhaft zu verstehen ist und auch andere Materialien zum Einsatz kommen können.
  • Die Planarisierungsschicht 10 ist bei dem dargestellten Ausführungsbeispiel eine durch Abscheidung des entsprechenden Beschichtungsmaterials, hier SiO2, auf der vom Front-End-of-Line 5 abgewandten Oberseite 9 des Wafers 1 und anschließende Planarisierungsbearbeitung des abgeschiedenen Materials auf der von dem Wafer 1 abgewandten Oberseite 11 erhaltene Schicht. Die Planarisierungsschicht 2 zeichnet sich aufgrund der Bearbeitung an ihrer von dem Wafer 1 abgewandten Oberseite 11 vorliegend durch eine Rauheit von 0,2 nm RMS aus, wobei dies beispielhaft zu verstehen ist.
  • Die Planarisierungsschicht 10 erstreckt sich bei dem dargestellten Beispiel über die gesamte Oberseite 9 des Wafers 1. Das Material der Planarisierungsschicht 10 wurde vollflächig auf der gesamten Oberseite 9 des Wafers 1 abgeschieden. Diese zeichnet sich durch daher einen Durchmesser aus, der zumindest im Wesentlichen mit demjenigen des Wafers 1 übereinstimmt.
  • Die photonische Plattform 8 umfasst ferner eine Mehrzahl von auf der von dem Wafer 1 abgewandten Oberseite 11 der Planarisierungsschicht 10 hergestellten Wellenleitern 12. Als Wellenleitermaterialien kommen insbesondere Dielektrika, vorzugsweise Titandioxid in Frage, welches auch bei dem dargestellten Ausführungsbeispiel verwendet wurde. Alternativ oder zusätzlich können auch Wellenleiter 12 aus Aluminiumnitrid und/oder Tantalpentoxid und/oder Siliziumnitrid und/oder Aluminiumoxid und/oder Siliziumoxinitrid und/oder Lithiumniobat oder auch aus Halbleitern wie Silizium, Indiumphosphid, Galliumarsenid, Indiumgalliumarsenid, Aluminiumgalliumarsenid oder Dichalkogenide oder Chalkogenidglas oder Polymere wie Su8 oder OrmoComp vorgesehen sein.
  • Typische Abmessungen der Wellenleiter 12 sind eine Dicke im Bereich von 150 nm und 10 µm und in lateraler Ausdehnung, parallel zur Wafer-Oberfläche, Weiten zwischen 100 nm und 10 µm. Rein beispielhaft sei eine Dicke von 300 nm und eine Breite von 1,1 µm genannt. Die konkreten Abmessungen der Wellenleiter 12 können variieren. Sie sind insbesondere unterschiedlich breite, je nachdem, welche Funktion sie erfüllen.
  • Die photonische Plattform 8 umfasst vorliegend noch eine weitere Planarisierungsschicht 13, die aus dem gleichen Material besteht, wie die Planarisierungsschicht 10, also vorliegend ebenfalls aus SiO2. Die weitere Planarisierungsschicht 13 zeichnet sich an ihrer vom Wafer 2 abgewandten Oberseite 14 durch eine Rauheit aus, die derjenigen der Planarisierungsschicht 10 entspricht. Deren Durchmesser entspricht zumindest im Wesentlichen demjenigen der darunterliegenden Planarisierungsschicht 10. Es sei betont, dass sich die Planarisierungsschicht 10 und die weitere Planarisierungsschicht 13 - wie vorliegend - durch das gleiche Material, die gleiche Ausdehnung und die gleiche Rauheit an ihren Oberseiten 11 bzw. 14 auszeichnen können, dies jedoch nicht erforderlich und somit nicht einschränkend zu verstehen ist.
  • Die photonische Plattform 8 umfasst auch eine Mehrzahl von elektro-optischen Einrichtungen 15, bei denen es sich insbesondere um Photodetektoren und/oder Modulatoren handeln kann. Bei dem dargestellten Ausführungsbeispiel umfasst die photonische Plattform 8 sowohl eine Mehrzahl von Photodetektoren 15 als auch eine Mehrzahl von Modulatoren 15.
  • In der 2 ist beispielhaft eine der elektro-optischen Einrichtungen, konkret ein Photodetektor 15, schematisch dargestellt. Die 3 zeigt - wiederum nur schematisch - eine Aufsicht auf einen Abschnitt der Vorrichtung aus 1, konkret auf den Photodetektor 15 aus 2.
  • Die 4 und 5 zeigen beispielhaft Teilschnitte durch weitere Ausführungsbeispiele erfindungsgemäßer Halbleitervorrichtungen, die in der Aufsicht derjenigen aus 1 entsprechen können, und in denen jeweils Photodetektor 15 und darunterliegender Wellenleiter 12 zu erkennen sind, wobei hier jeweils der Photodetektor 15 und/oder der Wellenleiter 12 alternativ zu demjenigen aus 2 ausgestaltet ist. Es sei angemerkt, dass die schematische Aufsicht aus 3 auch zu den Detektoren 15 aus den 4 und 5 korrespondiert, mit der Maßgabe, dass von den Wellenleitern mit T-förmigem Querschnitt (vgl. 4 und 5) nur der obere, schmale Teil dargestellt ist.
  • Die 6 und 7 zeigen Teilschnitte durch weitere Ausführungsbeispiele erfindungsgemäßer Halbleitervorrichtungen. Hier sind ebenfalls Photodetektoren 15 als elektro-optische Einrichtungen vorgesehen, die sich in ihrem Aufbau von denjenigen aus den 2, 4 und 5 unterscheiden.
  • Die 8, 10 und 11 zeigen Teilschnitte durch nochmals weitere Ausführungsbeispiele erfindungsgemäßer Halbleitervorrichtungen, in denen jeweils eine als Modulator 15 ausgestaltete elektro-optische Einrichtung erkennbar ist. Die 9 zeigt eine Aufsicht auf den Modulator 15 aus 8.
  • Die Photodetektoren 15 gemäß den 2 und 4 bis 7 umfassen jeweils ein aktives Element 16 aus einem Material, das elektromagnetische Strahlung wenigstens einer Wellenlänge, bevorzugt wenigstens eines Wellenlängenbereichs, absorbiert und infolge der Absorption ein elektrisches Photosignal erzeugt. Bei den Beispielen aus 2 und 4 bis 7 sind die aktiven Elemente 16 der Photodetektoren 15 jeweils durch einen Graphenfilm 16 gegeben. Graphen kann auch seinen Brechungsindex (Brechzahl und/oder Absorption) in Abhängigkeit einer Spannung und/oder von Ladung und/oder einem elektrischen Feld ändern. Es ist auch möglich, dass die aktiven Elemente 16 durch Filme mit oder aus wenigstens einem anderen Material gegeben sind, beispielsweise Filme mit oder aus einer Dichalkogenid-Graphen-Heterostruktur bestehend aus mindestens einer Lage Graphen und mindestens einer Lage eines Dichalkogenids, oder durch Filme, die mindestens eine Lage Bornitrid und mindestens eine Lage Graphen umfassen. Es gibt unterschiedliche Chalkogenide, hier sind insbesondere Übergangsmetall-Dichalkogenide als zweidimensionale Materialien wie MoS2, oder WSe2 geeignet.
  • Die in den 2 und 4 gezeigten Anordnungen unterscheiden sich, wie ein Vergleich zeigt, alleine durch die Form des Wellenleiters 12. Während in der 2 ein Streifenwellenleiter 12 mit rechteckigem Querschnitt vorgesehen ist, zeigt die 4 - genau wie die 5 - einen Rippenwellenleiter 12 mit einem T-förmigen Querschnitt mit einem ersten, oberen Wellenleitersegment 12a mit schmalerem rechteckigen Querschnitt und einem zweiten, unteren Wellenleitersegment 12b mit deutlich breiterem rechteckigen Querschnitt. Das Beispiel aus 5 unterscheidet sich von demjenigen aus 4 nur dadurch, dass hier keine weitere Planarisierungsschicht 13 vorgesehen ist. Es sei angemerkt, dass der Wellenleiter 12 in dem Beispiel gemäß 2 alternativ beispielsweise auch als sogenannter Schlitz- bzw. Slot-Wellenleiter mit zwei voneinander unter Bildung eines Schlitzes bzw. Spaltes beabstandeten Wellenleitersegmenten ausgestaltet sein könnte. Wenn ein Wellenleiter 12 mehr als ein Segment 12a, 12b umfasst, kann gelten, dass alle Segmente aus dem gleichen Material sind, wie es vorliegend der Fall ist. Dies muss jedoch nicht zwingend gelten sondern die Segmente können auch verschiedene Materialien umfassen bzw. aus verschiedenen Materialien bestehen.
  • Bei den Beispielen aus den 2, 4 und 5 erstreckt sich der Graphenfilm 16 der jeweiligen elektro-optischen Einrichtung 15 oberhalb eines Längsabschnittes des in den Figuren jeweils erkennbaren Wellenleiters 12. Dies kann auch gut der Aufsicht aus 3 entnommen werden. Bei den Beispielen gemäß den 2 und 4 ist der bzw. der eine Graphenfilm 16, 16a jeweils auf der Oberseite 14 der weiteren Planarisierungsschicht 13 hergestellt bzw. darauf vorgesehen. Wie man erkennt, erstreckt sich der Graphenfilm 16 hier jeweils im Bereich des insbesondere aufgrund der Resistplanarisierung trapezförmigen Abschnitts der weiteren Planarisierungsschicht 13 auf dieser. Bei dem in der 5 gezeigten Beispiel befindet sich der Graphenfilm 16 direkt auf dem Wellenleiter 12.
  • Die 6 und 7 zeigen Beispiele, bei denen in Abweichung von den 2, 4 und 5 sich der Graphenfilm 16 nicht oberhalb sondern innerhalb (6) bzw. unterhalb (7) des jeweiligen Wellenleiters 12 erstreckt. Was die Form der Wellleiter 12 angeht, sind diese wiederum als Rippenwellenleiter 12 mit einem T-förmigen Querschnitt ausgebildet. Dabei umfasst der Wellenleiter 12 des Beispiels aus 6 ein erstes, oberes Wellenleitersegment 12 a, ein mittleres 12b und unteres Wellenleitersegment 12c. Alle Wellenleitersegmente 12a, 12b, 12c haben einen rechteckigen Querschnitt, wobei das mittlere und das untere Segment 12b, 12c erkennbar deutlich breiter sind. Das mittlere Wellenleitersegment 12b ist auf dem Graphenfilm 16 vorgesehen und dient sowohl als Passivierungsschicht für dieses als auch als Wellenleitersegment 12b (kann auch als Wellenleiter-Slab bezeichnet werden). Das auch als Passivierung dienende Segment 12b besteht vorliegend aus Aluminiumoxid. Alternativ oder zusätzlich kann sie auch Dichalkogenide und/oder Dichalkogenid-Heterostrukturen und/oder SiO2 und/oder Bornitrid umfassen bzw. daraus bestehen. Die beiden weiteren Segmente 12a, 12c können beispielsweise ebenfalls aus Aluminiumoxid oder auch Titandioxid bestehen bzw. dieses umfassen.
  • Das Beispiel aus 7 unterscheidet sich von demjenigen aus 6 dadurch, dass kein unteres Wellenleitersegment 12c vorhanden ist. Der Graphenfilm 16 ist hier direkt auf der Oberseite 11 der Planarisierungsschicht 10 angeordnet.
  • Insbesondere im Falle einer als Photodetektor 15 ausgebildeten elektro-optischen Einrichtung können dem aktiven Element 16 ferner zwei Gateelektroden zugeordnet sein. Diese sind dann bevorzugt derart ausgestaltet und angeordnet, dass über sie die Ladungsträgerkonzentration im aktiven Element, vorliegend Graphenfilm 16, eingestellt und so z.B. ein pn-Übergang erzielt werden kann. Die Gateelektroden können beispielsweise oberhalb des Graphenfilms 16 angeordnet und über eine dielektrische Schicht von diesem elektrisch isoliert sein.
  • Die Modulatoren 15 gemäß den 8, 10 und 11 umfassen vorliegend jeweils zwei aktive Elemente, konkret ein unteres 16a und ein oberes 16b, die vorliegend jeweils durch einen Film 16 aus Graphen gegeben sind. Auch bei den Modulatoren 15 gilt, dass die aktiven Elemente auch anders ausgestaltet sein können, beispielsweise als Filme mit oder aus wenigstens einem anderen Material. Die beiden Graphenfilme 16a, 16b erstrecken sich voneinander beabstandet und stehen nicht in elektrischem Kontakt miteinander. Sie sind vielmehr über eine dazwischen liegende Schicht 17 aus einem dielektrischen Material, bevorzugt einem Oxid oder Nitrid, vorliegend Aluminiumoxid, voneinander elektrisch isoliert. Die dielektrische Schicht 17 dient auch als Passivierung und als Ätzschutz bzw. -stop. Wie ein Vergleich der 2 und 6 zeigt, stimmen die Anordnungen abgesehen davon, dass der Modulator 15 aus 8 ein zweites aktives Element 16b umfasst und die zusätzliche dielektrische Schicht 17 vorgesehen ist, überein.
  • Die beiden Graphenfilme 16a, 16b sind derart versetzt zueinander angeordnet, dass sie abschnittsweise übereinanderliegen bzw. überlappen (ohne sich zu berühren). Im Überlappungsbereich gilt ferner, dass sich die beiden Graphenfilme 16a, 16b bzw. die entsprechenden Abschnitt dieser zumindest im Wesentlichen parallel zueinander erstrecken. Es sei angemerkt, dass alternativ dazu, dass der Modulator 15 zwei aktive Elemente 16a, 16b umfasst, anstelle eines der aktiven Element auch eine Elektrode aus einem elektrisch leitfähigen Material, beispielsweise Kupfer oder Aluminium, vorgesehen sein kann.
  • Bei dem in 8 dargestellten Beispiel ist der untere Graphenfilm 16a - genau wie der einzige Graphenfilm 16 des Detektors aus 2 und 4 - auf der Oberseite 14 der weiteren Planarisierungsschicht 13 vorgesehen, wiederum im Bereich des trapezförmigen Abschnitts oberhalb des Wellenleiters 12. Der zweite, obere Graphenfilm erstreckt sich auf der Oberseite 18 der dielektrischen Schicht 17.
  • In Analogie zu den verschiedenen Beispielen aus den 2, 4 und 5 unterscheiden sich auch die Beispiele aus den 8, 10 und 11 im Wesentlichen dadurch, dass sich der Wellenleiter 12 durch eine andere Form auszeichnet und es keine zweite Planarisierungsschicht 13, hier weder in 10 noch 11 gibt. Während das Beispiel aus 8 einen Streifenwellenleiter 12 umfasst, ist bei denen gemäß den 10 und 11 jeweils einen Rippenwellenleiter 12 mit T-förmigem Querschnitt bzw. Profil vorgesehen. Dabei umfasst der Wellenleiter aus 10 - im Querschnitt betrachtet - vier Wellenleitersegmente 12a, 12b, 12c, 12d und derjenige aus 11 drei Segmente 12a, 12b, 12c. Alle Segmente 12a bis 12d haben einen rechteckigen Querschnitt, wobei sich, wie man den Figuren entnehmen kann, das obere Segment 12a - in Analogie zu den 4 und 5 - eine deutlich geringere Breite hat als die darunter liegenden Segmente 12b, 12c und im Fallen von 11, 12d. Die beiden bzw. drei unteren Segmente 12a, 12b, 12c zeichnen sich bei den gezeigten Beispielen jeweils durch die gleiche Breite aus. Das Segment 12d des Wellenleiters 12 aus 10 kann auch als Wellenleiterbasis erachtet und bezeichnet werden.
  • Bei dem Beispiel aus 11 erstreckt sich der untere Graphenfilm 16a zwischen der hier einzigen Planarisierungsschicht 10 und dem darüber liegenden Segment 12c des Rippenwellenleiters 12 und der obere Graphenfilm 16b zwischen den Segmenten 12b und 12c. Der obere Graphenfilm 16b erstreckt sich somit innerhalb des Wellenleiters 12. Der Untere Graphenfilm 16a wurde auf der Oberseite 11 der Planarisierungsschicht hergestellt bzw. darauf vorgesehen und der Obere 16b auf dem Segment 12c.
  • Jedes der aktiven Elemente 16, 16a, 16b aller Detektoren und 15 und Modulatoren 15 der photonischen Plattform 8 sind derart relativ zu dem jeweiligen, in den Figuren erkennbaren und ihnen zugeordneten Wellenleiter 12 angeordnet, dass sie zumindest abschnittsweise dem evaneszenten Feld von elektromagnetischer Strahlung, die mit dem jeweiligen Wellenleiter 12 geführt wird, ausgesetzt sind. Bevorzugt gilt zumindest für einen Abschnitt des jeweiligen aktiven Elementes 16, 16a, 16b, dass er sich in einem Abstand kleiner oder gleich 50 nm, bevorzugt kleiner oder gleich 30 nm zu dem jeweiligen Wellenleiter 12 erstreckt. Wie beispielsweise in der 2 erkennbar, ist die weitere Planarisierungsschicht 13 zwischen dem Wellenleiter 12 und dem Graphenfilm 16 entsprechend dünn bzw. gegenüber ihrer Dicke im verbleibenden Bereich „ausgedünnt‟.
  • Jede der elektro-optischen Einrichtungen, konkret sowohl jeder Photodetektor 15 als auch jeder Modulator 15, ist bei den dargestellten Ausführungsbeispielen ferner mit wenigstens einem der integrierten elektronischen Bauteile 3 des Front-End-of-Lines 5 des jeweiligen Wafers 1 elektrisch leitend verbunden. Wie man in der schematischen Schnittdarstellungen gemäß den 2 bis 4 sowie 8, 10 und 11 erkennen kann, ist die Verbindung über die VIAs 7 des Back-End-of-Lines 6 des Wafers 1 sowie weitere VIAs 7, die sich durch die Planarisierungsschicht 10 und ggf. weitere Schichten bzw. Elemente erstrecken, realisiert.
  • Konkret ist bei den Detektoren 15 der jeweilige Graphenfilm 16 an gegenüberliegenden Endbereichen über Kontakte bzw. Kontaktelemente 19 mit dem oberen Ende von VIAs 7, die sich durch die Planarisierungsschicht 10 und ggf. weitere Schichten bzw. Elemente bis zum Back-End-of-Line 6 des Wafers 1 erstecken, elektrisch leitfähig verbunden. In der Aufsicht aus 3 sind die mit den Kontaktelementen 19 in Verbindung stehenden VIAs 7, welche unterhalb Ersterer liegen, mit dünner Linie angedeutet.
  • Bei den Modulatoren 15 ist jeder der beiden Graphenfilme 16a, 16b an einem Endbereich mit einem Kontaktelement 19 und darüber mit einem VIA 7 verbunden.
  • Die Kontaktierung eines aktiven Elementes, vorliegen Graphenfilms 16, 16a, 16b einer elektro-optischen Einrichtung 15 mit einem Kontaktelement 19 kann prinzipiell unterschiedlich ausgestaltet sein. Die 12 bis 16 zeigen beispielhaft fünf verschiedene Möglichkeiten.
  • Gemäß der in 12 dargestellten Option steht ein Endbereich des Graphenfilms 16, 16a, 16b mit einem Abschnitt der Unterseite des Kontaktelementes 19 in Kontakt. Das Kontaktelement 19 besteht hier zweckmäßiger Weise aus einem für Graphen optimierten Metall, beispielsweise Nickel und/oder Titan und/oder Aluminium und/oder Kupfer und/oder Chrom und/oder Palladium und/oder Platin und/oder Gold und/oder Silber.
  • Das in 13 dargestellte Beispiel unterscheidet sich von der Anordnung gemäß 10 nur dadurch, dass das Kontaktelement 19 nicht nur eine sondern zwei Metalllagen 19a, 19b umfasst, wodurch eine bessere Performance für einen weiteren Anschluss erzielt werden kann, da die obere Lage 19b aus einem für einen weiteren Anschluss optimierten Metall bestehen kann. Die untere Lage 19a, die mit dem Graphenfilm 16, 16a, 16b in Kontakt steht, besteht zweckmäßiger Weise wiederum aus einem für Graphen optimierten Metall. Vorzugsweise besteht die Lage 19a aus Nickel und die Lage 19b aus Aluminium oder die Lage 19a aus Titan und die Lage 19b aus Aluminium. Andere Kombinationen aus Nickel und/oder Titan und/oder Aluminium und/oder Kupfer und/oder Chrom und/oder Palladium und/oder Platin und/oder Gold und/oder Silber sind ebenfalls möglich, dies sowohl für aktive Elemente mit oder aus Graphen als auch mit oder aus anderen elektro-optisch aktiven Materialien.
  • Bei dem in 14 dargestellten Beispiel umfasst das Kontaktelement 19 noch eine dritte, untere Metalllage 19c, die als Haftvermittler dient. Diese Lage 19c kann beispielsweise aus Titan oder Chrom oder Aluminiumoxid bestehen. Die Lage 19a besteht beispielsweise aus Nickel und/oder Titan und/oder Aluminium und/oder Kupfer und/oder Chrom und/oder Palladium und/oder Platin und/ oder Gold und/oder Silber. Die Lage 19b kann ebenfalls aus einem dieser Metalle bzw. einer Kombination dieser bestehen.
  • Bei den Ausführungsbeispielen gemäß den 15 und 16 erstreckt sich ein Endbereich des aktiven Elementes, vorliegend Graphenfilms 16, 16a, 16b zwischen einer ersten, unteren, für Graphen optimierten Metalllage 19a und einer zweiten, oberen, ebenfalls für Graphen optimierten Metalllage 19d des Kontaktelementes 19. Der Endbereich des aktiven Elementes 16 zeichnet sich hierfür durch einen S-förmigen Querschnitt aus. Die beiden Lagen 19a und 19d bestehen bevorzugt aus Palladium oder Nickel oder Gold, oder Platin oder aus einer Kombination aus Nickel und/oder Titan und/oder Aluminium und/oder Kupfer und/oder Chrom und/oder Palladium und/oder Platin und/oder Gold und/oder Silber.
  • Das Beispiel aus 16 unterscheidet sich von demjenigen aus 15 nur dadurch, dass das Kontaktelement 19 in Analogie zu 14 eine dritte Metalllage 19b umfasst, die für einen weiteren Anschluss optimiert ist und beispielsweise wie die Lage 19b aus 13 aus Aluminium bestehen kann.
  • Für alle Beispiele der Kontaktierung gilt, dass der Graphenfilm 16 von dem Kontaktelement 19 bzw. einer Lage 19a bis 19d dieses überdeckt werden kann, so dass der Strom in einem vertikalen Übergang flächig von dem Kontaktelement 19 bzw. einer Lage dieses in das Graphen übergeht (Topkontakt), oder der Graphenfilm 16 auch an der Kante des Kontaktelementes 19 bzw. einer Lage 19a-19d dieses enden kann, so dass der Strom seitlich in den Graphenfilm 16 übergeht (Seitenkontakt). Beispielsweise kann auch die Anordnung gemäß 13 als Topkontakt ausgestaltet sein.
  • Bevorzugt oberhalb jedes aktiven Elementes, vorliegend also bevorzugt oberhalb jedes der Graphenfilme 16 ist eine Passivierungsschicht 25 vorgesehen. Diese ist nur in den 12 bis 16 zu erkennen, die jeweils einen Abschnitt eines Graphenfilms 16, 16a, 16b in vergrößerter Darstellung zeigen. Die Passivierungsschicht 25 ist vorliegend aus Aluminiumoxid. Alternativ oder zusätzlich dazu kann eine solche Passivierungsschicht 25 auch Dichalkogenide und/oder Dichalkogenid-Heterostrukturen und/oder SiO2 und/oder Bornitrid umfassen oder daraus bestehen. Die Passivierungsschicht 5 passiviert die aktiven Elemente, vorliegend die Graphenfilme und dient gleichzeitig als Ätzstoppschicht, so dass ein selektives Ätzen der Kontaktelemente 19 zur Verbindung mit den VIAs 7 möglich wird.
  • Es sei angemerkt, dass im Falle eines Modulators 15 die zwischen den beiden aktiven Elementen 16a, 16b vorgesehene dielektrische Schicht 17 (vgl. 8) bereits der Passivierung des unteren Elementes 16b dienen kann. Diesem muss dann nicht auch noch eine Passivierungsschicht 25 zugeordnet sein.
  • Weiterhin sei angemerkt, dass auch wenn bei den Beispielen gemäß den 12 bis 16 die aktiven Elemente 16, 16a, 16b durch Graphenfilme gegeben sind, die gezeigten Ausgestaltungen keineswegs auf dieses Material beschränkt sind. Auch für aktive Elemente 16 mit bzw. aus einem oder mehreren anderen Materialien kann die Kontaktierung entsprechend ausgestaltet sein.
  • Ausführungsbeispiele von Photodetektoren 15 bzw. Modulatoren 15 mit aktiven Elementen ohne Graphen sind in den 17 bis 20 gezeigt. Dabei umfasst das Ausführungsbeispiel aus 17 ein aktives Element 16, das von einer Schicht polykristallinem Silizium gebildet wird, die gleichzeitig den Wellenleiter 12 bildet. Wie man erkennt, weist die Siliziumschicht 16 die Form eines Rippenwellenleiters mit T-förmigem Querschnitt auf. Die das aktive Element 16 und den Wellenleiter 2 bildenden Siliziumschicht hat vorliegend zwei dotierte Bereiche, nämlich einen p-dotierten Bereich 16p und einen n-dotierten Bereich 16n. Es sei angemerkt, dass alternativ auch ein pin-Übergnag vorliegen könnte, zwischen dem p- und dem n-dotierten Bereich also auch ein undotierter Bereich liegen könnte. Die Siliziumschicht 6 ist, wie die aktiven Elemente 16 der Beispiele aus den 2 und 4 bis 7 mit zwei Kontaktelementen 19 verbunden. Je nach Polarität einer angelegten Spannung ändert sich die Ladungsträgerkonzentration im Bereich der Sperrschicht und damit auch die Absorption und der Brechungsindex des Wellenleiters 12. Man kann auch sagen, dass der Wellenleiter 12 hier als Diode ausgeführt ist, um einen Modulator zu erhalten.
  • Die 18 zeigt ein weiteres Beispiel eines Siliziummodulators, der auch unter dem SISCAP bekannt ist (vgl. auch die Publikation „An efficient MOS-capacitor based silicon modulator and CMOS drivers for optical transmitters,“ von M. Webster et el., 11th International Conference on Group IV Photonics (GFP), Paris, 2014, pp. 1-2. doi: 10.1109/Group4.2014.6961998). Hier sind zwei aktive Elemente 16a, 16b vorgesehen, die jeweils durch eine Siliziumschicht gebildet werden, bevorzugt aus kristallinem Silizium oder Polysilizium oder amorphem Silizium. Dabei ist das aktive Element 14a p- und das Element 16b n-dotiert. Die aktiven Elemente 16a, 16b sind ferner derart versetzt zueinander angeordnet, dass sie in einem Überlappungsbereich übereinanderliegen, dies in Analogie mit den aktiven Elementen 16 der Beispiel aus den 8, 10 und 11. Der Bereich der Überlappung bildet hier den Wellenleiter 12. Die Ladungsträgerkonzentration kann in diesem Bereich eingestellt werden und damit die optischen Eigenschaften des Wellenleiters 12.
  • Die 19 zeigt ein weiteres Beispiel eines Silizium-Modulators 15. Dieser umfasst ebenfalls zwei aktive Elemente 16a, 16b, die durch Siliziumschichten gebildet werden, die p- bzw. n-dotiert sind. Diese liegen in einer Ebene nebeneinander und zwischen diesen ist ein Element aus einem elektro-optischen Polymer 26 vorgesehen. Die beiden aktiven Elemente 16a, 16b und das Element 29 aus einem elektro-optischen Polymer bilden einen Rippenwellenleiter 12 mit einem - von dem Element 26 gebildeten Spalt. Die Seitenwände des Spalts dienen hier mit anderen Worten als Elektroden einer Kapazität. Das Elektrische Feld im Spalt beeinflusst die optischen Eigenschaften des Polymers und ermöglicht eine Modulation eines optischen Signals.
  • In der 20 ist ein Beispiel eines Modulators mit einer Diode 27 aus Verbindungshalbleitern gezeigt. Die Diode 27 besteht aus Schichten 27a bis 27d unterschiedlicher Zusammensetzung von Beispielsweise InGaAsP, um einen pn-Übergang und zwei Kontaktgebiete zu erzeugen. Die Kontaktgebiete sind mittels Elektroden 28 an die Kontaktelemente 19 und somit an integrierte Elektronische Bauteile 4 angeschlossen.
  • Die oder wenigstens eine elektro-optische Einrichtung - sowohl im Falle eines Modulators 15 als auch im Falle eines Detektors 15 - kann ferner als solche mit plasmonischer Kopplung ausgebildet sein bzw. hergestellt werden.
  • Entsprechende Beispiele finden sich - jeweils in rein schematischer Aufsicht - in den 21 bis 23.
  • Dabei zeigt die 21 ein Beispiel eines Photodetektors 15, bei dem eine plasmonische Struktur 29 aus oder mit einem plasmonisch aktiven Material vorgesehen ist, konkret auf dem aktiven Element 16. Die plasmonische Struktur 29 umfasst bei dem Beispiel drei Paare nebeneinander angeordneten plasmonischen Elemente 30 aus oder mit dem plasmonisch aktiven Material. Vorliegend bestehen die plasmonischen Elemente aus Gold. Als weitere geeignete Materialbeispiele seien Silber und/oder Aluminium und/oder Kupfer genannt. Die plasmonischen Elemente 30 bilden quasi Antennen auf dem Wellenleiter 12 zur Erhöhung der Absorption (vgl. auch Ma et al., „ Plasmonically Enhanced Graphene Photodetector Featuring 100 Gbit/s Data Reception, High Responsivity, and Compact Size‟, ACS Photonics 2019, 6, Seiten 154 bis 161 (2018)). Eine solche plasmonische Struktur kann beispielsweise auf dem aktiven Element 16 einer Anordnung gemäß den 2, 4 oder 5 vorgesehen sein bzw. werden.
  • Die 22 zeigt ein Beispiel eines Photodetektors 15, bei dem kein Wellenleiter 12 bzw. Abschnitt eines solchen unter- oder oberhalb des aktiven Elementes 16 vorgesehen ist, sondern bei dem bevorzugt in einer Ebene mit dem aktiven Element 16 und seitlich dieses ein Wellenleiter 12 vorgesehen ist, der einen sich V-förmig in Richtung des aktiven Elementes 16 verjüngenden Abschnitt 31 aufweist. Der Abschnitt 31 läuft in einer Spitze aus, die sich bis an die in 22 linke Seite des aktiven Elementes 16, beispielsweise Graphenfilms, erstreckt. Wie man erkennt, umfassen die Kontaktelemente 19 hier Abschnitte 19e, die sich entgegengesetzter Richtung, also in der Richtung weg vom aktiven Element 16 verjüngen. Die Kontaktelemente 19 folgen sozusagen abschnittsweise dem sich verjüngenden Endabschnitt 31 des Wellenleiters 12, was die plasmonische Kopplung ermöglicht.
  • Die 23 zeigt einen analogen Modulator 15 mit plasmonischer Kopplung. Wie man erkennt sind hier zu zwei gegenüberliegenden Seiten des aktiven Elementes 16, etwa Graphenfilms, sich in dessen Richtung V-förmig verjüngende Wellenleiteranschnitte 31 vorgesehen und für beide zugehörige sich in umgekehrter Richtung verjüngende Abschnitte 19e der Kontaktelemente 19. Hier ist somit eine Kopplung einer optischen zu einer plasmonischen und wieder zurück zu einer optischen Mode möglich. Insbesondere bei dieser Ausführungsform kann ferner vorgesehen, dass das aktive Element wenigstens einen elektro-optischen Polymer umfasst bzw. daraus besteht (vgl. auch die Publikation „Silicon-Organic Hybrid (SOH) and Plasmonic-Organic Hybrid (POH) Integration‟, von Koos et al., Journal of Lightwave Technology, Vol. 34, No. 2, 2016).
  • Die auf dem Wafer 1 einer erfindungsgemäßen Halbleitervorrichtung hergestellte photonische Plattform 8 wird in der Regel eine sehr große Anzahl elektro-optischer Einrichtungen 15 umfassen, die insbesondere durch Photodetektoren und/oder Modulatoren gegeben sein können. Dies ist auch bei dem dargestellten Ausführungsbeispiel der Fall. Insbesondere wird bereits jeder Abschnitt der photonischen Plattform 8, der sich oberhalb eines Chipbereiches 4 des Wafers 1 erstreckt, eine Mehrzahl elektro-optischer Einrichtungen 15 und eine Mehrzahl von Wellenleitern 12 umfassen. Beispielsweise können in jedem sich oberhalb eines Chipbereichs 4 erstreckenden Abschnitten der photonischen Plattform 8 jeweils bereits mehrere zehn, mehrere hundert oder auch mehrere tausend elektro-optische Einrichtungen 15 und/oder Wellenleiter 12 vorgesehen sein. Die Anzahl kann jeweils für den konkreten Anwendungsfall gewählt werden.
  • Bei den dargestellten Ausführungsbeispielen erfindungsgemäßer Halbleitervorrichtungen sind jeweils alle elektro-optischen Einrichtungen 15 und Wellenleiter 12 der photonischen Plattform 8 baugleich. Die Übereinstimmung ermöglicht dabei eine besonders einfache, zügige Herstellung. Es sei jedoch betont, dass es selbstverständlich auch möglich ist, dass eine erfindungsgemäße Halbleitervorrichtung verschiedene der in den 2, 4 bis 8, 10, 11, und/oder 17 bis 23 dargestellten Beispiele umfasst, etwa sowohl Detektoren 15 mit darunterliegenden Wellenleitern 12 gemäß 2 als auch Modulatoren 15 und Wellenleiter 12 gemäß 8. Es können auch mehr als zwei verschiedene der Beispiele gemäß den 2, 4 bis 8, 10, 11 und/oder 17 bis 23 vorhanden sein, beispielsweise auch alle jeweils ein oder mehrmals.
  • Um sowohl Anordnungen mit einer weiteren Planarisierungsschicht 13 (vgl. z.B. die 2, 4 und 8) als auch Anordnungen ohne eine solche (vgl. z.B. die 5, 10 und 11) in einer photonischen Plattform 8 realisieren zu können, kann vorgesehen sein, dass nach der bevorzugt flächigen Herstellung der weitere Planarisierungsschicht 13 diese abschnittsweise, etwa durch Lithographie und anschließendes Ätzen, wieder entfernt wird, dies überall dort, wo eine Anordnungen ohne weitere Planarisierungsschicht gewünscht ist. Für andere Schichten, die nur an einigen Stellen jedoch nicht überall gewünscht sind, kann völlig analog vorgegangen werden bzw. worden sein.
  • Das bzw. die aktiven Elemente 16, 16a, 16b jeder elektro-optischen Einrichtung können auf eine der in den 12 bis 16 dargestellten Weisen mit einem, im Falle der Detektoren zwei Kontaktelementen 19 elektrisch leitfähig verbunden sein. Es ist möglich, dass sämtliche aktiven Elemente 16, 16a, 16b einer erfindungsgemäßen Halbleitervorrichtung auf die gleiche Weise mit Kontaktelementen 19 kontaktiert sind. Alternativ dazu ist es natürlich auch möglich, dass verschiedene aktive Elemente 16 einer Vorrichtung auf verschiedene Weisen kontaktiert sind.
  • In den 3 und 9 sind neben dem bzw. den aktiven Elementen 16, 16a, 16b, den Wellenleitern 12 und Kontaktelementen 19 noch Koppeleinrichtungen 32 der photonischen Plattform 8 schematisch angedeutet, die der Einkopplung von Licht in den bzw. der Auskopplung von Licht aus dem Wellenleiter 12 dienen. Von diesen ist hier jeweils eines an gegenüberliegenden Enden des jeweiligen Wellenleiters 12 angeordnet. Die Koppeleinrichtungen 32 sind vorliegend jeweils als Seiten- oder Gitterkoppeleinrichtungen ausgebildet. Die 24 bis 27 zeigen rein schematische Darstellungen von Beispielen solcher. Dabei ist in den 24 und 25 eine Seitenkoppeleinrichtung 32 in der Aufsicht und im Schnitt gezeigt und in den 26 und 27 eine Gitterkoppeleinrichtung 32 in der Aufsicht und im Schnitt.
  • Es kann sein, dass mehreren, ggf. auch jedem der Wellenleiter 12 der photonischen Plattform 8 eine Koppeleinrichtung 32 zugeordnet ist bzw. zwei Koppeleinrichtungen 32 zugeordnet sind. Einem Wellenleiter 12 sind bzw. werden insbesondere in dem Falle zwei Koppeleinrichtungen 32 zugeordnet, wenn Licht ein- und ausgekoppelt werden soll. Es ist aber auch möglich, dass nur ein ggf. auch erstmaliges Einkoppeln gewünscht ist. Dann kann eine Koppeleinrichtung 32 ausreichen.
  • Das in den 24 und 25 gezeigte Beispiel der Seitenkoppeleinrichtung 32 umfasst ein Seitenkoppelelement 33 bestehend vorzugsweise aus Harzen bzw. Harz enthaltende Materialien, insbesondere SU8, oder/und Siliziumnitrid, oder/und Siliziumoxynitrid oder Dielektrika, deren Brechungsindex zwischen dem des Wellenleiters 12 (insbesondere n = 2,4) und dem des als Modenfeldkonverters dienen Element 33 (SU8 n = 1,56) liegt, wie zum Beispiel Aluminiumoxid (n = 1,68). Dieses zeichnet sich, wie man erkennt, sowohl durch eine Breite b als Höhe h aus, die die Ausdehnung des Wellenleiters 12 in entsprechenden Richtungen überschreitet, vorliegend jeweils einem Mehrfachen dieser entspricht. Die Seitenkoppeleinrichtung 32 umfasst ferner einen sich in das Seitenkoppelelement erstreckenden Endbereich 34 des Wellenleiters 12, der sich, wie man in der 24 gut erkennen kann, in Richtung seines Endes konisch verjüngt. Es sei angemerkt, dass in 24 die Außenkontur des sich verjüngenden Abschnitts 34 mit dünner Linie angedeutet ist, da dieser in der Aufsicht von einem Abschnitt des Elementes 33 verdeckt ist. Das Element 33 bewirkt eine Anpassung des Modenfeldes vom Durchmesser einer Glasfaser (beispielsweise 5 µm bis 15 µm Durchmesser) auf die Größe des Wellenleiters 12 (beispielsweise 300 nm Höhe, 1,1 µm Breite). Die auslaufende Spitze 34 des Wellenleiters 12 bewirkt eine adiabatische Anpassung des effektiven Brechungsindexes im Bereich des Modenfeldes, so dass die optische Mode von der Koppelstruktur zunehmend in den Wellenleiter 12 überführt wird.
  • Die Gitterkoppeleinrichtung 32 wird, wie man der Aufsicht aus 26 entnehmen kann, durch einen Endabschnitt 35 des Wellenleiters 12 gebildet, der sich zum Ende hin konisch verbreitert und, wie auch der Schnitt aus 27 gut zeigt, an seiner Oberseite eine Gitterstruktur 36 aufweist. Durch diese Aufweitung wird die Dimension des Wellenleiters 12 (beispielsweise 300 nm Höhe, 1,1 µm Breite) auf den Durchmesser des Modenfeldes in einer Glasfaser (beispielsweise 5 µm bis 15 µm) angepasst und so die Koppeleffizienz gesteigert. In der Aufsicht gemäß 26 ist die Gitterstruktur 36 nur vereinfacht durch mehrere parallele Linien angedeutet. Durch die gitterartige Anordnung von Brechungsindexstufen wird das einfallende Licht gebeugt. Die Abmessungen des Gitters sind zweckmäßiger Weise so berechnet, dass unter einem gegebenen Einfallswinkel die erste Beugungsordnung im Wellenleiter 12 liegt und so das Licht in den Wellenleiter 12 eingekoppelt wird.
  • Die Koppeleinrichtungen 32 liegen in einer Ebene mit dem jeweiligen Wellenleiter 12, befinden sich vorliegend also auf der Oberseite 11 der Planarisierungsschicht 10.
  • Auch die Wellenleiter 12, die in den Teilansichten umfassenden 21 bis 23 nur abschnittsweise gezeigt sind, können an ihren nicht erkennbaren Enden mit einer Koppeleinrichtung 32 versehen sein.
  • Neben den elektro-optischen Einrichtungen 15 kann die photonische Plattform 8 auch noch eine oder mehrere optische Einrichtungen umfassen. Hierbei kann es sich beispielsweise um eines oder mehrere Interferometer, etwa Mach-Zehnder-Interferometer, und/oder MMIs und/oder direktionale Koppler und/oder Ringresonatoren und/oder Polarisationskonverter und/oder Splitter handeln. Die optischen Einrichtungen werden in der Regel durch mehrere Abschnitte von Wellenleitern 12 gebildet, die dann entsprechend angeordnet sind. Sie stellen insbesondere passive Strukturen aus Wellenleitern 12 bzw. Wellenleiterlängsabschnitten dar. Ein Abschnitt, insbesondere Abschnitt in Längsrichtung, also Längsabschnitt eines Wellenleiters 12, beispielsweise der in den 2, 4 bis 11zu erkennenden Wellenleiter 12, kann jeweils Bestandteil einer solchen optischen Einrichtung sein, konkret ein Abschnitt, der in senkrecht zur Zeichenebene orientierter Richtung vor oder hinter der elektro-optischen Einrichtung 15 liegt.
  • Auch ist es möglich, dass die photonische Plattform 8 eine oder mehrere thermo-optische Einrichtungen aufweist. Ein solche umfasst beispielsweise ein Heizelement und einen Längsabschnitt eines Wellenleiters 12, wobei das Heizelement derart relativ zu dem Wellenleiterabschnitt angeordnet ist, dass es dieses erwärmen kann. Durch eine Erwärmung des Wellenleiters 12 mittels des Heizelementes kann der Brechungsindex des Wellenleiters 12 in dem Längsabschnitt verändert werden. Dieser Effekt kann beispielsweise zur Phasenanpassung genutzt werden. Eine thermo-optische Einrichtung kann auch einem Interferometer der photonischen Plattform zugeordnet sein bzw. einen Teil eines solchen bilden. Ein Längsabschnitt des in den 2, 4 bis 11 zu erkennenden Wellenleiters 12 kann beispielsweise jeweils Bestandteil einer thermo-optischen Einrichtung sein, wiederum ein Abschnitt, der in senkrecht zur Zeichenebene orientierter Richtung vor oder hinter der elektro-optischen Einrichtung 15 liegt.
  • Die photonische Plattform 8 umfasst weiterhin noch eine Passivierungsschicht 37, die sich oberhalb der elektro-optischen Einrichtungen 15 erstreckt und bevorzugt den oberseitigen Abschluss der photonischen Plattform 8 und der Halbleitervorrichtung (vgl. 1) bildet. Die Passivierung 37 stellt gleichzeitig ein Cladding dar. Es sei angemerkt, dass die Passivierungsschicht 37 in den Aufsichten gemäß den 3 und 9 nicht gezeigt ist, sondern nur die darunterliegenden Einrichtungen 15.
  • Zum Erhalt der in 1 dargestellten Halbleitervorrichtung wird in einem ersten Schritt S1 (vgl. 28) der Wafer 1 mit den die integrierten elektronischen Bauteilen 3 und die Metallisierung einschließlich der VIAs 7 umfassenden integrierten Schaltungen bereitgestellt. Bei dem Wafer 1 kann es sich um einen beliebigen Wafer 1 konventioneller Art handeln, der durch ein vorbekanntes Herstellungsverfahren erhalten wurde.
  • Anschließend wird die photonische Plattform 8 auf dem BEOL 6 des Wafers 1 hergestellt.
  • Konkret wird in einem zweiten Schritt S2 die Planarisierungsschicht 10 auf dem Back-End-of-Line 6 des Wafers 1 hergestellt. Hierfür wird ein Beschichtungsmaterial, vorliegend Siliziumdioxid (SiO2), aufgebracht, was beispielsweise durch chemische Gasphasenabscheidung, etwa Niederdruck chemische Gasphasenabscheidung (LPCVD) oder plasmaunterstütze chemische Gasphasenabscheidung (PECVD), oder physikalische Gasphasenabscheidung oder auch durch Aufschleudern von Spin-on-Glas erfolgen kann. Vorliegend kommt PECVD zum Einsatz. Nachdem das Beschichtungsmaterial deponiert wurde, wird die Oberseite der erhaltenen Beschichtung einer Planarisierungsbehandlung unterzogen (Schritt S3), vorliegend einer Resistplanarisierung, wodurch eine Oberseite 11 mit einer Rauheit von 0,2 nm RMS erhalten wird.
  • Die Resistplanarisierung schließt dabei ein einmaliges oder wiederholtes Spin-on-Glass-Aufschleudern und anschließendes Ätzen, vorliegend reaktives lonenätzen (RIE), ein. Die Spin-on-Glass-Schicht gleicht teilweise die Höhenunterschiede aus, d.h. Täler der Topologie weisen nach der Spin-on-Glass-Beschichtung eine höhere Schichtdicke auf als benachbarte Erhöhungen. Wird nach der Spin-on-Glass-Beschichtung die gesamte Spin-on-Glass-Schicht, etwa per RIE geätzt, hat sich der Höhenunterschied wegen der planarisierenden Wirkung der Spin-on-Glass-Schicht reduziert. Durch Wiederholung kann der Höhenunterschied weiter reduziert werden, bis die gewünschte Rauheit erhalten ist.
  • Es sei angemerkt, dass eine Oberseite 11 der Planarisierungsschicht 10 entsprechend geringer Rauheit alternativ beispielsweise auch über chemisch-mechanisches Polieren (CMP) erhalten werden kann.
  • In einem nächsten Schritt S4 werden die Wellenleiter hergestellt. Hierfür wird Wellenleitermaterial, vorliegend Titandioxid (TiO2), abgeschieden, dies insbesondere flächig über die gesamte Oberseite 11 der erhaltenen Planarisierungsschicht 10. Die Aufbringung kann genau wie bei der Planarisierungsschicht durch PVD oder CVD, insbesondere PECVD oder LPCVD, oder durch Aufschleudern erfolgen. Es kann auch eine Atomlagenabscheidung (ALD) durchgeführt werden oder ein Transfer-Printverfahren. Vorliegend kommt in Analogie zur Planarisierungsschicht 10 LPCVD zum Einsatz. Es erfolgt eine Lithographie und eine Strukturierung insbesondere mittels reaktivem lonenätzen (RIE), um die einzelnen Wellenleiter 12 zu erhalten.
  • Zum Erhalt der Streifenwellenleiter 12 (vgl. z.B. die 3 und 8) wird dabei überall dort, wo kein Streifenwellenleiter 12 stehen bleiben soll, das Wellenleitermaterial komplett entfernt, mit anderen Worten bis zur darunter liegenden Schicht 10 geätzt.
  • Die Koppeleinrichtungen 32 einschließlich deren Wellenleiterenden 34, 35 gehören (vgl. die 3, 9 und 24 bis 27) werden vorliegend gemeinsam mit den Rippen- oder Streifenwellenleitern 12 hergestellt, wobei für den Fall von Rippenwellenleitern 12 die seitliche Erweiterung des Wellenleiters 12 im Bereich der Koppelstelle trockenchemisch in einem separaten Ätzschritt entfernt werden kann. Wellenleiter 12, die aus übereinander angeordneten Schichten bestehen, können nach Fertigstellung des Schichtaufbaus mit der obersten Schicht 12a strukturiert werden, wobei für den Fall von Rippenwellenleitern 12 die seitliche Erweiterung des Wellenleiters im Bereich der Koppelstelle trockenchemisch in einem separaten Ätzschritt entfernt werden kann. In allen Fällen können Modenkonverter zwischen Rippen- und Streifenwellenleitern 12 definiert werden und Teilbereiche der Rippenwellenleiter 12 mittels Lithografie und RIE als Streifenwellenleiter 12 ausgebildet werden.
  • Gitterkoppler 32 mit Gitterstrukturen 36 können lithografisch definiert und trockenchemisch strukturiert werden.
  • Für Seitenkoppelelemente (Modenkonverter) 33 werden ein oder mehrlagig Dielektrika und/oder Halbleiter und/oder Harze und/oder Polymere abgeschieden und mittels Lithographie oder/ und RIE strukturiert.
  • In einem nächsten Schritt S5 wird die weitere Planarisierungsschicht 13 auf den Wellenleitern 12 und der Oberseite 11 der Planarisierungsschicht 10 hergestellt. Diese wird vorliegend völlig analog zu der Planarisierungsschicht 10 durch Abscheidung mittels PECVD und Resistplanarisierung erhalten. Infolge der Resistplanarisierung ergibt sich der im Querschnitt trapezförmige Abschnitt der weiteren Planarisierungsschicht 13 oberhalb des Wellenleiters 12 (vgl. 2).
  • Auch bezüglich der weiteren Planarisierungsschicht 13 gilt, dass alternativ zu LPCVD und CMP andere der vorstehend genannten Verfahren zum Einsatz kommen können und eine andere Planarisierungsbehandlung, etwa CMP, und/oder weitere Planarisierung möglich ist, wie vorstehend für die Planarisierungsschicht 10 beschrieben. Kommt CMP zum Einsatz, wird in der Regel eine ebene Oberfläche erhalten, das heißt, dann liegt kein trapezförmiger Abschnitt oberhalb des Wellenleiters 12 vor, wie er in 2 (und etwa auch den 4 und 9) zu erkennen ist.
  • Die Planarisierungsschicht 10 und weitere Planarisierungsschicht 13 können eine oder mehrere Decklagen umfassen, die bevorzugt auf der der Planarisierungsbehandlung unterzogenen Oberfläche vorgesehene sind bzw. werden und bei denen es sich beispielsweise um Dichalkogenidlagen oder Dichalkogenid-Heterostrukturen oder auch Bornitridlagen handeln kann. Diese Materialen werden bevorzugt abgeschieden oder transferiert ohne das es eines weiteren chemisch-mechanischen Polierens oder weiteren Resistplanarisierens bedarf, wobei auch nicht ausgeschlossen ist, dass dies nochmals erfolgt.
  • Der Vollständigkeit halber sei angemerkt, dass für den Fall, dass eine erfindungsgemäße Halbleitervorrichtung auch Bereiche ohne weitere Planarisierungsschicht 13 aufweisen soll, etwa auch Bereiche, in denen der Aufbau demjenigen gemäß den 5, 10 oder 11 entspricht, die weitere Planarisierungsschicht 13 (und gegebenenfalls darauf befindliche Schichten) anschließend partiell insbesondere durch Lithographie und ätzen wieder entfernt wird.
  • In Schritt S6 werden die VIAs 7 durch die Planarisierungsschicht 10 und die weitere Planarisierungsschicht 13 hergestellt. Dies kann prinzipiell auf beliebige aus dem Stand der Technik vorbekannte Weise erfolgen. Insbesondere werden zunächst die Bereiche, in welchen sich diese erstrecken sollen bevorzugt durch Lithographie definiert und mittels RIE trockenchemisch geätzt. Danach wird metallisiert und die metallisierte Oberfläche beispielsweise mittels CMP (Damascene-Prozess) oder mittels Lithografie und RIE strukturiert. Es ist sowohl möglich, dass die VIAs 7 nach der Fertigstellung der weiteren Planarisierungsschicht 13 durch beide Planarisierungsschichten 10, 13 hergestellt werden oder auch nach Fertigstellung der ersten Schicht 10 Abschnitte dieser durch die erste Planarisierungsschicht 10 und nach Fertigstellung der Zweiten 13 Abschnitte dieser durch die zweite Schicht 13.
  • Anschließend werden die elektro-optischen Einrichtungen 15 hergestellt.
  • Hierfür werden in Schritt S7 die jeweils durch den Graphenfilm 16 gegebenen aktiven Elemente der Detektoren auf der Oberseite 14 der weiteren Planarisierungsschicht 13 vorgesehen, beispielsweise auf der Oberseite 14 deponiert, und anschließend in Schritt S8 die Kontaktelemente 19 (ein oder mehrlagig) erhalten.
  • Die Deponierung der Graphenfilme 16 kann beispielsweise über ein Transferverfahren erfolgen, wie es weiter oben näher beschrieben ist. Dann wird insbesondere jeweils ein auf einem separaten Substrat bzw. einer separaten Metallfolie bzw. einem separaten Germaniumwafer hergestellter Graphenfilm auf die weitere Planarisierungsschicht 13 übertragen. Es ist auch möglich, dass die Graphenfilme direkt auf der weiteren Planarisierungsschicht 13 hergestellt werden. Dies kann beispielsweise eine Materialabscheidung einschließen.
  • Kommt ein Transferverfahren zum Einsatz, ist es möglich, dass auf der Oberseite des jeweiligen Graphenfilms 16 bereits die Passivierungsschicht 25 vorgesehen ist, diese etwa darauf abgeschieden oder deponiert wurde, und dann mit diesem transferiert wird. Alternativ dazu kann die Passivierungsschicht 25 auch nach dem Transferieren bzw. Herstellen des Graphenfilms 16 bzw. der Graphenfilme 16 abgeschieden bzw. deponiert wird.
  • Es auch ist möglich, dass zunächst ein vollflächiger Graphenfilm und/oder eine vollflächige Passivierungsschicht auf der weiteren Planarisierungsschicht 13 hergestellt wird, die sich über die gesamte Oberfläche der weiteren Planarisierungsschicht 13 erstrecken. In diesem Fall erfolgt dann noch eine Strukturierung, insbesondere durch Lithographie und RIE, um die einzelnen Graphenfilme 16 als aktive Elemente mehrerer elektro-optischer Einrichtungen 16 zu erhalten.
  • Die Kontaktelemente 19 bzw. deren Lagen 19a bis 19d werden anschließend hergestellt, bevorzugt, indem eine (12) oder mehrere Lagen ( 13 bis 16) Metall vollflächig abgeschieden werden und dann eine Strukturierung mittels Lithographie und RIE erfolgt.
  • Auf die beschriebene Weise mit der Herstellungsreihenfolge erst die Graphenfilme 16 und dann Kontaktelemente 19 kann eine Kontaktierung erzielt werden, wie sie in den 12 bis 14 schematisch dargestellt ist.
  • Für die Kontaktierungsvarianten aus den 15 und 16 wird zunächst nur die untere Metalllage 19c bzw. 19a der Kontaktelemente 19 hergestellt, dann die Graphenfilme 16 und dann die weitere 19b, 19d bzw. die beiden weiteren Lagen 19a, 19b bzw. 19d, 19b. Dies kann ebenfalls über vollflächiges Abscheiden entsprechenden Metalls und anschließende Strukturierung mittels Lithographie und RIE erfolgen.
  • In einem vorletzten Schritt S9 wird die obere Passivierung 37 vorzugsweise aus Al2O3 und SiO2 abgeschieden. In dieser werden dann zweckmäßiger Weise abschließend mittels Lithografie und RIE Öffnungen insbesondere zu Kontaktelementen hergestellt (Schritt S10). Es werden bevorzugt Öffnungen zu Kontaktelementen hergestellt, die der Verbindung der Photonik und/oder Elektronik nach außen dienen.
  • Über die vorstehend beschriebenen Schritte kann eine Halbleitervorrichtung mit Streifenwellenleitern 12 und elektro-optischen Einrichtungen 15 gemäß 2 erhalten werden.
  • Soll eine Halbleitervorrichtung erhalten werden, die ausschließlich - oder auch zusätzlich - Bereiche aufweist, die wie in 4 dargestellt aufgebaut sind, also Rippenwellenleiter 12 umfassen, muss lediglich der Schritt S4 dahingehend variiert werden, dass seitlich der Segmente 12a nur bis zu einer geringeren Tiefe geätzt wird, damit seitlich der Segmente 12a noch Wellenleitermaterial stehen bleibt und die Segmente 12b, 12c erhalten werden, die die Streifenwellenleiter nicht aufweisen.
  • Zum Erhalt des Aufbaus gemäß 5 muss lediglich die weitere Planarisierungsschicht 13 abschnittsweise wieder entfernt werden, bevor die Rippenwellenleiter 12 hergestellt werden. Soll eine Halbleitervorrichtung erhalten werden, die an keiner Stelle eine weitere Planarisierungsschicht 13 aufweist, kann auf deren Herstellung natürlich auch vollständig verzichtet werden.
  • Zum Erhalt des Beispiels aus 6 wird auf der Oberseite der Planarisierungsschicht 10 zuerst das untere Wellenleitersegment 12c hergestellt, wobei die vorstehend beschriebenen Methoden, z.B. PECVD, zum Einsatz kommen können. Dann werden das aktive Element, vorliegend der Graphenfilm 16 und die Kontaktelemente 19 hergestellt, wobei sich die Reihenfolge wieder danach richtet, welches der in den 12 bis 16 gezeigten Kontaktierungsschemata gewählt wird. Dann wird die Passivierungsschicht 25 auf dem Graphenfilm 16 hergestellt (nur in den 12 bis 16 zu erkennen) und dann die beiden Segmente 12b und 12a und die Schicht 37.
  • Zum Erhalt der Anordnung gemäß 7 kann im Wesentlichen anlog vorgegangen werden, wobei lediglich der Schritt der Herstellung des Wellenleitersegmentes 12c wegfällt und der Graphenfilm 16 auf der Oberseite 11 der Planarisierungsschicht 10 vorgesehen wird.
  • Auch für Herstellung einer erfindungsgemäßen Halbleitervorrichtung, welche einen oder mehrere Modulatoren 15 als elektro-optische Einrichtungen umfasst, unterscheidet sich die Vorgehensweise teilweise von derjenigen, die vorstehend im Zusammenhang mit 2 beschrieben wurde.
  • Für das Beispiel gemäß 8 beispielsweise kann bis zur Herstellung der weiteren Planarisierungsschicht 13 und den VIAs 7 durch die Planarisierungsschicht 10 und diese 13 prinzipiell gleich vorgegangen werden, die Schritte S1 bis S6 können also identisch sein.
  • Die Herstellung des bzw. des jeweiligen Modulators 15 umfasst dann jedoch, dass auf der weiteren Planarisierungsschicht 13 zunächst der eine, untere Graphenfilm 16a als eines der beiden aktiven Elemente vorgesehen und an dessen einem, in 8 nach links weisenden Endbereich nur ein Kontaktelement 19 hergestellt wird. Die Herstellung kann genauso erfolgen, wie vorstehend im Zusammenhang mit 2 für den einen Graphenfilm 16 und die beiden Kontaktelemente 19 beschrieben.
  • Anschließend wird die dielektrische Schicht 17 vorgesehen, beispielsweise durch Abscheidung vorzugsweise von Aluminiumoxid. Es ist auch möglich, dass die dielektrische Schicht 17 durch ein Transferverfahren vorgesehen wird.
  • Dann wird der zweite, obere Graphenfilm 16b hergestellt und das zweite Kontaktelement 19 an dessen in der 6 nach rechts weisendem Endbereich. Die Herstellung kann wiederum genauso erfolgen, wie vorstehend im Zusammenhang mit 2 für den einen Graphenfilm 16 und die beiden Kontaktelemente 19 beschrieben.
  • Dann können die vorstehend beschriebenen Schritte S8 und S9 folgen, um die obere Passivierung 37 und die Öffnungen darin zu erhalten.
  • Für den Aufbau gemäß 10 können die Schritte S1 bis S6 ebenfalls identisch durchgeführt und dann die weitere Planarisierungsschicht 13 partiell wieder entfernt werden. Alternativ kann deren Herstellung, also der Schritt S5 entfallen und in Schritt S6 Nur VIAs durch die Planarisierungsschicht 10 hergestellt werden.
  • Dann wird auf der Oberseite 11 der Planarisierungsschicht 10 das Segment 12d, also die Wellenleiterbasis hergestellt, indem eine optisch transparente, vorzugsweise dielektische Schicht oder ein Halbleiter abgeschieden und mittels Lithographie und RIE strukturiert wird. Vorliegend wird TiO2 abgeschieden.
  • Auf der Oberseite der Wellenleiterbasis 12d wird der untere Graphenfilm 16a und anschließend das zu diesem gehörige Kontaktelement 19 hergestellt, darauf das Wellenleitersegment 12c, oberhalb dieses der obere Graphenfilm 16b mit zugehörigen Kontaktelement 19, darauf das Wellenleitersegment 12b und darauf das Wellenleitersegment 12a, das sich durch eine deutlich geringere Breite auszeichnet als die weiteren Segmente 12b, 12c, 12d. Das Material für das Wellenleitersegment 12b kann beispielsweise mittels ALD oder durch eine mittels CVD oder Transfer erhaltenen Chalkogenidschicht und ALD, und/oder einer mittels PVD hergestellten Schicht dielektrischen oder halbleitenden Materials hergestellt und mit Lithografie und RIE strukturiert werden. Im Anschluss wird das Segment 12a vorgesehen, wobei mittels ALD und/oder PVD und/oder PECVD und/oder LPCVD ein dielektrisches oder halbleitendendes Material und/oder eine durch CVD oder Transfer erhaltenen Dichalkogenidschicht vorgesehen und unter Anwendung von Lithografie und RIE strukturiert wird.
  • Die Graphenfilme 16a, 16b und Kontaktelemente 19 können genauso hergestellt werden, wie vorstehend im Zusammenhang mit 2 beschrieben.
  • Der obere Graphenfilm 16 erstreckt sich bei diesem Beispiel innerhalb des Wellenleiters 12.
  • Abschließend können die Schritte S9 und S10 durchgeführt werden, wiederum, um die Passivierungsschicht 37 und Öffnungen in dieser zu erhalten.
  • Um die Anordnung gemäß 11 zu erhalten kann überwiegend genauso vorgegangen werden, wie vorstehend im Zusammenhang mit 10 beschrieben, mit dem einzigen Unterschied, dass die Herstellung des in 10 untersten Wellenleitersegments 12d entfällt und der untere Graphenfilm 16a direkt auf der Oberseite 11 der Planarisierungsschicht 10 hergestellt wird.
  • Zum Erhalt der Anordnung gemäß 17 kann bis zur Fertigstellung der Planarisierungsschicht 10 (Schritte S1 bis S3) wieder gleich vorgegangen werden. Auf deren Oberseite 11 wird dann die Siliziumschicht 16 als aktives Element hergestellt. Dies kann wiederum eine Materialabscheidung, etwa über eines der vorgenannten Verfahren, beispielsweise ein CVD- oder PVD-Verfahren oder Aufschleudern, und eine anschließende Strukturierung (z.B. Lithographie und RIE) zum Erhalt der T-Form einschließen. Der erhaltene Rippenwellenleiter wird auf seiner einen Seite p- und auf seiner anderen Seite n-dotiert, um die Bereiche 16p und 16n zu erhalten. Hierdurch wird der pn-Übergang erhalten. Dann können die Kontaktelemente 19 hergestellt werden.
  • Für den in 18 gezeigten Modulator 15, der als sogenannter SISCAP ausgebildet ist, können die Schritte S1 bis S3 wieder identisch sein und dann werden die beiden jeweils ein aktives Element bildenden Siliziumschichten 16a und 16b hergestellt, was ebenfalls eine Materialabscheidung, etwa über eines der vorgenannten Verfahren, beispielsweise ein CVD- oder PVD-Verfahren oder Aufschleudern, und eine anschließende Strukturierung (z.B. Lithographie und RIE) einschließen kann, und die zugehörigen Kontaktelemente 19 hergestellt.
  • Für die 19 kann prinzipiell vorgegangen werden, wie bei 17, wobei dann zwischen den beiden Elementen 16a und 16b noch das Element 26 aus einem elektro-optischen Polymer hergestellt wird.
  • Zum Erhalt des Modulators 15 gemäß 20 können sie Schritte S1 bis S5 identisch sein, wie vorstehend im Zusammenhang mit 2 beschrieben. Auf der Oberseite 14 der weiteren Planarisierungsschicht 13 kann dann die erste Elektrode 28 mit zugehörigem Kontaktelement 19, dann die Diode 27 mit den Schichten 27a bis 27d und dann die zweite Elektrode 28 mit zugehörigem Kontaktelement 19 hergestellt werde, wobei dies jeweils eine Materialabscheidung und anschließende Strukturierung einschließen kann.
  • Bei allen Beispielen aus den 17 bis 20 kann abschließend kann die Schicht 37 in Analogie zu den verbleibenden Beispielen hergestellt werden.
  • Wie man dem Vorstehenden entnehmen kann, wird die photonische Plattform 8 direkt auf dem BEOL 6 des Wafers 1 hergestellt. Man kann auch sagen, dass sie monolithisch auf dem Wafer 1 hergestellt wurde bzw. eine monolithische Plattform 8 ist. Insbesondere die Schichten 10, 13, 37 und die Wellenleiter 12 werden direkt auf dem Wafer 1 hergestellt, indem jeweils entsprechendes Material auf das BEOL 6 des Wafers 1, bzw. darauf bereits hergestellte Schichten, aufgebracht wird. Es erfolgt keine separate Fertigung der Schichten 10, 13, 37 bzw. Wellenleiter 12 und anschließende Verbindung durch Bonding.
  • Es sei angemerkt, dass die vorstehend beschriebenen Verfahren zur Herstellung erfindungsgemäßer Halbleitervorrichtungen Ausführungsbeispiele des erfindungsgemäßen Verfahrens sind.
  • Nach der Fertigstellung einer erfindungsgemäßen Halbleitervorrichtung kann aus dieser auf einfache und schnelle Weise, konkret durch bloßes Dicen, mit anderen Worten Zerkleinern, eine Vielzahl von Chips mit integrierter Photonik erhalten werden.
  • Bei der in 1 dargestellten Halbleitervorrichtung kann ein Zerteilen, was beispielsweise ein (Laser-)Schneiden und/oder Sägen und/oder Brechen entlang der dargestellten, die Chipbereiche 4 definierenden Linien einschließt, erfolgen. Das Dicen kann prinzipiell auf beliebige, aus dem Stand der Technik vorbekannte Weise erfolgen, insbesondere wie gemäß dem Stand der Technik bei herkömmlichen Wafern 1.
  • Die 29 zeigt beispielhaft und rein schematisch drei durch ein solches Dicen erhaltene Chips mit integrierter Photonik in der Aufsicht. Diese stellen Ausführungsbeispiele erfindungsgemäßer Halbleitereinrichtungen 38 dar. Jede dieser Halbleitereinrichtungen 38 umfasst einen Chip 39, dessen Ausdehnung einem Chipbereich 4 des Wafers 1 entspricht, und einen darüber liegenden Abschnitt 40 der photonischen Plattform 8, dessen laterale Ausdehnung aufgrund des Dicens zumindest im Wesentlichen mit der lateralen Ausdehnung des darunter liegenden Chips 39 übereinstimmt. Der Chip 39 und der darüber liegende Abschnitt 40 der photonischen Plattform 8 können der rein schematischen Schnittdarstellung aus 30 entnommen werden.
  • Es sei angemerkt, dass in dieser stark vereinfachten Darstellung nur die beiden übereinander liegenden, durch den Chip 39 und die Photonik 40 definierten Bereiche angedeutet sind, jedoch keinen Schichten und Komponenten dieser.
  • Der Chip 39 umfasst u.a. eine Vielzahl von integrierten elektronischen Bauteilen 3, etwa Transistoren und/oder Kondensatoren und/oder Widerständen, die z.B. Teile eines Prozessors des Chips 39 sein können, und der Abschnitt 40 der photonischen Plattform 8 u.a. eine Vielzahl von elektro-optischen Einrichtungen 15, wie sie insbesondere den 2 bis 11, und 17 bis 23 entnommen werden können.
  • Die durch das Dicen einer erfindungsgemäßen Halbleitervorrichtung erhaltenen Halbleitereinrichtungen 38, die quasi jeweils einen Nacktchip mit monolithisch integrierter Photonik darstellen, können dann, wie es auch von herkömmlichen Nacktchips vorbekannt ist, in Gehäuse (englisch: Packages) eingesetzt und einer weiteren Nutzung zugeführt werden.
  • Der Abschnitt 40 der photonischen Plattform kann beispielsweise dazu dienen, elektrische Signale der integrierte elektrischen Bauteile des Chips 39 in optische Signal zu wandeln, so dass beispielsweise eine Kommunikation mit anderen Chips und/oder anderen integrierten elektronischen Bauteilen 4 des Einrichtung 38 auf optischem Wege erfolgen kann. Hierfür kann beispielsweise auf der einen Licht mit einem Modulator 15, der mit einem integrierten elektronischen Bauteil, etwa Transistor 4 gekoppelt ist, moduliert werden und das modulierte Lichtsignal kann beispielsweise von einem Photodetektor 15, der mit einem anderen integrierten elektronischen Bauteil, etwa Transistor 4 des gleichen oder eines anderen Chips verbunden ist, empfangen werden.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 2014/0264400 A1 [0007]
  • Zitierte Nicht-Patentliteratur
    • Integrating photonics with silicon nanoelectronics for the next generation of systems on a chip“ , Nature 556, Seiten 349-354 (2018), doi: 10.1038/s41586-018-0028-z [0006]
    • L. Banszerus et al, 2D Mater., Vol. 4, No. 2, 025030, 2017 [0039]
    • EN ISO 25178 [0040]
    • EN ISO 25178-6:2010-01 [0040]
    • Li et al., Science 324, 1312, (2009) [0101]
    • Bae et al, Nature Nanotech 5, 574-578 (2010) [0101]
    • U.a. für GaAs aus dem Aufsatz „Transfer print techniques for heterogeneous integration of photonic components‟, Progress in Quantum Electronics Volume 52, March 2017, Pages 1-17 [0101]
    • Ma et al., „Plasmonically Enhanced Graphene Photodetector Featuring 100 Gbit/s Data Reception, High Responsivity, and Compact Size‟, ACS Photonics 2019, 6, Seiten 154 bis 161 (2018) [0115]
    • Koos et al., Journal of Lightwave Technology, Vol. 34, No. 2, 2016 [0118]
    • „ Ultra-compact integrated graphene plasmonic photodetectorwith bandwidth above 110 GHz‟ von Ding, Y., Cheng, Z., Zhu, X., et al. (2019) [0119]
    • „Efficient electro-optic modulation in low-loss graphene-plasmonic slot waveguides“ von Ding et al., Nanoscale, 2017, 9, 15576 [0119]
    • M. Webster et el., 11th International Conference on Group IV Photonics (GFP), Paris, 2014, pp. 1-2. doi: 10.1109/Group4.2014.6961998 [0120, 0198]
    • III-V Halbleiter Modulator handeln, wie er in dem Aufsatz „Heterogeneously integrated III- V/Si MOS capacitor Mach- Zehnder modulator‟ von Hiaki, Nature Photonics volume 11, pages 482- 485 (2017) [0121]
    • Aufbau und der Funktionsweise von Gitterkopplern sei auch auf den Aufsatz „CMOS-compatible high efficiency double-etched apodized waveguide grating coupler‟, Optics Express 21, 7868-7874, 2013 [0139]
    • Aufbau und der Funktionsweise von Gitterkopplern sei auch auf den Aufsatz „Ultra-Iow-Ioss inverted taper coupler for silicon-on-insulator ridge waveguide‟, Optics Communications Volume 283, Issue 19, Oktober 2010, Seiten 3678-3682 [0143]
    • vgl. auch Ma et al., „ Plasmonically Enhanced Graphene Photodetector Featuring 100 Gbit/s Data Reception, High Responsivity, and Compact Size‟, ACS Photonics 2019, 6, Seiten 154 bis 161 (2018)) [0203]
    • vgl. auch die Publikation „Silicon-Organic Hybrid (SOH) and Plasmonic-Organic Hybrid (POH) Integration‟, von Koos et al., Journal of Lightwave Technology, Vol. 34, No. 2, 2016 [0205]

Claims (27)

  1. Halbleitervorrichtung umfassend einen Wafer (1) mit einem bevorzugt einteiligen Halbleiter-, insbesondere Siliziumsubstrat (2) und wenigstens einem integrierten elektronischen Bauteil (3), das sich in und/oder auf dem Halbleitersubstrat (2) erstreckt, wobei der Wafer (1) ein das oder wenigstens eines der integrierten elektronischen Bauteile (3) umfassendes Front-End-of-Line (5) und ein darüber liegendes Back-End-of-Line (6) aufweist, und eine auf der von dem Front-End-of-Line (5) abgewandten Oberseite (9) des Wafers (1) hergestellte photonische Plattform (8), die wenigstens einen Wellenleiter (12) und wenigstens eine elektro-optischen Einrichtung (15), insbesondere wenigstens einen Photodetektor und/oder wenigstens einen elektro-optischen Modulator, aufweist, wobei die oder wenigstens eine der elektro-optischen Einrichtungen (15) der photonischen Plattform (8) mit dem oder wenigstens einem der integrierten elektronischen Bauteile (3) des Wafers (1) verbunden ist.
  2. Halbleitervorrichtung nach Anspruch 1, dadurch gekennzeichnet, dass das Back-End-of-Line (6) des Wafers (1) und die photonische Plattform (8) Verbindungselemente (7) aufweisen, über welche das oder wenigstens eines der integrierten elektronischen Bauteile (3) des Wafers (1) mit der oder wenigstens einer der elektro-optischen Einrichtungen (15) der photonischen Plattform (8) verbunden ist.
  3. Halbleitervorrichtung nach Anspruch 1 oder 2, dadurch gekennzeichnet, dass die photonische Plattform (8) auf der von dem Front-End-of-Line (5) abgewandten Oberseite (9) des Wafers (1) abgeschiedenes Material umfasst.
  4. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die photonische Plattform (8) eine insbesondere auf der von dem Front-End-of-Line (5) abgewandten Oberseite (9) des Wafers (1) hergestellte Planarisierungsschicht (10) aus einem dielektrischen Material aufweist, und bevorzugt der oder wenigstens einer der Wellenleiter auf der von dem Wafer (1) abgewandten Oberseite (11) der Planarisierungsschicht (12) hergestellt ist.
  5. Halbleitervorrichtung nach Anspruch 3 und 4, dadurch gekennzeichnet, dass die Planarisierungsschicht (10) eine durch Abscheidung, insbesondere chemische Gasphasenabscheidung, bevorzugt Niederdruck chemische Gasphasenabscheidung, und/oder plasmaunterstütze chemische Gasphasenabscheidung und/oder durch physikalische Gasphasenabscheidung und/oder Atomlagenabscheidung wenigstens eines Beschichtungsmaterials auf der vom Front-End-of-Line (5) abgewandten Oberseite (9) des Wafers (1) und bevorzugt anschließendes Bearbeiten des abgeschiedenen Materials auf der vom Wafer (1) abgewandten Oberseite (11) mittels chemisch-mechanischen Polierens und/oder mittels Resistplanarisierung erhaltene Schicht ist, und/oder dass sich die Planarisierungsschicht (10) an ihrer vom Wafer (1) abgewandten Oberseite (11) durch eine Rauheit von weniger als 2,0 nm RMS, bevorzugt weniger als 1,0 nm RMS, besonders bevorzugt weniger als 0,3 nm RMS auszeichnet, und/oder dass die Planarisierungsschicht (10) Spin on Glass und/oder wenigstens ein Polymer und/oder wenigstens ein Oxid, insbesondere Siliziumdioxid, und/oder wenigstens ein Nitrid umfasst oder daraus besteht.
  6. Halbleitervorrichtung nach einem der Ansprüche 3 bis 5, dadurch gekennzeichnet, dass die photonische Plattform (8) wenigstes eine weitere Planarisierungsschicht (13) umfasst, wobei die oder wenigstens eine der weiteren Planarisierungsschichten (13) bevorzugt aus dem gleichen Material gefertigt ist wie die Planarisierungsschicht (10).
  7. Halbleitervorrichtung nach Anspruch 6, dadurch gekennzeichnet, dass die oder wenigstens eine der weiteren Planarisierungsschichten (13) eine durch Abscheidung, insbesondere chemische Gasphasenabscheidung, bevorzugt Niederdruck chemische Gasphasenabscheidung und/oder plasmaunterstütze chemische Gasphasenabscheidung, und/oder durch physikalische Gasphasenabscheidung und/oder Atomlagenabscheidung wenigstens eines Beschichtungsmaterials auf der vom Front-End-of-Line (5) abgewandten Oberseite (9) des Wafers (1) und bevorzugt anschließendes Bearbeiten des abgeschiedenen Materials auf der vom Wafer (1) abgewandten Oberseite (14) mittels chemisch-mechanischen Polierens und/oder mittels Resistplanarisierung erhaltene Schicht ist, und/oder dass sich die oder wenigstens eine der weiteren Planarisierungsschichten (13) an ihrer vom Wafer (1) abgewandten Oberseite (14) durch eine Rauheit von weniger als 2,0 nm RMS, bevorzugt weniger als 1,0 nm RMS, besonders bevorzugt weniger als 0,3 nm RMS auszeichnet, und/oder dass die oder wenigstens eine der weiteren Planarisierungsschichten (13) Spin on Glass und/oder wenigstens ein Polymer und/oder wenigstens ein Oxid, insbesondere Siliziumdioxid, und/oder wenigstens ein Nitrid umfasst oder daraus besteht.
  8. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass der wenigstens eine Wellenleiter (12) Titandioxid und/oder Aluminiumnitrid und/oder Tantalpentoxid und/oder Siliziumnitrid und/oder Aluminiumoxid und/oder Siliziumoxynitrid und/oder Lithiumniobat und/oder Silizium, insbesondere Polysilizium, und/oder Indiumphosphit und/oder Galliumarsenid und/oder Indiumgalliumarsenid und/oder Aluminiumgalliumarsenid und/oder wenigstens ein Dichalkogenid, insbesondere zweidimensionales Übergangsmetall-Dichalkogenid, und/oder Chalkogenidglas und/oder Harze bzw. Harz enthaltende Materialien, insbesondere SU8, und/oder Polymere bzw. Polymere enthaltende Materialien, insbesondere OrmoComp, umfasst oder daraus besteht.
  9. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die photonische Plattform (8) mehrere Wellenleiter (12) aufweist, wobei bevorzugt sich zumindest zwei Wellenleiter (12) zumindest abschnittsweise übereinander erstrecken.
  10. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Halbleitervorrichtung, insbesondere die photonische Plattform (8) wenigstens eine Koppeleinrichtung (20) umfasst, die wenigstens einem der Wellenleiter (12) zugeordnet ist, wobei die wenigstens eine Koppeleinrichtung (32) bevorzugt der Einkopplung elektromagnetischer Strahlung in den wenigstens einen zugeordneten Wellenleiter (12), und/oder der Auskopplung elektromagnetischer Strahlung aus dem wenigstens einen zugeordneten Wellenleiter (12) dient.
  11. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die oder wenigstens eine der elektro-optischen Einrichtungen (15) wenigstens ein aktives Element (16, 16a, 16b) mit oder aus wenigstens einem Material, das elektromagnetische Strahlung wenigstens einer Wellenlänge absorbiert und infolge der Absorption ein elektrisches Photosignal erzeugt, und/oder dessen Brechungsindex sich in Abhängigkeit einer Spannung und/oder dem Vorhandensein von Ladung und/oder einem elektrischen Feld ändert, umfasst.
  12. Halbleitervorrichtung nach Anspruch 11, dadurch gekennzeichnet, dass die oder wenigstens eine der elektro-optischen Einrichtungen durch einen Modulator (15) gegeben ist, der ein aktives Element (16a) mit oder aus wenigstens einem Material, dessen Brechungsindex sich in Abhängigkeit einer Spannung und/oder dem Vorhandensein von Ladung und/oder einem elektrischen Feld ändert, insbesondere Graphen, und/oder wenigstens ein Dichalkogenid, insbesondere zweidimensionales Übergangs-Dichalkogenid, und/oder Heterostrukturen aus zweidimensionalen Materialien und/oder Germanium und/oder Lithiumniobad und/oder wenigstens ein elektro-optischer Polymer und/oder Silizium und/oder wenigstens ein Verbindungshalbleiter, insbesondere wenigsten ein III-V-Halbleiter und/oder wenigstens ein II-VI-Halbleiter, und ein weiteres aktives Element (16a) mit oder aus wenigstens einem Material, dessen Brechungsindex sich in Abhängigkeit einer Spannung und/oder dem Vorhandensein von Ladung und/oder einem elektrischen Feld ändert, insbesondere Graphen, und/oder wenigstens ein Dichalkogenid, insbesondere zweidimensionales Übergangs-Dichalkogenid, und/oder Heterostrukturen aus zweidimensionalen Materialien und/oder Germanium und/oder Lithiumniobad und/oder wenigstens ein elektro-optischer Polymer und/oder Silizium und/oder wenigstens ein Verbindungshalbleiter, insbesondere wenigsten ein III-V-Halbleiter und/oder wenigstens ein II-VI-Halbleiter, oder eine Elektrode umfasst, wobei die beiden aktiven Elemente (16a, 16b) bzw. das aktive Element und die Elektrode bevorzugt voneinander beabstandet und/oder derart versetzt zueinander angeordnet sind, dass sie abschnittsweise übereinander liegen.
  13. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die oder wenigstens eine der elektro-optischen Einrichtungen durch einen Photodetektor (15) gegeben ist, der ein, bevorzugt genau ein aktives Element (16) mit oder aus wenigstens einem Material, das elektromagnetische Strahlung wenigstens einer Wellenlänge absorbiert und infolge der Absorption ein elektrisches Photosignal erzeugt, insbesondere Graphen und/oder wenigstens ein Dichalkogenid, insbesondere zweidimensionales Übergangs-Dichalkogenid, und/oder Heterostrukturen aus zweidimensionalen Materialien und/oder Germanium und/oder Silizium und/oder wenigstens ein Verbindungshalbleiter, insbesondere wenigsten ein III-V-Halbleiter und/oder wenigstens ein II-VI-Halbleiter, umfasst.
  14. Halbleitervorrichtung nach einem der Ansprüche 11 bis 13, dadurch gekennzeichnet, dass auf oder oberhalb des oder wenigstens eines der aktiven Elemente (16, 16a, 16b) wenigstens eine plasmonische Struktur (29) aus oder mit einem plasmonisch aktiven Material, bevorzugt Gold und/oder Silber und/oder Aluminium und/oder Kupfer, vorgesehen ist, wobei die plasmonische Struktur (29) bevorzugt wenigstens ein Paar von nebeneinander angeordneten plasmonischen Elementen (30) aus oder mit dem plasmonisch aktiven Material umfasst, die sich bevorzugt durch einen sich in Richtung des jeweils anderen plasmonischen Elementes (30) verjüngende Abschnitt auszeichnen.
  15. Halbleitervorrichtung nach Anspruch 13, dadurch gekennzeichnet, dass an wenigstens einer Seite des oder wenigstens einen aktiven Elementes (16, 16a, 16b) ein Wellenleiter (12) mit einem sich in Richtung des aktiven Elementes verjüngenden, bevorzugt in einer Spitze auslaufenden Endabschnitt (31) vorgesehen ist, wobei sich der verjüngende Endabschnitt (31) bevorzugt bis an das oder das wenigstens eine aktive Element (16, 16a, 16b) erstreckt, und/oder wobei zu zwei Seiten des sich verjüngenden Abschnitts (31) jeweils ein Kontaktelement (19) vorgesehen ist, das mit dem oder dem wenigstens einen aktiven Element (16, 16a, 16b) verbunden ist und welches einen neben dem sich verjüngenden Endabschnitt (31) des Wellenleiters (12) liegenden, sich in entgegengesetzter Richtung verjüngenden Abschnitt (19a) aufweist.
  16. Halbleitervorrichtung nach Anspruch 15, dadurch gekennzeichnet, dass zu zwei Seiten des oder des wenigstens einen aktiven Elementes (16, 16a, 16b) jeweils ein Wellenleiter (12) mit einem sich in Richtung des oder des wenigstens einen aktiven Elementes (16, 16a, 16b) verjüngenden, bevorzugt in einer Spitze auslaufenden Endabschnitt (31) vorgesehen ist, wobei sich der jeweilige verjüngende Endabschnitt (31) bevorzugt bis an das oder das wenigstens eine aktive Element (16, 16a, 16b) erstreckt, und/oder wobei zu zwei Seiten des jeweiligen sich verjüngenden Abschnitts (31) jeweils ein Kontaktelement (19) vorgesehen ist, das mit dem oder dem wenigstens einen aktiven Element (16, 16a, 16b) verbunden ist und welches einen neben dem jeweiligen sich verjüngenden Endabschnitt (31) des jeweiligen Wellenleiters (12) liegenden, sich in entgegengesetzter Richtung verjüngenden Abschnitt (19a) aufweist.
  17. Verfahren zum Herstellen einer Halbleitervorrichtung, umfassend die Schritte - ein Wafer (1) mit einem bevorzugt einteiligen Halbleiter-, insbesondere Siliziumsubstrat (2) und wenigstens einem integrierten elektronischen Bauteil (3 , das sich in und/oder auf dem Halbleitersubstrat (2) erstreckt, wird bereitgestellt, wobei der Wafer (1) ein das oder wenigstens eines der integrierten elektronischen Bauteile (3) umfassendes Front-End-of-Line (5) und ein darüber liegendes Back-End-of-Line (6) aufweist, - es wird eine photonische Plattform (8) auf der von dem Front-End-of-Line (5) abgewandten Oberseite (9) des Wafers (1) hergestellt, die wenigstens einen Wellenleiter (12) und wenigstens eine elektro-optischen Einrichtung (15), insbesondere wenigstens ein Photodetektor und/oder wenigstens ein elektro-optischer Modulator, umfasst.
  18. Verfahren nach Anspruch 17, dadurch gekennzeichnet, dass das Back-End-of-Line (6) des breitgestellten Wafers (1) Verbindungselemente (7) aufweist, die mit dem oder wenigstens einem der integrierten elektronischen Bauteile (3) des Front-End-of-Line (5) verbunden sind, und in der photonischen Plattform (8) Verbindungselemente (7) hergestellt werden, die einerseits mit den Verbindungselementen (7) des Back-End-of-Line (6) und andererseits mit der oder wenigstens einer der elektro-optischen Einrichtungen (15) verbunden sind.
  19. Verfahren nach Anspruch 17 oder 18, dadurch gekennzeichnet, dass die Herstellung der photonischen Plattform (8) einschließt, dass auf der von dem Front-End-of-Line (5) abgewandten Oberseite (9) des Wafers (1) Material abgeschieden wird.
  20. Verfahren nach einem der Ansprüche 17 bis 19, dadurch gekennzeichnet, dass die Herstellung der photonischen Plattform (8) einschließt, dass insbesondere auf der von dem Front-End-of-Line (5) abgewandten Oberseite (9) des Wafers (1) eine Planarisierungsschicht (10) aus einem dielektrischen Material hergestellt wird, und bevorzugt der oder wenigstens einer der Wellenleiter (12) auf der von dem Wafer (1) abgewandten Oberseite (11) der Planarisierungsschicht (10) hergestellt wird.
  21. Verfahren nach Anspruch 20, dadurch gekennzeichnet, dass die Herstellung der Planarisierungsschicht (10) einschließt, dass ein Beschichtungsmaterial auf die Oberseite (9) des Wafers (1) aufgebracht, insbesondere abgeschieden und das Beschichtungsmaterial anschließend zumindest an seiner von dem Wafer (1) abgewandten Oberseite (11) einer Planarisierungsbehandlung unterzogen, insbesondere chemisch-mechanisch poliert und/oder über Resist-planarisiert wird, bevorzugt derart, dass eine Rauheit der Oberseite von weniger als 2,0 nm, bevorzugt weniger als 1,0 nm RMS, besonders bevorzugt weniger als 0,3 nm RMS erhalten wird.
  22. Verfahren nach einem der Ansprüche 20 oder 21, dadurch gekennzeichnet, dass wenigstens eine weitere Planarisierungsschicht (13) bevorzugt im Anschluss an die Herstellung des wenigstens einen Wellenleiters (12) hergestellt wird, wobei die Herstellung der weiteren Planarisierungsschicht (13) bevorzugt einschließt, dass ein Beschichtungsmaterial auf die von dem Wafer (1) abgewandte Oberseite (11) der Planarisierungsschicht (10) und/oder des wenigstens einen Wellenleiters (12) aufgebracht, insbesondere abgeschieden und das Beschichtungsmaterial anschließend zumindest an seiner von dem Wafer (1) abgewandten Oberseite (14) einer Planarisierungsbehandlung unterzogen, insbesondere chemisch-mechanisch poliert und/oder Resist-planarisiert wird, bevorzugt derart, dass eine Rauheit der Oberseite von weniger als 2,0 nm, bevorzugt weniger 1,0 nm RMS, besonders bevorzugt weniger als 0,3 nm RMS erhalten wird.
  23. Verfahren nach einem der Ansprüche 20 bis 22, dadurch gekennzeichnet, dass die Herstellung der Planarisierungsschicht (10) und/oder der weiteren Planarisierungsschicht (13) einschließt, dass im Anschluss an die Planarisierungsbehandlung ein weiteres Beschichtungsmaterial auf die behandelte Oberseite aufgebracht wird.
  24. Verfahren nach einem der Ansprüche 20 bis 23, dadurch gekennzeichnet, dass die Herstellung des wenigstens einen Wellenleiters (12) einschließt, dass ein Wellenleitermaterial insbesondere auf die Oberseite (11) der Planarisierungsschicht (10) aufgebracht, bevorzugt auf diese abgeschieden oder aufgeschleudert oder transferiert wird, und anschließend bevorzugt eine Strukturierung des aufgebrachten Wellenleitermaterials insbesondere mittels Lithografie und/oder reaktivem lonenätzen durchgeführt wird.
  25. Verfahren nach einem der Ansprüche 17 bis 24, dadurch gekennzeichnet, dass für den oder wenigstens einen Wellenleiter (12) wenigstens eine Koppeleinrichtung (32) hergestellt wird, die der Einkopplung elektromagnetischer Strahlung in den wenigstens einen Wellenleiter (12) und/oder der Auskopplung elektromagnetischer Strahlung aus dem wenigstens einen Wellenleiter (12) dient.
  26. Verfahren zur Herstellung wenigstens einer Halbleitereinrichtung (38), bei dem eine Hableitervorrichtung nach einem der Ansprüche 1 bis 16 bereitgestellt und zerteilt wird.
  27. Halbleitereinrichtung (38), die durch Zerteilen einer Halbleitervorrichtung nach einem der Ansprüche 1 bis 16 erhalten wurde.
DE102020102534.3A 2020-01-31 2020-01-31 Halbleitervorrichtung und Halbleitereinrichtung sowie Verfahren zur Herstellung solcher Pending DE102020102534A1 (de)

Priority Applications (8)

Application Number Priority Date Filing Date Title
DE102020102534.3A DE102020102534A1 (de) 2020-01-31 2020-01-31 Halbleitervorrichtung und Halbleitereinrichtung sowie Verfahren zur Herstellung solcher
US17/796,369 US20230123602A1 (en) 2020-01-31 2020-12-21 Semiconductor apparatus and semiconductor device, and method of producing the same
EP20829172.4A EP4097520A1 (de) 2020-01-31 2020-12-21 Halbleitervorrichtung und halbleitereinrichtung sowie verfahren zur herstellung solcher
JP2022547027A JP2023512099A (ja) 2020-01-31 2020-12-21 半導体装置および半導体デバイスとその生産方法
PCT/EP2020/087445 WO2021151594A1 (de) 2020-01-31 2020-12-21 Halbleitervorrichtung und halbleitereinrichtung sowie verfahren zur herstellung solcher
CN202080095177.8A CN115039003A (zh) 2020-01-31 2020-12-21 半导体装置和半导体器件及其制造方法
CA3169253A CA3169253A1 (en) 2020-01-31 2020-12-21 Semiconductor apparatus and semiconductor device and method of producing the same
KR1020227025950A KR20220126734A (ko) 2020-01-31 2020-12-21 반도체 장치와 반도체 디바이스 및 이의 제조 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102020102534.3A DE102020102534A1 (de) 2020-01-31 2020-01-31 Halbleitervorrichtung und Halbleitereinrichtung sowie Verfahren zur Herstellung solcher

Publications (1)

Publication Number Publication Date
DE102020102534A1 true DE102020102534A1 (de) 2021-08-05

Family

ID=74175810

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020102534.3A Pending DE102020102534A1 (de) 2020-01-31 2020-01-31 Halbleitervorrichtung und Halbleitereinrichtung sowie Verfahren zur Herstellung solcher

Country Status (8)

Country Link
US (1) US20230123602A1 (de)
EP (1) EP4097520A1 (de)
JP (1) JP2023512099A (de)
KR (1) KR20220126734A (de)
CN (1) CN115039003A (de)
CA (1) CA3169253A1 (de)
DE (1) DE102020102534A1 (de)
WO (1) WO2021151594A1 (de)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023162526A1 (ja) * 2022-02-28 2023-08-31 株式会社村田製作所 光学用積層体及び光学素子

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140264400A1 (en) 2011-12-06 2014-09-18 Cornell University Integrated multi-chip module optical interconnect platform
CN106199837A (zh) 2016-07-27 2016-12-07 电子科技大学 一种基于氟化物波导或硫系玻璃波导的石墨烯中红外光路由器
CN106990563A (zh) 2017-06-02 2017-07-28 电子科技大学 基于石墨烯微带线行波电极的环形谐振腔光调制器

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105842782B (zh) * 2016-05-05 2019-04-16 湖南大学 一种采用石墨烯光电器件的单片光电集成电路

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140264400A1 (en) 2011-12-06 2014-09-18 Cornell University Integrated multi-chip module optical interconnect platform
CN106199837A (zh) 2016-07-27 2016-12-07 电子科技大学 一种基于氟化物波导或硫系玻璃波导的石墨烯中红外光路由器
CN106990563A (zh) 2017-06-02 2017-07-28 电子科技大学 基于石墨烯微带线行波电极的环形谐振腔光调制器

Non-Patent Citations (17)

* Cited by examiner, † Cited by third party
Title
„Efficient electro-optic modulation in low-loss graphene-plasmonic slot waveguides" von Ding et al., Nanoscale, 2017, 9, 15576
„Ultra-compact integrated graphene plasmonic photodetectorwith bandwidth above 110 GHz‟ von Ding, Y., Cheng, Z., Zhu, X., et al. (2019)
Aufbau und der Funktionsweise von Gitterkopplern sei auch auf den Aufsatz „CMOS-compatible high efficiency double-etched apodized waveguide grating coupler‟, Optics Express 21, 7868-7874, 2013
Aufbau und der Funktionsweise von Gitterkopplern sei auch auf den Aufsatz „Ultra-Iow-Ioss inverted taper coupler for silicon-on-insulator ridge waveguide‟, Optics Communications Volume 283, Issue 19, Oktober 2010, Seiten 3678-3682
Bae et al, Nature Nanotech 5, 574-578 (2010)
EN ISO 25178
EN ISO 25178-6:2010-01
III-V Halbleiter Modulator handeln, wie er in dem Aufsatz „Heterogeneously integrated III- V/Si MOS capacitor Mach- Zehnder modulator‟ von Hiaki, Nature Photonics volume 11, pages 482- 485 (2017)
Integrating photonics with silicon nanoelectronics for the next generation of systems on a chip" , Nature 556, Seiten 349-354 (2018), doi: 10.1038/s41586-018-0028-z
Koos et al., Journal of Lightwave Technology, Vol. 34, No. 2, 2016
L. Banszerus et al, 2D Mater., Vol. 4, No. 2, 025030, 2017
Li et al., Science 324, 1312, (2009)
M. Webster et el., 11th International Conference on Group IV Photonics (GFP), Paris, 2014, pp. 1-2. doi: 10.1109/Group4.2014.6961998
Ma et al., „Plasmonically Enhanced Graphene Photodetector Featuring 100 Gbit/s Data Reception, High Responsivity, and Compact Size‟, ACS Photonics 2019, 6, Seiten 154 bis 161 (2018)
U.a. für GaAs aus dem Aufsatz „Transfer print techniques for heterogeneous integration of photonic components‟, Progress in Quantum Electronics Volume 52, March 2017, Pages 1-17
vgl. auch die Publikation „Silicon-Organic Hybrid (SOH) and Plasmonic-Organic Hybrid (POH) Integration‟, von Koos et al., Journal of Lightwave Technology, Vol. 34, No. 2, 2016
vgl. auch Ma et al., „Plasmonically Enhanced Graphene Photodetector Featuring 100 Gbit/s Data Reception, High Responsivity, and Compact Size‟, ACS Photonics 2019, 6, Seiten 154 bis 161 (2018))

Also Published As

Publication number Publication date
CN115039003A (zh) 2022-09-09
EP4097520A1 (de) 2022-12-07
KR20220126734A (ko) 2022-09-16
JP2023512099A (ja) 2023-03-23
CA3169253A1 (en) 2021-08-05
US20230123602A1 (en) 2023-04-20
WO2021151594A1 (de) 2021-08-05

Similar Documents

Publication Publication Date Title
DE69434745T2 (de) Verfahren zur Herstellung eines Aggregats von Mikro-Nadeln aus Halbleitermaterial und Verfahren zur Herstellung eines Halbleiterbauelements mit einem solchen Aggregat
DE112017000319B4 (de) Integrierter einphotonenumsetzer zum umsetzen von mikrowellen- auf optische signale mit spannungsinduziertem elektrooptischem material
EP0524219B1 (de) Halbleiterelement mit einer silizium-schicht
DE69212427T2 (de) Integrierter elektro-optischer Modulator und dessen Herstellungsverfahren
DE102014119717B4 (de) Integrierte optoelektronische Vorrichtung und System mit Wellenleiter und Herstellungsverfahren derselben
DE102021106176A1 (de) In-situ-kappe für germanium-fotodetektor
EP4118486A1 (de) Photodetektor, modulator, halbleitereinrichtung und halbleitervorrichtung
DE102020102533A1 (de) Verfahren zur Herstellung einer elektro-optischen Einrichtung, elektro-optische Einrichtung, Halbleitereinrichtung und Halbleitervorrichtung
EP3704536B1 (de) Wellenleiter-bauelement
DE102020102534A1 (de) Halbleitervorrichtung und Halbleitereinrichtung sowie Verfahren zur Herstellung solcher
DE60107581T2 (de) Vielfachsegmentierter integrierter laser und herstellungsverfahren
DE102022107217A1 (de) Schaltbare Polarisationsrotatoren
DE202020104362U1 (de) Elektro-optische Einrichtung, Halbleitereinrichtung und Halbleitervorrichtung, elektro-optische Anordnung und Verwendung
DE102021122930A1 (de) Mit einem oder mehreren luftspalten integrierte gitterkoppler
EP3149778A1 (de) Plasmonisches bauteil und plasmonischer photodetektor sowie deren herstellungsverfahren
DE112016000832B4 (de) Verfahren zur Strukturierung einer Nitridschicht, optoelektronisches Bauelement und Ätzverfahren zum Ätzen von Schichten
DE10260378A1 (de) Optoelektronisches Bauelement mit einer Pulserzeugungseinrichtung
DE102023102574A1 (de) Photonic device and methods of forming same
DE112022002427T5 (de) Fotodetektor und Verfahren zum Bilden davon
DE112022002328T5 (de) Elektrooptischer Modulator und Verfahren zu dessen Bildung
DE102023107753A1 (de) Lichtablenkstruktur zum verbessern der optischen kopplung
DE19639909A1 (de) Anordnung aus einem integrierten streifenartigen optischen Rippenwellenleiter und einer optischen an den Wellenleiter gekoppelten integrierten Photodiode
DE10113253A1 (de) Photonische Kristallstruktur und Verfahren zum Herstellen einer photonischen Kristallstruktur

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R081 Change of applicant/patentee

Owner name: BLACK SEMICONDUCTOR GMBH, DE

Free format text: FORMER OWNER: ANMELDERANGABEN UNKLAR / UNVOLLSTAENDIG, 80297 MUENCHEN, DE

R016 Response to examination communication
R081 Change of applicant/patentee

Owner name: BLACK SEMICONDUCTOR GMBH, DE

Free format text: FORMER OWNER: GESELLSCHAFT FUER ANGEWANDTE MIKRO- UND OPTOELEKTRONIK MIT BESCHRAENKTER HAFTUNG - AMO GMBH, 52074 AACHEN, DE