EP1576650A2 - Verfahern zur mikroherstellung von strukturen unter verwendung von silizium-auf-isolator-material - Google Patents

Verfahern zur mikroherstellung von strukturen unter verwendung von silizium-auf-isolator-material

Info

Publication number
EP1576650A2
EP1576650A2 EP03754388A EP03754388A EP1576650A2 EP 1576650 A2 EP1576650 A2 EP 1576650A2 EP 03754388 A EP03754388 A EP 03754388A EP 03754388 A EP03754388 A EP 03754388A EP 1576650 A2 EP1576650 A2 EP 1576650A2
Authority
EP
European Patent Office
Prior art keywords
layer
substrate
soi wafer
etch
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP03754388A
Other languages
English (en)
French (fr)
Other versions
EP1576650A3 (de
EP1576650A4 (de
Inventor
William D. Sawyer
Jeffrey T. Borenstein
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Charles Stark Draper Laboratory Inc
Original Assignee
Charles Stark Draper Laboratory Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Charles Stark Draper Laboratory Inc filed Critical Charles Stark Draper Laboratory Inc
Publication of EP1576650A2 publication Critical patent/EP1576650A2/de
Publication of EP1576650A3 publication Critical patent/EP1576650A3/de
Publication of EP1576650A4 publication Critical patent/EP1576650A4/de
Withdrawn legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00555Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
    • B81C1/00563Avoid or control over-etching
    • B81C1/00579Avoid charge built-up
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C3/00Assembling of devices or systems from individually processed components
    • B81C3/001Bonding of two components

Definitions

  • the invention relates generally to MicroElectroMechanical Systems (MEMS), in particular, to methods for microfabricating MEMS devices on Silicon-On-Insulator (SOI) wafers.
  • MEMS MicroElectroMechanical Systems
  • SOI Silicon-On-Insulator
  • Prior methods for fabricating MEMS devices using a bonded handle wafer include the dissolved wafer process, in which silicon is bonded to glass and the silicon is dissolved away to reveal an etch-stop layer.
  • This etch-stop layer typically comprises a heavily-doped boron-diffused or boron-doped epitaxial layer, but may also consist of a SiGe alloy layer.
  • the principal constraint involves the problems encountered when performing deep reactive-ion-etching (RIE) of the silicon device layer on top of the oxide interlayer; the RIE process tends to attack the underside of the silicon device layer due to charging of the dielectric layer. Steps have been taken by RIE equipment vendors to resolve this problem, and such methods have mitigated these etch effects.
  • RIE deep reactive-ion-etching
  • the invention provides a general fabrication method for producing MicroElectroMechanical Systems (MEMS) and related devices using Silicon-On-Insulator (SOI) material.
  • the method includes providing a Silicon-On- Insulator (SOI) wafer, which has (i) a handle layer, (ii) a dielectric layer, which preferably is a SiO 2 layer, and (iii) a device layer, wherein a mesa etch has been made on the device layer, providing a substrate (such as glass or silicon), where a pattern has been etched onto the substrate, bonding the SOI wafer to the substrate, whereby the etched device layer faces the patterned surface of the substrate, removing the handle layer of the SOI wafer, removing the dielectric layer of the SOI wafer, and etching the device layer of the SOI wafer to define the MEMS device.
  • SOI Silicon-On- Insulator
  • BESOI Bonded and Etch Back Silicon- On-Insulator
  • the structure etching is performed after the SiO 2 layer is removed, so that when the handle layer is removed by wet or dry etching, the SiO 2 layer is supported by the device layer, thus the SiO 2 layer can function as an etch stop, and no chemicals penetrate the SiO 2 layer to the device region to damage the device when the SOI wafer handle Si is removed.
  • the substrate is etched with a predetermined pattern, and a metal layer is deposited and metal runners are formed on the patterned substrate.
  • a second SOI wafer is provided to be used as a substrate.
  • the highly doped device layer of the second SOI wafer is etched and patterned to form electrically conductive Silicon lines, which take the place of metal lines.
  • the patterned device layer of the first SOI wafer is then bonded to the patterned device layer of the second SOI wafer. Then, the handle layer and the dielectric layer of the first SOI wafer are removed, and the device layer of the first SOI wafer is further etched to define the MEMS device.
  • the method of the invention provides (1) the ability to micromachine devices on SOI substrates without design constraints for structure spacing, etch gaps, oxide thickness or other features, and (2) a flexibility for handle wafer type and bonding process.
  • This invention also addresses several of the previous barriers to general use of SOI material for MEMS and associated applications.
  • the invention enables the use of SOI wafers to build a wide array of device types that were previously only feasible using standard boron etch stop technology.
  • the method allows for the use of RIE etch technology to produce high-quality structures on devices bonded everywhere to a silicon dioxide buried layer.
  • the invention relieves all of the design constraints previously required for SOI structures, including the spacing between structural elements, spacing between the device and the edge of the die, and special requirements for atmospheric conditions during bonding of SOI wafers to handle wafers.
  • the invention also provides intermediate structures in the general fabrication method.
  • the intermediate structures are mechanically stable, though they contain internal cavities formed by the etched SOI wafer and the substrate.
  • the cavities can be of various shapes and sizes.
  • the intermediate structure have an access port in the substrate.
  • the intermediate structures can be made using components with arbitrary thickness and arbitrary doping.
  • the invention further provides a method for making an accelerometer, using the methods of the invention.
  • the substrate is provided with access ports to equalize the pressure between the internal cavities and outside of the wafer sandwich.
  • the process of bonding the SOI wafer and the substrate is performed at a pressure less than atmospheric pressure.
  • KOH potassium hydroxide
  • FIG. 1 is a schematic side drawing showing a prior art single depth microfabrication process
  • FIG. 2 is a schematic side drawing showing the process steps prior to substrate bonding
  • FIG. 3 is a schematic side drawing showing the process steps of the invention for glass substrate fabrication
  • FIG. 4 is a schematic side drawing showing bonding, wafer thinning and oxide dielectric removal
  • FIG. 5 is a schematic side drawing showing the baseline BESOI process sequence
  • FIG. 6 A is a schematic view of a prior art device structure showing that the underside of the Si beam is etched
  • FIG. 6B is a schematic view of a device structure showing that the Si beam is protected from underside etching
  • FIG. 7 is a schematic side view showing the baseline BESOI process sequence, wherein an SOI wafer is used as a substrate;
  • FIG. 8 is a set of electron micrographs showing (a) epitaxial comb fingers and (b) the baseline BESOI comb fingers; and
  • FIG. 9 is an electron micrograph showing the phenomenon of RIE lag, where narrow trenches etch more slowly.
  • a standard SOI wafer 10 is provided, which is shown in FIG. 2, and which comprises a handle layer 12, a dielectric layer 14 usually consisting of silicon dioxide, and a device layer I6(see, FIG. 2a).
  • Such wafers are commercially available from many sources, and are fabricated using wafer bonding, SIMOX technology, Smart-Cut methods, or other processes. Wafers can also be obtained from a large number of vendors of standard semiconductor material, and are sawn and polished to provide precise dimensions, uniform crystallographic orientation, and highly polished, optically flat surfaces.
  • Parameters for the three layers of the SOI wafer are determined by the user.
  • the handle wafer is of sufficient thickness for handling purposes, without otlier requirements.
  • the dielectric layer is thick enough for electrical isolation and effective etch-stop action, yet thin enough so as not to cause severe bowing of the SOI wafer.
  • the device layer parameters are important, as they will translate directly into properties of the resulting structure. Thickness of the device layer determines the device thickness (including any gap that may be machined between the device and the substrate).
  • FIG. 2 shows the primary steps involved in preparing the SOI wafer for bonding to a substrate wafer. First the SOI wafer is cleaned and patterned for the "mesa" etch.
  • mesas are preserved in the device layer and the background is etched back, so that the final structure, when bonded to a substrate, has regions which are directly bonded (the mesas) and regions suspended above the planar surface of the substrate (i.e., everywhere else on the wafer; see, FIG. 2b).
  • the mesa etch may be performed using KOH or other etchants.
  • the wafer is cleaned and patterned for the "structural" etch (see, FIG. 2c).
  • the structural etch is a Deep Reactive Ion Etch (DRIE) process, in which high aspect ratios may be desired (Ayon AA et al., Mat. Res. Soc. Symp. Proc. 546: 51 (1999); Ayon AA et al, J. Vac. Set Tech. B 18: 1412 (2000)). Since the process etches straight down to the dielectric layer, which is bonded everywhere to the device layer, techniques designed to prevent plasma etching problems at the dielectric - device interface become very effective.
  • DRIE Deep Reactive Ion Etch
  • the micromachining of silicon can be observed by the use of epifluorescence microscopy or by the use of metallurgic microscope. Alternatively, the micromachining can be observed by an electron microscope, such as a scanning electron microscope (SEM).
  • SEM scanning electron microscope
  • the SOI wafer that has been patterned and etched for both the mesa and structural layers is then bonded to a substrate.
  • the substrate can be glass, silicon or other equivalently workable material.
  • the fabrication steps for a glass substrate 20 are those outlined in FIG 3.
  • the glass wafer 20 is cleaned and patterned for the electrode pattern.
  • the electrode pattern is composed of multilevel metallization.
  • the glass wafer 20 is then recess-etched, and, without removing the photoresist, a blanket sputter of the multilevel metallization is performed. Finally, the wafer undergoes "lift-off, where metal not applied directly to the substrate is removed.
  • access ports 22 in the glass substrate 20.
  • the advantage for this process step is described below, where the substrate wafer is bonded to the processed SOI wafer.
  • These access ports 22 may be etched, or more preferably, mechanically or ultrasonically drilled through the glass substrate. The spacing of these holes is determined by the die size and by the presence and distribution of bonded seals between the SOI wafer and the substrate. Since the purpose of the access ports is to equalize the pressure between the internal cavities and outside of the wafer sandwich, at least one such port must be positioned within each region sealed by bonding. Typically, these regions coincide with the die size, so that each device is isolated from all others by a bonded structure known as a seal ring.
  • the quantity of gas inside the cavity is fixed when the bond is formed.
  • the pressure inside the cavity p nRT/V, where n is the number of moles of gas present (fixed), V is the volume of the cavity (fixed), R is the universal gas constant, and T is the temperature. If the bonding is performed at 300°C and 1 atmosphere, for instance, the pressure inside the cavity at room temperature is (293/573) atm ⁇ 0.5 atm. Therefore, in room ambient, the cavity is in an underpressure situation, while in a vacuum chamber, it is at an overpressure situation. For any specific pressure condition during bonding, once the wafer sandwich has cooled, the pressure inside the cavity can be different from that of the outside world.
  • the other required feature is that plasma gases cannot gain access to the cavity through the port; otherwise, attack of structural layers would ensue.
  • the final step in the process is removal of the oxide dielectric. In this as well as previous embodiments, removal of the dielectric layer must be performed using a dry plasma etch process, so as not to attack the bulk glass and metallization on the topside of the device. Once the dielectric has been removed, the final structure is produced.
  • This structure is expected to have excellent build quality, as it benefits from several significant process improvements: (1) high material quality through use of virgin SOI material rather than highly doped layers; (2) very high fidelity DRIE processing, due to folly bonded device and oxide dielectric layer during the etch process, and newly-developed vendor equipment and processes designed specifically for these applications; (3) high quality access port holes, drilled using ultrasonic methods which produce smooth walls without stress concentrations; (4) complete flexibility in wafer bonding process, without concern for ambient conditions and resulting pressure differentials; and (5) dry plasma etch wafer thinning process, which allows for pressure equalization across oxide dielectric, eliminating possible exposure of device layer to etchant.
  • One group of former methods for fabricating micromachined structures in silicon involves the use of an etch-stop such as heavily-doped boron layers or SiGe layers.
  • the method of the invention has several distinct advantages over that family of techniques, including increased process flexibility without the requirement for heavy doping, a higher-quality silicon device layer, and improved process control. [40] Alternative embodiments.
  • Alternate methods for the invention include, but are not limited to (1) the use of silicon or other crystalline substrates rather than a glass substrate, (2) anodic bonding using a thin layer of sputtered PYREX® rather than a full glass wafer, (3) fusion bonding rather than anodic bonding of the lower handle wafer, etching or other processes rather than ultrasonic drilling, (4) alternate means for removing the SOI handle layer, and (5) the use of materials other than silicon and silicon dioxide for the device layer and etch-stop layer, respectively. Wafers made from PYREX®, other borosilicate glass, or other glasses can also be procured and inserted into micromachining processes, with alternative processes used to etch the glassy materials.
  • Plasma etching provides the ability to control the width of etched features as the depth of the channel is increased. Wet chemical processes typically widen the trench substantially as the depth is increased, leading to a severe limitation on the packing density of features (Fruebauf J & Hannemann B, Sensors and Actuators 79: 55 (2000)). Several different plasma etching technologies have been recently developed. One of the available etch processes is know as the Bosch process. [42] In another preferred embodiment, the process of bonding the SOI wafer and the substrate is performed at a predetermined pressure less than atmospheric pressure, for example, 200mTorr.
  • KOH potassium hydroxide
  • the handle layer of the SOI wafer is removed by a relatively fast wet etch, for example, using potassium hydroxide (KOH).
  • KOH potassium hydroxide
  • the fast etching of the handle layer is terminated at a predetermined distance, e.g., about lO ⁇ m, from the SiO 2 layer.
  • Removal of the rest of the handle layer is preferably done by a relatively slow etch, for example, using tetramethyl ammonium hydroxide (TMAH).
  • TMAH tetramethyl ammonium hydroxide
  • the etch of the rest of the handle layer is preferably performed slowly and stops well at the SiO 2 layer.
  • This etch can also be performed using XeF , which is a non- ionized gas that has a Si: SiO 2 etch ration as high as 10,000: 1.
  • the next step in the process is removal of the SiO 2 layer.
  • removal of the SiO layer is preferably performed using an RIE dry plasma etch process, so as not (o attack the bulk glass and metallization on the topside of the device.
  • the SiO 2 can be removed in an RIE tool using a recipe designed for SiO 2 etching.
  • This process can be performed at desired gas pressure, such as 200mTorr, which is substantially the same as the pressure at which the bonding of the SOI wafer and the substrate is performed.
  • desired gas pressure such as 200mTorr
  • the previously described method requires the mesa etching and structural etching to be performed before the SOI wafer is bonded to a substrate wafer.
  • the handle layer part of the SOI wafer is removed using a wet etch.
  • the wet etch which removes the handle layer must stop on the thin SiO 2 layer. If the etch does not completely stop at the SiO layer, the etch chemicals would penetrate the device and destroy it.
  • the structural etching which is performed before the SOI wafer is bonded to the substrate, defines cavities in the device layer. In these cavities, there is no Si underneath the SiO 2 to mechanically support the SiO 2 layer.
  • FIG. 5 illustrates an alternative fabrication method, which is called Bonded and Etch Back Silicon-On-Insulator (BESOI).
  • BESOI Bonded and Etch Back Silicon-On-Insulator
  • the structural etching is performed after the SOI wafer is bonded to the substrate, and after the handle layer and SiO 2 layer are removed.
  • the SiO 2 layer is supported by the underlying Si across the complete surface of the SOI wafer.
  • the SiO 2 layer functions as a good etch stop, and no etch chemicals penetrate the device region when the handle layer of the SOI wafer is removed.
  • the BESOI method begins with a standard SOI wafer 10, similar to that used in the previously described SOI processes.
  • the SOI wafer is cleaned and patterned for the mesa etch.
  • the mesa etch may be performed by several methods, for example, using KOH.
  • the glass substrate fabrication steps are similar to the previously described methods, which are outlined in FIG. 3.
  • the glass substrate may be provided with access ports to equalize the pressure between the internal cavities and outside of the wafer sandwich.
  • the SOI and glass wafers are anodically bonded, with the device side of the SOI wafer bonded to the metallized side of the glass substrate.
  • the bonding process also can be performed under a predetermined pressure, which is less than atmosphere pressure, as described above.
  • the handle layer of the SOI wafer is preferably removed by a relatively fast wet etch, for example, using potassium hydroxide (KOH).
  • KOH potassium hydroxide
  • the etching of the handle layer is stoped at a predetermined distance, e.g., about lO ⁇ m, from the SiO 2 layer.
  • Removal of the rest of the handle layer is preferably done by a relatively slow etch, for example, using tetramethyl ammonium hydroxide (TMAH).
  • TMAH tetramethyl ammonium hydroxide
  • the etch of the rest Si is preferably performed slowly and stops well on the SiO layer.
  • This etch can also be performed using XeF 2 , which is a non-ionized gas that has a Si: SiO 2 etch ratio as high as 10,000: 1.
  • the next step in the process is removal of the SiO layer.
  • removal of the SiO 2 layer is preferably performed using an RIE dry plasma etch process.
  • the SiO 2 can be removed in an RIE tool using a conventional recipe designed for SiO etching.
  • the device layer is revealed and ready for structural etching.
  • the device layer is then etched to define the device preferably by Inductively Coupled Plasma (ICP), using a Surface Technology Systems pic (STS) machine, which prevents charge build-up causing
  • the structural etching process may etch straight down to the glass substrate.
  • positive ions of SF 6 are generated in a region above the SOI wafer. These ions are accelerated by a negative potential applied to a bias plate upon which the SOI wafer is placed. The SF 6 ions subsequently etch the device layer of the SOI wafer. As the device layer is etched away, the underlying glass wafer is exposed. Electronic charge from the SFg ions may accumulate on the exposed glass. Once the exposed glass is positively charged, the positively charged incoming SF 6 ions are repelled. Their trajectory is bent such that they may etch and damage the underside of nearby Si. A diagram of this prior art process step is shown in FIG. 6 A.
  • the above described prior art process step is replaced with a new process step, which avoids damage of the underside of the nearby Si of the device.
  • the glass substrate is covered with a substantially uniform metal layer, which, during the etch, prevents charge build-up, as shown in FIG. 6B.
  • gaps in metal layer are necessary to keep metal regions or lines separate. These gaps are preferably placed other than under an operable element which is to be formed by etching the device layer, or placed in areas where damage to the device will not affect the performance of the device. For example, as shown in FIG. 6B, the area directly underneath the drive or sense fingers of a MEMS device is covered by metal, and the gap between the Si and the metal is placed other than under the finger.
  • the ICP etch is performed after the SOI wafer is bonded to the glass substrate.
  • the silicon which is to be removed, is preferably not bonded to the glass, because it is very difficult for the ICP etch to remove Si, which has been bonded to the glass.
  • a few microns of the surface of the silicon are preferably removed before the SOI wafer is bonded to the glass wafer. The removal of the silicon can be done in the mesa etch, as shown in FIG. 2.
  • FIG. 7 illustrates another alternative BESOI method of the invention, which uses highly doped silicon or other crystalline substrates rather than a glass substrate.
  • a second SOI wafer is provided to be used as the substrate.
  • the device layer of the second SOI wafer is etched straight down to the dielectric layer to form highly doped (and thus electrically conductive) "Si runners", which can be used as electrically conductive lines and contacts.
  • Si runners are formed, the first etched SOI wafer is bonded to the second substrate SOI wafer.
  • the substrate SOI wafer can be used in all previously described methods to replace the glass substrate.
  • the invention is also useful in the manufacture of an accelerometer.
  • An accelerometer pattern is etched into the SOI wafer.
  • Guidance for making an accelerometer is provided in U.S. Pat. No. 6,269,696, "Temperature compensated oscillating accelerometer with force multiplier", issued August 7, 2001 to Weinverg et al, incorporated herein by reference.
  • the driving force for using SOI material instead of epitaxial material to build the accelerometer is the greatly enhanced process flexibility afforded by the SOI process.
  • the best crystallographic quality is expected to produce the best devices.
  • Device layers on SOI wafers can be of any doping level, type, crystallographic quality, etc.
  • epitaxial layers must be heavily-doped with boron. High doping concentrations of B are associated with etch pits, extended defects, curvature and strain, all undesirable features for strategic devices.
  • Fabrication yields for the accelerometer were extremely low, partly due to the very large (>1 cm) die size, but also due to process problems with the baseline BESOI sequence. [64] Difficulties with this process are mainly associated with the final step in the process, in which the structural element is etched into the SOI device layer using the (Inductively Coupled Plasma) ICP etching process.
  • ICP etch is conducted using newly available SOI etch technology
  • a pressure relief hole is inserted in the glass to eliminate pressure differentials during wafer thinning
  • Wafer thinning is accomplished using a dry plasma process rather than a wet etch
  • the die layout is adjusted to minimize the spacing between anchored features (without affecting the actual accelerometer design.)
  • a standard SOI wafer is provided, which is similar to that used in both the baseline and prototype alternative SOI processes.
  • the SOI wafer is cleaned and patterned for the mesa etch.
  • the mesa etch may be performed using KOH or other etchants. This represents yet another advantage of the SOI process over its predecessors.
  • the wafer is cleaned and patterned for the structural etch. Since the process etches straight down to the dielectric layer, which is bonded everywhere to the device layer, technology designed to prevent plasma etching problems at the dielectric - device interface becomes very effective.
  • the SOI wafer which has been patterned and etched through both the mesa and structural layers, is then bonded to a glass substrate. The glass substrate fabrication steps are outlined in FIG. 3. First, the glass wafer is cleaned and patterned for the electrode pattern. In this embodiment, the electrode pattern is composed of multilevel metallization.
  • access ports are evident, as the substrate wafer is bonded to the processed SOI wafer. These access ports may be etched, or more preferably, mechanically or ultrasonically drilled through the glass. The spacing of these holes is determined by the die size and by the presence and distribution of bonded seals between the SOI wafer and the substrate. Since the purpose of the access ports is to equalize the pressure between the internal cavities and outside of the wafer sandwich, at least one such port must be positioned within each region sealed by bonding.
  • RIE reactor may be used to remove the handle silicon layer.
  • One required feature of RIE process tool is that it enables the plasma removal to occur with equalized pressure across the oxide dielectric.
  • the other required feature is that plasma gases cannot gain access to the cavity through the port; otherwise, attack of structural layers would ensue.
  • the final step in the process is removal of the oxide dielectric.
  • removal of the dielectric layer must be performed using a dry plasma etch process, so as not to attack the bulk glass and metallization on the topside of the device. Once the dielectric has been removed, the final structure is revealed.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Geometry (AREA)
  • Manufacturing & Machinery (AREA)
  • Micromachines (AREA)
  • Pressure Sensors (AREA)
EP03754388A 2002-08-15 2003-08-15 Verfahern zur mikroherstellung von strukturen unter verwendung von silizium-auf-isolator-material Withdrawn EP1576650A4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US40379602P 2002-08-15 2002-08-15
US403796P 2002-08-15
PCT/US2003/025435 WO2004017371A2 (en) 2002-08-15 2003-08-15 Method for microfabricating structures using silicon-on-insulator material

Publications (3)

Publication Number Publication Date
EP1576650A2 true EP1576650A2 (de) 2005-09-21
EP1576650A3 EP1576650A3 (de) 2005-10-05
EP1576650A4 EP1576650A4 (de) 2011-06-15

Family

ID=31888282

Family Applications (1)

Application Number Title Priority Date Filing Date
EP03754388A Withdrawn EP1576650A4 (de) 2002-08-15 2003-08-15 Verfahern zur mikroherstellung von strukturen unter verwendung von silizium-auf-isolator-material

Country Status (3)

Country Link
EP (1) EP1576650A4 (de)
AU (2) AU2003272215B2 (de)
WO (1) WO2004017371A2 (de)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7381630B2 (en) 2001-01-02 2008-06-03 The Charles Stark Draper Laboratory, Inc. Method for integrating MEMS device and interposer
US7253083B2 (en) 2005-06-17 2007-08-07 Northrop Grumman Corporation Method of thinning a semiconductor structure
US8735199B2 (en) * 2012-08-22 2014-05-27 Honeywell International Inc. Methods for fabricating MEMS structures by etching sacrificial features embedded in glass
DE102018200371A1 (de) 2018-01-11 2019-07-11 Robert Bosch Gmbh Interposersubstrat, MEMS-Vorrichtung sowie entsprechendes Herstellungsverfahren

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000007028A1 (en) * 1998-07-31 2000-02-10 Litton Systems, Inc. Micro-mechanical semiconductor accelerometer
WO2002054475A1 (en) * 2001-01-02 2002-07-11 The Charles Stark Draper Laboratory, Inc. Method for microfabricating structures using silicon-on-insulator material

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5488012A (en) * 1993-10-18 1996-01-30 The Regents Of The University Of California Silicon on insulator with active buried regions
JP3319912B2 (ja) * 1995-06-29 2002-09-03 株式会社デンソー 半導体センサ用台座およびその加工方法
US6291875B1 (en) * 1998-06-24 2001-09-18 Analog Devices Imi, Inc. Microfabricated structures with electrical isolation and interconnections
US6002507A (en) * 1998-12-01 1999-12-14 Xerox Corpoation Method and apparatus for an integrated laser beam scanner
US6433401B1 (en) * 1999-04-06 2002-08-13 Analog Devices Imi, Inc. Microfabricated structures with trench-isolation using bonded-substrates and cavities
US6277666B1 (en) * 1999-06-24 2001-08-21 Honeywell Inc. Precisely defined microelectromechanical structures and associated fabrication methods
US6458615B1 (en) * 1999-09-30 2002-10-01 Carnegie Mellon University Method of fabricating micromachined structures and devices formed therefrom
US6431714B1 (en) * 2000-10-10 2002-08-13 Nippon Telegraph And Telephone Corporation Micro-mirror apparatus and production method therefor

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000007028A1 (en) * 1998-07-31 2000-02-10 Litton Systems, Inc. Micro-mechanical semiconductor accelerometer
WO2002054475A1 (en) * 2001-01-02 2002-07-11 The Charles Stark Draper Laboratory, Inc. Method for microfabricating structures using silicon-on-insulator material

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
MOCHIDA Y ET AL: "A micromachined vibrating rate gyroscope with independent beams for the drive and detection modes", SENSORS AND ACTUATORS A, ELSEVIER SEQUOIA S.A., LAUSANNE, CH, vol. 80, no. 2, 1 March 2000 (2000-03-01), pages 170-178, XP004192104, ISSN: 0924-4247, DOI: DOI:10.1016/S0924-4247(99)00263-0 *
See also references of WO2004017371A2 *

Also Published As

Publication number Publication date
EP1576650A3 (de) 2005-10-05
AU2009248425B2 (en) 2012-12-20
EP1576650A4 (de) 2011-06-15
AU2003272215B2 (en) 2009-09-10
AU2009248425A1 (en) 2010-01-07
WO2004017371A9 (en) 2004-07-08
WO2004017371A2 (en) 2004-02-26
AU2003272215A1 (en) 2004-03-03
WO2004017371A3 (en) 2005-08-18

Similar Documents

Publication Publication Date Title
US6946314B2 (en) Method for microfabricating structures using silicon-on-insulator material
CA2433738C (en) Method for microfabricating structures using silicon-on-insulator material
EP1266863A2 (de) Eine mehrschichtige integrierte Schaltung für eine Substratverklebung mit einem grossem Abstand
EP2278340A1 (de) Wafer-Prozessstrom für einen MEMS-Hochleistungs-Beschleunigungsmesser
KR100348177B1 (ko) 단결정 실리콘의 마이크로머시닝 기법에서의 깊은 트렌치절연막을 이용한 절연 방법
EP1433199B1 (de) Verfahren zur bildung einer resonatorstruktur in einem soi-substrat und in einem soi-substrat ausgebildete resonatorstruktur
AU2009248425B2 (en) Method for microfabricating structures using silicon-on-insulator material
EP1880977A2 (de) Silicium auf Metall für MEMS-Vorrichtungen
US11978658B2 (en) Method for manufacturing a polysilicon SOI substrate including a cavity
US7745308B2 (en) Method of fabricating micro-vertical structure
JP2000155030A (ja) 角速度センサの製造方法
CN113228319A (zh) 将表面层转移到腔的方法
CN113226978A (zh) 制造包括悬在腔之上的膜的器件的方法
Iliescu et al. One-mask process for silicon accelerometers on Pyrex glass utilising notching effect in inductively coupled plasma DRIE
US10584029B2 (en) Method for producing thin MEMS chips on SOI substrate and micromechanical component
US20040009623A1 (en) Method for manufacturing a semiconductor device
Akashi et al. Profile control of a borosilicate-glass groove formed by deep reactive ion etching
US11511990B2 (en) Method for manufacturing a microelectronic device comprising a membrane suspended above a cavity
KR102265047B1 (ko) 집적형 마이크로 전자기계 시스템을 가진 장치를 위한 구조
Gormley et al. HARM processing techniques for MEMS and MOEMS devices using bonded SOI substrates and DRIE
JP2004245746A (ja) 三次元配線及び静電容量型圧力センサの製造方法
Craciun et al. Single Step Cryogenic SF6/O2 Plasma Etching Process for the Development of Inertial Devices
Tay Process Development and Fabrication

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

PUAK Availability of information related to the publication of the international search report

Free format text: ORIGINAL CODE: 0009015

17P Request for examination filed

Effective date: 20050315

AK Designated contracting states

Kind code of ref document: A2

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LI LU MC NL PT RO SE SI SK TR

AX Request for extension of the european patent

Extension state: AL LT LV MK

AK Designated contracting states

Kind code of ref document: A3

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LI LU MC NL PT RO SE SI SK TR

AX Request for extension of the european patent

Extension state: AL LT LV MK

DAX Request for extension of the european patent (deleted)
REG Reference to a national code

Ref country code: DE

Ref legal event code: R079

Free format text: PREVIOUS MAIN CLASS: H01L0021000000

Ipc: B81C0003000000

A4 Supplementary search report drawn up and despatched

Effective date: 20110512

RIC1 Information provided on ipc code assigned before grant

Ipc: B81C 1/00 20060101ALI20110506BHEP

Ipc: B81C 3/00 20060101AFI20110506BHEP

17Q First examination report despatched

Effective date: 20120423

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: EXAMINATION IS IN PROGRESS

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20180301