EP1344243A1 - Method and device for treating semiconductor substrates - Google Patents

Method and device for treating semiconductor substrates

Info

Publication number
EP1344243A1
EP1344243A1 EP01994794A EP01994794A EP1344243A1 EP 1344243 A1 EP1344243 A1 EP 1344243A1 EP 01994794 A EP01994794 A EP 01994794A EP 01994794 A EP01994794 A EP 01994794A EP 1344243 A1 EP1344243 A1 EP 1344243A1
Authority
EP
European Patent Office
Prior art keywords
chamber
pressure
processing
processing chamber
transfer chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP01994794A
Other languages
German (de)
French (fr)
Inventor
Piotr Strzyzewski
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Aixtron SE
Original Assignee
Aixtron SE
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from DE10159702A external-priority patent/DE10159702A1/en
Application filed by Aixtron SE filed Critical Aixtron SE
Publication of EP1344243A1 publication Critical patent/EP1344243A1/en
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Definitions

  • the invention relates to a method and a device
  • the semiconductor substrates can be loaded, for which purpose first
  • 00027 processing chambers is carried out by means of at least one in
  • 00029 processing chambers are by means of the transfer chamber
  • 00034 vacuum or ultra high vacuum only needs to take place 00035 the transfer chamber is evacuated or kept in a vacuum
  • 00041 gene CVD processes are parasitic depositions e.g. on
  • the particles can be any material that can be used to make a Particle formation going out.
  • the particles can be any material that can be used to make a Particle formation going out.
  • 00053 is cooled. Especially with process printing
  • 00055 influenced the thermal conductivity to a significant extent.
  • the object of the invention is measures
  • 00072 transfer chamber is flooded with an inert gas.
  • 00073 measure has the consequence ,, that the processing chamber on
  • the invention provides that when opening the
  • 00078 gig is higher than the pressure in the processing chamber.
  • 00089 has a heated process chamber during loading
  • 00103 see compounds of Ga, In or AI.
  • the invention also relates to a device or a
  • 00122 is set by a controllable valve that the
  • 00126 influenced the pump associated with the transfer chamber. 00127
  • the invention relates in particular to the control method
  • the purge gas flow is from the total
  • the second parameter is the pressure difference
  • 00139 renz can be dependent on the total pressure. From these 00140 determines the two parameters, the process control unit, 00141 which can be a computer, the 00142 pressure in the other chamber. Depending on this pressure 00143, the purge gas flow 00144 to be set for this chamber is determined. The purge gas flow and the pressure 00145 are then set. If stable pressure conditions 00147 have occurred in both the processing chamber and 00146, the connecting door is opened. The pressure in the processing chamber is preferred00148, so that the transfer chamber pressure is set according to the 00150 pressure difference and the processing chamber pressure. The pressure difference between transfer chamber 00152 pressure and processing chamber pressure can be between 0, 1 and 00153 5 bar.
  • the pressure in the transfer chamber or the 00154 pressure in the processing chamber can be between one and 00155 several 100 mbar. Accordingly, the 00156 purge gas flow into the transfer chamber or the processing chamber is between 100 and 500 sccm. The flow can also be larger in the processing chamber.
  • 00159 00160 00161 Embodiments of the invention are explained below 00162 with reference to the accompanying drawings.
  • the figures show: 00163 00164 Fig. 1 in a rough schematic representation of a device for processing semiconductor substrates, 00166 00167 Fig. 2 roughly schematic a section through a transfer chamber 00168 and a processing chamber with 00169 indicated gas flows and 00170 00171 Fig. 3 the flow chart of the pressure control in the Trans00172 chamber. 00173 00174
  • the device shown in Fig. 1 has as
  • 00175 central element is a transfer chamber 2.
  • transfer chamber 2 In the transfer
  • 00182 se 1 is from the transfer chamber 2 by means of a connection
  • the processing chamber 3 can be an ultra
  • 00200 processing chamber 5 can either be a vacuum process or
  • 00205 Wafers can be made of silicon, depending on the process
  • 00206 gallium arsenide or indium phosphide can consist of
  • the transfer chamber 2 sits a robot arm 10 which
  • an inert gas for example argon or nitrogen
  • 00229 is when the pressure in the low pressure or atmospheric
  • this processing chamber 4 has one in the state
  • the process chamber is kept at process temperature
  • 00262 gate is formed, can in other machining chamber
  • the invention also includes multi-chamber devices
  • FIG. 2 An arrangement is shown roughly schematically in FIG. 2
  • 00275 provides a transfer chamber 2 and a processing
  • 00276 has chamber 4 which by means of a bulkhead 7 voneinan ⁇
  • 00278 transfer chamber 2 opens an inert gas supply line 11 and 00279 an evacuation line 12. In the evacuation line
  • 00280 12 is a pressure control valve 14, which is one
  • the pressure is preferably in the transfer chamber 2
  • 00301 can be between 1 and 500 sccm. Furthermore, the
  • 00312 supply line 11 flowing inert gas stream set.
  • the 00313 constant gas flow is here also 100 to 500

Abstract

The invention relates to a method and to a device for treating semiconductor substrates. In conventional systems, the especially uncoated semiconductor substrates are fed to a treatment device through a charging sluice (1), said charging sluice (1) adjoining a transfer chamber (2). A plurality of treatment chambers (3, 4, 5) can be charged with the semiconductor substrates to be treated from said transfer chamber by first evacuating the transfer chamber (2) and the treatment chamber (3) and then opening the connecting door (7) between the transfer chamber (2) and the treatment chamber (3). The aim of the invention is to improve this system. To this end, at least one of the treatment chambers (4) is operated at a low pressure or atmospheric pressure and the transfer chamber (2) is flooded with an inert gas before the connecting door (8) associated with the treatment chamber (4) is opened, while a predetermined pressure difference between the transfer chamber and the treatment chamber is maintained.

Description

00001 Verfahren und Vorrichtung zur Bearbeitung- von00001 Method and device for processing
00002 Halbleitersubstraten00002 semiconductor substrates
0000300003
0000400004
00005 Die Erfindung betrifft ein Verfahren und eine Vorrich¬The invention relates to a method and a device
00006 tung zur Bearbeitung von Halbleitersubstraten, wobei00006 device for processing semiconductor substrates, wherein
00007 die insbesondere unbeschichteten Halbleitersubstrate00007 the in particular uncoated semiconductor substrates
00008 durch eine Beladungsschleuse einer Bearbeitungsanord¬00008 through a loading lock of a processing arrangement
00009 nung zugeführt werden, welche Beladungsschleuse an eine00009 voltage, which loading lock to a
00010 Transferkammer angrenzt, von welcher wiederum eine00010 adjacent transfer chamber, of which in turn one
00011 Vielzahl von Bearbeitungskammern mit den zu bearbeiten¬00011 large number of processing chambers to be processed
00012 den Halbleitersubstraten beladbar sind, wozu zunächstThe semiconductor substrates can be loaded, for which purpose first
00013 die Transferkammer und die Bearbeitungskammer evakuiert00013 evacuated the transfer chamber and the processing chamber
00014 werden und daran anschließend eine Verbindungstür zwi¬Be 00014 and then a connecting door between
00015 schen Transferkammer und Bearbeitungskammer geöffnet00015's transfer chamber and processing chamber open
00016 wird.00016 will.
0001700017
00018 Im Stand der Technik, insbesondere in der Siliziumtech¬In the prior art, especially in silicon technology
00019 nologie, werden miteinander verkettete Halbleiterprozes¬00019 technology, are linked semiconductor processes
00020 se in sogenannten Mehrkammeranlagen (Cluster tools)00020 se in so-called multi-chamber systems (cluster tools)
00021 durchgeführt. In jeder der mehreren Kammern wird ein00021 carried out. One is in each of the several chambers
00022 spezifischer Bearbeitungsschritt des Halbleitersubstra¬00022 specific processing step of the semiconductor substrate
00023 tes durchgeführt. Unter anderem können dort Beschich-00023 tes carried out. Among other things, coating
00024 tungsschritte, Ätzschritte, Temperschritte, Diffusions¬00024 processing steps, etching steps, tempering steps, diffusions
00025 schritte oder dergleichen durchgeführt werden. Der00025 steps or the like can be performed. The
00026 Wechsel des Substrates von der einen in die anderen00026 Changing the substrate from one to the other
00027 Bearbeitungskammern erfolgt mittels zumindest eines in00027 processing chambers is carried out by means of at least one in
00028 einer Transferkammer angeordneten Roboterarmes. Die00028 of a transfer chamber arranged robot arm. The
00029 Bearbeitungskammern sind von der Transferkammer mittels00029 processing chambers are by means of the transfer chamber
00030 einer druck- und gasdichten Verbindungstür getrennt.00030 a pressure and gas-tight connecting door separated.
00031 Diese wird erst geöffnet, nachdem sowohl die Transfer¬00031 This is only opened after both the Transfer¬
00032 kammer, als auch die jeweilige Bearbeitungskammer evaku¬00032 chamber, as well as the respective processing chamber evaku¬
00033 iert worden sind. Bei Behandlungsprozessen, die im00033 have been. In treatment processes that in
00034 Vakuum bzw. Ultrahoch-Vakuum stattfinden braucht nur 00035 die Transferkammer evakuiert oder im Vakuum gehalten zu00034 vacuum or ultra high vacuum only needs to take place 00035 the transfer chamber is evacuated or kept in a vacuum
00036 werden. Bei Prozessen, die bei Atmosphären- oder Nie-00036. In processes involving atmospheric or never
00037 drigdruck stattfinden muss die Bearbeitungskammer evaku-00037 pressure must take place the processing chamber evacuated
00038 iert werden. Dieser Evakuierungsschritt ist insbesonde-00038 ized. This evacuation step is particularly
00039 re dann nachteilhaft, wenn in der BearbeitungskammerThen re disadvantageous when in the processing chamber
00040 ein Beschichtungsprozess durchgeführt wird. Bei derarti-00040 a coating process is carried out. With such
00041 gen CVD-Prozessen sind parasitäre Depositionen z.B. an00041 gene CVD processes are parasitic depositions e.g. on
00042 den Wänden der Prozesskammer oft nicht zu vermeiden.00042 the walls of the process chamber often unavoidable.
00043 Die mit der Evakuierung einhergehenden thermischen und00043 The thermal and
00044 mechanischen Belastungen können dazu führen, dass die00044 mechanical loads can cause the
00045 parisitären Beschichtungen abplatzen. Die damit einher-Chipping 00045 parisitische coatings. The associated
00046 gehende Partikelbildung ist störend. Die Partikel kön-Particle formation going out is disruptive. The particles can
00047 nen insbesondere in die Transferkammer gelangen oder00047 NEN in particular get into the transfer chamber or
00048 die Substrat-/Schichtoberfläche beieinträchtigen. Derar-00048 impair the substrate / layer surface. Derar-
00049 tige dynamische Druckprozesse beeinflussen darüber00049 dynamic printing processes influence it
00050 hinaus das thermische Profil innerhalb der Prozesskam-00050 the thermal profile within the process
00051 mer, die vorzugsweise während des Be- und Entladens auf00051 mer, preferably during loading and unloading
00052 Prozesstemperatur gehalten werden soll bzw. nur gering-00052 process temperature is to be kept or only slightly
00053 fügig abgekühlt wird. Insbesondere bei Prozessdrucken00053 is cooled. Especially with process printing
00054 von größer einem Millibar werden durch das Evakuieren00054 of greater than one millibar will be evacuated
00055 die Wärmeleiteffekte in erheblichem Maße beeinflusst.00055 influenced the thermal conductivity to a significant extent.
00056 Dies hat dann zur Folge, dass die Zykluszeiten zufolge00056 This has the consequence that according to the cycle times
00057 der erforderlichen Zeit zur Erreichung der Gleichge-00057 of the time required to achieve the equilibrium
00058 ichtsbedingungen hoch sind. 0005900058 conditions are high. 00059
00060 Der Erfindung liegt die Aufgabe zugrunde, MaßnahmenThe object of the invention is measures
00061 vorzusehen, um den zuvor genannten Nachteilen entgegen-00061 to counter the aforementioned disadvantages
00062 zuwirken und insbesondere einen CVD-Reaktor, vorzugswei-00062 and especially a CVD reactor, preferably
00063 se einen MOCVD-Reaktor in eine Mehrkammeranlage zu00063 se a MOCVD reactor in a multi-chamber system
00064 integrieren. 00065Integrate 00064. 00065
00066 Gelöst wird die Aufgabe durch die in den AnsprüchenThe task is solved by the in the claims
00067 angegebene Erfindung, wobei zunächst und im Wesentli-00067 specified invention, wherein initially and essentially
00068 chen vorgesehen ist, dass in mindestens einer der Bear-It is provided that at least one of the processes
00069 beitungskammern ein Niedrigdruck- oder Atmosphärendruck- 00070 Prozess betrieben wird und vor dem Öffnen der dieser00069 processing chambers a low pressure or atmospheric pressure 00070 process is operated and before opening this
00071 Bearbeitungskammer zugeordneten Verbindungstür die00071 processing chamber associated connecting door
00072 Transferkammer mit einem Inertgas geflutet wird. Diese00072 transfer chamber is flooded with an inert gas. This
00073 Maßnahme hat zur Folge,, dass die Bearbeitungskammer auf00073 measure has the consequence ,, that the processing chamber on
00074 Prozessdruck gehalten werden kann. Lediglich die Pro-00074 process pressure can be maintained. Only the pro
00075 zessgase werden abgeschaltet. In einer Weiterbildung00075 cess gases are switched off. In a training course
00076 der Erfindung ist vorgesehen, dass beim Öffnen derThe invention provides that when opening the
00077 Verbindungstür der Druck in der Transferkammer geringfü-00077 connecting door the pressure in the transfer chamber is low
00078 gig höher ist, als der Druck in der Bearbeitungskammer.00078 gig is higher than the pressure in the processing chamber.
00079 Dies hat zur Folge, dass eine GasStromrichtung durch00079 This has the consequence that a gas flow direction through
00080 die Verbindungstür in die Bearbeitungskammer gerichtet00080 the connecting door directed into the processing chamber
00081 ist. Dies wirkt dem Austritt von Restgasen aus derIs 00081. This affects the leakage of residual gases from the
00082 Bearbeitungskammer in die Transferkammer entgegen. Ein00082 processing chamber in the transfer chamber. On
00083 Massentransport in dieser Richtung kann deshalb imMass transport in this direction can therefore in
00084 Wesentlichen nur durch Diffusion erfolgen. Damit ist00084 essentially only by diffusion. So that is
00085 auch ein übersprechen der Gase (Cross-Contamination) in00085 also crosstalk of the gases (cross-contamination) in
00086 benachbarte Bearbeitungskammern vermindert. In einer00086 adjacent processing chambers reduced. In a
00087 Weiterbildung der Erfindung ist vorgesehen, dass dieFurther development of the invention provides that the
00088 Niedrigdruck- oder Atmosphärendruck-Bearbeitungskammer00088 low pressure or atmospheric pressure processing chamber
00089 eine beheizte Prozesskammer besitzt, die beim Beladen00089 has a heated process chamber during loading
00090 oder Entladen beheizt bleibt und insbesondere auf Pro-00090 or unloading remains heated and especially on pro-
00091 zesstemperatur gehalten wird. Handelt es sich bei der00091 temperature is maintained. Is it the
00092 Bearbeitungskammer um einen MOCVD-Reaktor in dem00092 processing chamber around a MOCVD reactor in the
00093 phosphorhaltige Halbleiterschichten auf einem insbeson-00093 phosphor-containing semiconductor layers on a particular
00094 dere III-V-Substrat deponiert werden, so kann vorgese-00094 other III-V substrate are deposited,
00095 hen sein, dass die Prozesskammertemperatur für das Be-00095 hen that the process chamber temperature for the loading
00096 und Entladen abgesenkt wird, um ein Abdampfen der Phos-00096 and unloading is lowered to allow the phosphate to evaporate.
00097 phor-Komponente aus der abgeschiedenen Halbleiter-00097 phor component from the deposited semiconductor
00098 schicht zu verhindern. Zur Durchführung des MOCVD-Pro-00098 layer to prevent. To implement the MOCVD program
00099 zesses werden der Gasphase entsprechende Reaktionsgase00099 processes are reaction gases corresponding to the gas phase
00100 zugeführt. Für die Elemente der fünften Hauptgruppe00100 fed. For the elements of the fifth main group
00101 werden Arsin oder Phosphin verwendet. Für die Elemente00101 arsine or phosphine are used. For the elements
00102 der dritten Hauptgruppe die entsprechenden metallorgani-00102 the third main group the corresponding organometallic
00103 sehen Verbindungen von Ga, In oder AI. 00104 00105 Die Erfindung betrifft auch eine Vorrichtung bzw. ein00103 see compounds of Ga, In or AI. 00104 The invention also relates to a device or a
00106 Verfahren, bei der oder dem nur eine oder mehrere Nie-00106 Method in which only one or more
00107 drigdruck- oder Atmosphärendruck-Bearbeitungskammern an00107 pressure or atmospheric pressure processing chambers
00108 einer Transferkammer zugeordnet sind. Um, insbesondere00108 are assigned to a transfer chamber. Um, in particular
00109 bei solchen Vorrichtungen einen definierten Gasfluss00109 a defined gas flow in such devices
00110 von der Transferkammer in die Bearbeitungskammer bei00110 from the transfer chamber to the processing chamber
00111 geöffneter Verbindungstür zu gewährleisten sieht die00111 to ensure that the connecting door is open
00112 Erfindung vor, dass sowohl der Spülgasfluss in die00112 invention that both the purge gas flow in the
00113 Transferkammer als auch der Spülgasfluss in die Bearbei-00113 transfer chamber as well as the purge gas flow into the processing
00114 tungskammer vor dem Öffnen der Verbindungstür und wäh-00114 chamber before opening the connecting door and
00115 rend der geöffneten Verbindungstür festgesetzt sind.00115 rend the open connecting door are fixed.
00116 Dadurch fließt sowohl in die Transferkammer als auch in00116 This flows into both the transfer chamber and
00117 die Bearbeitungskammer stets ein gleichbleibender Gas-00117 the processing chamber always a constant gas
00118 ström. Der Gasstrom, der von der Transferkammer in die00118 stream The gas flow from the transfer chamber into the
00119 Bearbeitungskammer strömt wird durch den Druckunter-00119 processing chamber flows through the pressure
00120 schied zwischen der Transferkammer und der Bearbeitungs-00120 differentiated between the transfer chamber and the processing
00121 kammer vorgegeben. Der Druck in der Bearbeitungskammer00121 chamber specified. The pressure in the processing chamber
00122 wird durch ein regelbares Ventil eingestellt, das die00122 is set by a controllable valve that the
00123 Evakuierungsleistung der zugehörigen Pumpe beeinflusst.00123 Evacuation performance of the associated pump influenced.
00124 Der Druck in der Transferkammer wird ebenfalls über ein00124 The pressure in the transfer chamber is also over a
00125 Ventil gesteuert, welches die Evakuierungsleistung der00125 valve controlled, which the evacuation of the
00126 der Transferkammer zugeordneten Pumpe beeinflusst. 0012700126 influenced the pump associated with the transfer chamber. 00127
00128 Die Erfindung betrifft insbesondere das Regelverfahren,00128 The invention relates in particular to the control method,
00129 mittels welchem diese Druckbalance einstellbar ist.00129 by means of which this pressure balance can be adjusted.
00130 Erfindungsgemäß wird der Druck entweder der Transferkam-00130 According to the invention, the pressure of either the transfer cam
00131 mer oder der Druck der Bearbeitungskammer vorgegeben.00131 mer or the pressure of the processing chamber specified.
00132 Des Weiteren wird der in diese Kammer einströmende00132 Furthermore, the flowing into this chamber
00133 Spülgasfluss vorgegeben. Der Spülgasfluss ist vom Total-00133 purge gas flow specified. The purge gas flow is from the total
00134 druck innerhalb der Kammer abhängig. Bei einem geringen00134 pressure within the chamber dependent. At a low
00135 Totaldruck fließt ein geringer Spülgasstrom. Bei einem00135 total pressure flows a small purge gas flow. At a
00136 höheren Totaldruck fließt ein entsprechend höherer00136 higher total pressure flows a correspondingly higher
00137 Spülgasstrom. Als zweiter Parameter wird die Druckdiffe-00137 purge gas flow. The second parameter is the pressure difference
00138 renz zur anderen Kammer vorgegeben. Diese Druckdiffe-00138 given to the other chamber. This pressure difference
00139 renz kann abhängig vom Totaldruck sein. Aus diesen 00140 beiden Parametern ermittelt das Prozess-Steuerorgan, 00141 bei dem es sich um einen Rechner handeln kann, den 00142 Druck in der anderen Kammer. Abhängig von diesem Druck 00143 wird der, für diese Kammer einzustellende Spülgasfluss 00144 ermittelt. Sodann wird der Spülgasfluss und der Druck 00145 eingestellt. Sind sowohl in der Bearbeitungskammer als 00146 auch in der Transferkammer stabile Druckverhältnisse 00147 eingetreten, so wird die Verbindungstür geöffnet. Bevor00148 zugt wird der Druck in der Bearbeitungskammer vorgege00149 ben, so dass der Transferkammerdruck entsprechend der 00150 Druckdifferenz und dem Bearbeitungskammerdruck einge00151 stellt wird. Die Druckdifferenz zwischen Transferkammer00152 druck und Bearbeitungskammerdruck kann zwischen 0, 1 und 00153 5 bar liegen. Der Druck in der Transferkammer bzw. der 00154 Druck in der Bearbeitungskammer kann zwischen einem und 00155 mehreren 100 mbar liegen. Entsprechend beträgt der 00156 Spülgasfluss in die Transferkammer bzw. die Bearbei00157 tungskammer zwischen 100 und 500 sccm. In der Bearbei00158 tungskammer kann der Fluss auch größer sein. 00159 00160 00161 Ausführungsbeispiele der Erfindung werden nachfolgend 00162 anhand der beigefügten Zeichnungen erläutert. Es zeigen: 00163 00164 Fig. 1 in grobschematischer Darstellung eine Vorrich00165 tung zur Bearbeitung von Halbleitersubstraten, 00166 00167 Fig. 2 grobschematisch einen Schnitt durch eine Trans- 00168 ferkammer und eine Bearbeitungskammer mit 00169 angedeuteten Gasflüssen und 00170 00171 Fig. 3 den Ablaufplan der Drucksteuerung in der Trans00172 ferkammer. 00173 00174 Die in Fig. 1 dargestellte Vorrichtung besitzt als00139 renz can be dependent on the total pressure. From these 00140 determines the two parameters, the process control unit, 00141 which can be a computer, the 00142 pressure in the other chamber. Depending on this pressure 00143, the purge gas flow 00144 to be set for this chamber is determined. The purge gas flow and the pressure 00145 are then set. If stable pressure conditions 00147 have occurred in both the processing chamber and 00146, the connecting door is opened. The pressure in the processing chamber is preferred00148, so that the transfer chamber pressure is set according to the 00150 pressure difference and the processing chamber pressure. The pressure difference between transfer chamber 00152 pressure and processing chamber pressure can be between 0, 1 and 00153 5 bar. The pressure in the transfer chamber or the 00154 pressure in the processing chamber can be between one and 00155 several 100 mbar. Accordingly, the 00156 purge gas flow into the transfer chamber or the processing chamber is between 100 and 500 sccm. The flow can also be larger in the processing chamber. 00159 00160 00161 Embodiments of the invention are explained below 00162 with reference to the accompanying drawings. The figures show: 00163 00164 Fig. 1 in a rough schematic representation of a device for processing semiconductor substrates, 00166 00167 Fig. 2 roughly schematic a section through a transfer chamber 00168 and a processing chamber with 00169 indicated gas flows and 00170 00171 Fig. 3 the flow chart of the pressure control in the Trans00172 chamber. 00173 00174 The device shown in Fig. 1 has as
00175 zentrales Element eine Transferkammer 2. In der Trans-00175 central element is a transfer chamber 2. In the transfer
00176 ferkammer 2 befindet sich ein Roboterarm 10. Die Trans-00176 chamber 2 there is a robot arm 10. The trans
00177 ferkammer 2 wird mittels einer Beladungsschleuse 100177 ferkammer 2 is by means of a load lock 1
00178 beladen. In diese Beladungsschleuse 1 werden die Halb- "00179 leitersubstrate (Wafer) insbesondere magaziniert einge-00178 loaded. In this load-lock 1, the half are "00179 lead substrates (wafers) in particular einge- magazined
00180 bracht. Diese Wafer können uribeschichtet sein. Sie00180 brings. These wafers can be coated with urine. she
00181 können aber auch vorbehandelt sein. Die Beladungsschleu-00181 can also be pretreated. The loading sluice
00182 se 1 ist von der Transferkammer 2 mittels einer Verbin-00182 se 1 is from the transfer chamber 2 by means of a connection
00183 dungstür 6 in Form eines gas- und druckdichten Schotts00183 manure door 6 in the form of a gas and pressure-tight bulkhead
00184 getrennt. An die Transferkammer 2 grenzen im Ausfüh-00184 separately. Bound to the transfer chamber 2 in execution
00185 rungsbeispiel die Bearbeitungskammern 3, 4 und 5, die00185 example of the processing chambers 3, 4 and 5, the
00186 jeweils über eine offenbare Verbindungstür 7, 8, 9 mit00186 each via an open connecting door 7, 8, 9
00187 der Transferkammer 2 verbunden sind. In die Transferkam-00187 of the transfer chamber 2 are connected. Into the transfer
00188 mer 2 mündet eine Spülleitung 11 zum Fluten der Trans-00188 mer 2 opens a flushing line 11 for flooding the trans
00189 ferkammer 2 mit einem Inertgas, bspw. Wasserstoff,00189 chamber 2 with an inert gas, for example hydrogen,
00190 Stickstoff oder Argon. Ferner geht von der Transferkam-00190 nitrogen or argon. Furthermore, the transfer cam
00191 mer 2 eine Evakuierungsleitung 12 ab, die zu einer00191 mer 2 an evacuation line 12 leading to a
00192 Vakuumpumpe führt. 0019300192 vacuum pump leads. 00193
00194 Bei der Bearbeitungskammer 3 kann es sich um eine Ultra-00194 The processing chamber 3 can be an ultra
00195 hoch-Vakuumkammer handeln, in der bspw. ein RTP-Prozess00195 act high vacuum chamber, in which, for example, an RTP process
00196 (rapid thermal process) bei einem Druck von 10 mbar00196 (rapid thermal process) at a pressure of 10 mbar
00197 durchgeführt wird. In der Bearbeitungskammer 4 kann ein00197 is carried out. In the processing chamber 4, a
00198 MOCVD-Prozess stattfinden. Dieser Prozess kann bei00198 MOCVD process take place. This process can take place at
00199 Prozessdrücken von größer 1 mbar erfolgen. In der Bear-00199 process pressures greater than 1 mbar. In the processing
00200 beitungskammer 5 kann entweder ein Vakuumprozess oder00200 processing chamber 5 can either be a vacuum process or
00201 ein Niedrigdruck-Prozess oder ein Atmophärendruck-Pro-00201 a low pressure process or an atmospheric pressure pro-
00202 zess durchgeführt werden. 0020300202 zess be carried out. 00203
00204 Um die Substrate, bei denen es sich um scheibenförmige00204 The substrates, which are disk-shaped
00205 Wafer handeln kann, die je nach Prozess aus Silizium,00205 Wafers can be made of silicon, depending on the process
00206 Galliumarsenid oder Indiumphosphid bestehen können, von00206 gallium arsenide or indium phosphide can consist of
00207 einer der Bearbeitungskammern 3 bis 5 in eine andere00207 one of the processing chambers 3 to 5 into another
00208 bzw. von oder zur Beladungsschleuse 1 zu bringen be- 00209 sitzt die Transferkammer 2 einen Roboterarm 10, der00208 or from or to loading lock 1 00209, the transfer chamber 2 sits a robot arm 10 which
00210 durch die geöffneten Verbindungstüren 7 bis 9 in je-00210 through the open connecting doors 7 to 9 in each
00211 weils eine Bearbeitungskammer 3 bis 5 greifen kann. Um00211 Weil can grip a processing chamber 3 to 5. Around
00212 die UHV-Bearbeitungskammer 3 mit Substraten zu beladen00212 to load the UHV processing chamber 3 with substrates
00213 bzw. die Kammer 3 zu entladen wird zunächst die Trans-00213 or to unload chamber 3, the trans
00214 ferkammer 2 evakuiert, wozu die Inertgaszuleitung 1100214 chamber 2 evacuated, for which purpose the inert gas supply line 11
00215 gesperrt wird und die zu einer Vakuumpumpe führende00215 is blocked and leading to a vacuum pump
00216 Leitung 12 geöffnet wird. Nach Erreichen eines genügend00216 line 12 is opened. After reaching enough
00217 hohen Vakuums in der Transferkammer 2 wird die Verbin-00217 high vacuum in the transfer chamber 2, the connection
00218 dungstür 7 geöffnet und der Be- bzw. Entladungsvorgang00218 manure door 7 opened and the loading or unloading process
00219 kann beginnen. Die aus der UHV-Bearbeitungskammer 300219 can begin. From the UHV processing chamber 3
00220 entnommenen Substrate können in der Transferkammer 200220 removed substrates can in the transfer chamber 2nd
00221 oder aber auch in der Beladungsschleuse 1 zwischenge-00221 or alternatively in the load lock 1
00222 speichert werden. Sodann wird die Verbindungstür 700222 can be saved. Then the connecting door 7
00223 wieder geschlossen. Um die Niedrigdruck- oder Atmosphä-00223 closed again. To the low pressure or atmospheric
00224 rendruck-Bearbeitungskammer 4 zu be- oder entladen wird00224 pressure processing chamber 4 to be loaded or unloaded
00225 die Transferkammer 2 durch die InertgasZuleitung 11 mit00225 with the transfer chamber 2 through the inert gas feed line 11
00226 einem Inertgas, bspw. Argon oder Stickstoff gegebenen-00226 an inert gas, for example argon or nitrogen
00227 falls auch Wasserstoff geflutet bis in der Transferkam-00227 if also hydrogen was flooded until in the transfer
00228 mer 2 ein Druck aufgebaut ist, der geringfügig höher00228 mer 2 a pressure is built up that is slightly higher
00229 ist, als der Druck in der Niedrigdruck- oder Atmosphä-00229 is when the pressure in the low pressure or atmospheric
00230 rendruck-Prozesskammer 4. Während des Flutens und des00230 pressure process chamber 4. During flooding and
00231 Be- und Entladens wird die Transferkammer mit Inertgas,00231 loading and unloading the transfer chamber with inert gas,
00232 bspw. Stickstoff oder Argon gespült. Wird dieser gering-00232, for example, nitrogen or argon purged. If this
II
00233 fügige Überdruck erreicht, wird die zugehörige Verbin-00233 compliant overpressure is reached, the associated connection
00234 dungstür 8 geöffnet. Der Überdruck ist so gering ge-00234 manure door 8 opened. The overpressure is so low
00235 wählt, dass sich lediglich eine definierte Strömung von00235 chooses that only a defined flow of
00236 der Transferkammer 2 in die Niedrigdruck- oder Atmosphä-00236 of the transfer chamber 2 into the low pressure or atmospheric
00237 rendruck-Bearbeitungskammer einstellt. 0023800237 pressure processing chamber. 00238
00239 In der Niedrigdruck- oder Atmosphärendruck-Bearbeitungs-00239 In low pressure or atmospheric pressure machining
00240 kammer 4 kann ein MOCVD-Prozess durchgeführt werden.00240 chamber 4 a MOCVD process can be carried out.
00241 Hierzu besitzt diese Bearbeitungskammer 4 eine im Stand00241 For this purpose, this processing chamber 4 has one in the state
00242 der Technik bekannte Prozesskammer, welcher die erfor-00242 known process chamber, which the required
00243 derlichen Prozessgase zugeführt werden. Die Prozesskam- 00244 mer wird geheizt. Während des Be- und Entladens kann00243 such process gases are supplied. The process 00244 mer is heated. Can during loading and unloading
00245 die Prozesskammer auf Prozesstemperatur gehalten blei¬00245 the process chamber is kept at process temperature
00246 ben. Lediglich nach dem Abscheiden von phosphorhaltigen00246 ben. Only after the separation of phosphorus
00247 Schichten kann es erforderlich sein, die Prozesskam¬00247 layers, the process can be necessary
00248 mertemperatur geringfügig abzusenken, um ein Abdampfen00248 slightly lower temperature to evaporate
00249 von Phosphor aus der Oberfläche zu verhindern.00249 to prevent phosphorus from the surface.
0025000250
00251 Die Druckdifferenz zwischen Transferkammer 2 und Bear¬00251 The pressure difference between transfer chamber 2 and Bear¬
00252 beitungskammer 4 ist so gewählt, dass sich eine defi¬00252 processing chamber 4 is selected so that a defi¬
00253 nierte Flussrichtung von Transfer- zur Prozesskammer00253 direction of flow from transfer chamber to process chamber
00254 einstellt. Die Gasströmung ist aber nicht so hoch, dass00254 sets. However, the gas flow is not so high that
00255 nennenswerte Kühleffekte eintreten bzw. strömungsbeding¬00255 noteworthy cooling effects or flow-related
00256 te Ablösung von Partikeln von den Wänden auftreten. Im00256 te detachment of particles from the walls occur. in the
00257 Wesentlichen dient die Definierung der Flussrichtung00257 essentially serves to define the flow direction
00258 zur Verhinderung einer Kontamination der Transferkammer00258 to prevent contamination of the transfer chamber
00259 2 während des Transfers.00259 2 during the transfer.
0026000260
00261 In der Bearbeitungskammer 4, die von einem MOCVD-Reak-00261 In the processing chamber 4, which is from a MOCVD reac
00262 tor gebildet ist, können auf in anderen Bearbeitungskam¬00262 gate is formed, can in other machining chamber
00263 mern 3, 5 vorbehandelte Substrate beschichtet werden.00263 mern 3, 5 pretreated substrates are coated.
00264 Es ist ferner möglich, die in der Bearbeitungskammer 400264 It is also possible that the in the processing chamber 4th
00265 beschichteten Substrate in einer der anderen Bearbei¬00265 coated substrates in one of the other machining
00266 tungskammern 3, 5 nachzubearbeiten, bspw. im Ultrahoch-00266 processing chambers 3, 5 to be reworked, for example in the ultra high
00267 Vakuum thermisch zu behandeln oder auch bei Niederdruck00267 Vacuum to be treated thermally or at low pressure
00268 oder Atmosphärendruck. Im Ausführungsbeispiel sind00268 or atmospheric pressure. Are in the embodiment
00269 lediglich insgesamt drei Bearbeitungskammern 3, 4, 500269 only a total of three processing chambers 3, 4, 5
00270 dargestellt. Die Erfindung umfasst aber auch Mehrkammer¬00270 shown. However, the invention also includes multi-chamber devices
00271 anlagen mit erheblich mehr miteinander verketteten00271 systems with significantly more chained together
00272 Bearbeitungskammern.00272 processing chambers.
0027300273
00274 In Fig. 2 ist grobschematisch eine Anordnung darge¬An arrangement is shown roughly schematically in FIG. 2
00275 stellt, die eine Transferkammer 2 und eine Bearbeitungs¬00275 provides a transfer chamber 2 and a processing
00276 kammer 4 aufweist, die mittels eines Schotts 7 voneinan¬00276 has chamber 4 which by means of a bulkhead 7 voneinan¬
00277 der getrennt sind. Das Schott 7 ist offenbar. In die00277 which are separated. The bulkhead 7 is evident. In the
00278 Transferkammer 2 mündet eine Inertgaszuleitung 11 und 00279 eine Evakuierungsleitung 12. In der Evakuierungsleitung00278 transfer chamber 2 opens an inert gas supply line 11 and 00279 an evacuation line 12. In the evacuation line
00280 12 befindet sich ein Druckregelventil 14, das einer00280 12 is a pressure control valve 14, which is one
00281 Vakuumpumpe 13 vorgeschaltet ist. 0028200281 vacuum pump 13 is connected upstream. 00282
00283 Die Bearbeitungskammer ist nahezu identisch aufgebaut.00283 The processing chamber is almost identical.
00284 In die Bearbeitungskammer 4 mündet ebenfalls eine00284 also opens into the processing chamber 4
00285 InertgasZuleitung 15 und eine Evakuierungsleitung 16.00285 inert gas supply line 15 and an evacuation line 16.
00286 Auch in dieser Evakuierungsleitung 16 befindet sich ein00286 There is also in this evacuation line 16
00287 Druckregelventil 18, welches vor einer Vakuumpumpe 1700287 pressure control valve 18, which in front of a vacuum pump 17th
00288 angeordnet ist. 0028900288 is arranged. 00289
00290 Vor dem Öffnen der Verbindungstür 7 zwischen der Trans-00290 Before opening the connecting door 7 between the trans
00291 ferkammer 2 und der Bearbeitungskammer 4 wird in der00291 ferkammer 2 and the processing chamber 4 is in the
00292 Transferkammer 2 ein Druck aufgebaut, der geringfügig00292 transfer chamber 2 a pressure built up slightly
00293 höher ist, als der Druck in der Bearbeitungskammer 4.00293 is higher than the pressure in the processing chamber 4.
00294 Vorzugsweise liegt der Druck in der Transferkammer 200294 The pressure is preferably in the transfer chamber 2
00295 etwa 1 bis 5 mbar höher als der Druck in der Bearbei-00295 about 1 to 5 mbar higher than the pressure in the machining
00296 tungskammer 3. Der in Fig. 3 dargestellte Druckanglei-00296 tungskammer 3. The pressure control shown in Fig. 3
00297 chungsprozess erfolgt folgendermaßen: 0029800297 process is as follows: 00298
00299 Der Spülgasfluss durch die InertgasZuleitung 15 wird00299 The purge gas flow through the inert gas feed line 15 is
00300 auf einen festen vorgegebenen Wert gesetzt. Dieser Wert00300 set to a fixed predetermined value. This value
00301 kann zwischen 1 und 500 sccm sein. Ferner wird der00301 can be between 1 and 500 sccm. Furthermore, the
00302 Druck in der Bearbeitungskammer 4 vorgegeben. Entspre-00302 pressure in the processing chamber 4 specified. correspond
00303 chend der Druckvorgabe regelt das Druckregelventil 1800303 regulates the pressure control valve 18
00304 die Evakuierungsleistung der Pumpe 17. 0030500304 the evacuation capacity of the pump 17. 00305
00306 Aus dem vorgegebenen Druck in der Bearbeitungskammer 400306 From the specified pressure in the processing chamber 4
00307 und der vorgegebenen Druckdifferenz ermittelt das Pro-00307 and the specified pressure difference
00308 zess-Steuerorgan den Druck in der Transferkammer 2.00308 zess control the pressure in the transfer chamber 2.
00309 Anhand einer vorgegebenen Wertetabelle oder eines vorge-00309 Using a specified table of values or a predefined
00310 gebenen funktioneilen Zusammenhangs wird vom Steueror-00310 functional relationship is given by the tax authority
00311 gan, abhängig vom Tranferkammerdruck, der durch die00311 gan, depending on the transfer chamber pressure caused by the
00312 Zuleitung 11 fließende Inertgasstrom festgesetzt. Der 00313 gleichbleibende Gasfluss beträgt auch hier 100 bis 50000312 supply line 11 flowing inert gas stream set. The 00313 constant gas flow is here also 100 to 500
00314 sccm. 0031500314 sccm. 00315
00316 Der Druck wird bei gleichbleibendem Gasstrom mittels00316 The pressure is kept at the same gas flow
00317 des Druckregelventiles 14 eingeregelt, welches die00317 of the pressure control valve 14, which the
00318 Evakuierungsleistung der Pumpe 13 beeinflusst. 0031900318 evacuation performance of the pump 13 influenced. 00319
00320 Sobald der Druck in der Bearbeitungskammer 4 und der00320 As soon as the pressure in the processing chamber 4 and
00321 Druck in der Transferkammer 2 einen stabilen Wert einge-00321 pressure in the transfer chamber 2 a stable value
00322 nommen hat, öffnet sich die Verbindungstür 7. Zufolge00322 has taken, the connecting door 7 opens
00323 des Druckunterschiedes in der Bearbeitungskammer 4 und00323 of the pressure difference in the processing chamber 4 and
00324 der Transferkammer 2 entsteht ein Gasstrom durch die00324 of the transfer chamber 2 creates a gas flow through the
00325 o fene Verbindungstür 7. Der Gasstrom durch die offene00325 open connection door 7. The gas flow through the open
00326 Verbindungstür 7 ist so gering gewählt, dass keine00326 connecting door 7 is chosen so small that none
00327 Partikel von den Wänden der Bearbeitungskammer 4 abge-00327 particles removed from the walls of the processing chamber 4
00328 tragen werden. Er ist aber so groß, dass eine Cross-Kon-00328 will wear. However, it is so large that a cross-con
00329 tamination weitestgehend vermieden wird. 0033000329 tamination is largely avoided. 00330
00331 Bei geöffneter Tür 7 erfolgt die Regelung der Drucke in00331 With door 7 open, the pressure is regulated in
00332 der Bearbeitungskammer bzw. der Transferkammer über die00332 of the processing chamber or the transfer chamber via the
00333 Evakuierungsleistung der den Kammern zugeordneten Pum-00333 Evacuation performance of the pump assigned to the chambers
00334 pen. 0033500334 pen. 00335
00336 Wie aus der Fig. 2 erkennbar ist, liegen die Zuleitun-00336 As can be seen from FIG. 2, the supply lines
00337 gen 11, 15 geringer beabstandet zur Verbindungstür 7,00337 gen 11, 15 closer to the connecting door 7,
00338 als' die Gasableitungen 12 und 16, welche einen größeren00338 than 'the gas discharge lines 12 and 16, which are larger
00339 Abstand zur Verbindungstür 7 besitzen. 00340Have 00339 distance to the connecting door 7. 00340
00341 Alle offenbarten Merkmale sind (für sich) erfindungswe-00341 All disclosed features are (in themselves) inventive
00342 sentlich. In die Offenbarung der Anmeldung wird hiermit00342 considerably. In the disclosure of the registration is hereby
00343 auch der Offenbarungsinhalt der zugehörigen/beigefügten00343 also the disclosure content of the associated / attached
00344 Prioritätsunterlagen (Abschrift der Voranmeldung) voll-00344 priority documents (copy of pre-registration) fully
00345 inhaltlich mit einbezogen, auch zu dem Zweck, Merkmale00345 included in the content, also for the purpose of features
00346 dieser Unterlagen in Ansprüche vorliegender Anmeldung00346 of these documents in claims of the present application
00347 mit aufzunehmen. 00347 to record.

Claims

0034800348
00349 Ansprüche00349 claims
0035000350
00351 1. Verfahren zur Bearbeitung von Halbleitersubstraten,00351 1. Method for processing semiconductor substrates,
00352 wobei die insbesondere uribeschichteten Halbleitersub-00352 wherein the in particular urine-coated semiconductor sub-
00353 sträte durch eine Beladungsschleuse (1) einer Bearbei-00353 streets through a loading lock (1) of a processing
00354 tungsanordnung zugeführt werden, welche Beladungsschleu-00354 supply arrangement, which loading sluice
00355 se (1) an eine Transferkammer (2) angrenzt, von welcher00355 se (1) adjacent to a transfer chamber (2), of which
00356 wiederum eine Vielzahl von Bearbeitungskammern (3, 4,00356 in turn a large number of processing chambers (3, 4,
00357 5) mit den zu bearbeitenden Halbleitersubstraten belad-00357 5) loaded with the semiconductor substrates to be processed
00358 bar sind, wozu zunächst die Transferkammer (2) und die00358 bar, which is why the transfer chamber (2) and
00359 Bearbeitungskammer (3) evakuiert werden und daran an-00359 processing chamber (3) are evacuated and attached to
00360 schließend eine Verbindungstür (7) zwischen Transferkam-00360 closing a connecting door (7) between transfer cam
00361 mer (2) und Bearbeitungskammer (3) geöffnet wird, da-00361 mer (2) and processing chamber (3) is opened so that
00362 durch gekennzeichnet, dass in mindestens einer der00362 characterized in that in at least one of the
00363 Bearbeitungskammern (4) ein Niedrigdruck- oder Atmosphä-00363 processing chambers (4) a low pressure or atmospheric
00364 rendruck-Prozess betrieben wird und vor dem Öffnen der00364 printing process is operated and before opening the
00365 dieser Bearbeitungskammer (4) zugeordneten Verbindungs-00365 this processing chamber (4) associated connection
00366 tür (8) die Transferkammer (2) mit einem Inertgas geflu-00366 door (8) the transfer chamber (2) with an inert gas
00367 tet wird. 0036800367 tet. 00368
00369 2. Verfahren nach Anspruch 1 oder insbesondere danach,00369 2. The method according to claim 1 or in particular according thereto,
00370 dadurch gekennzeichnet, dass beim Beladen der Bearbei-00370 characterized in that when loading the machining
00371 tungskammer (4) der Druck in der Transferkammer (2)00371 processing chamber (4) the pressure in the transfer chamber (2)
00372 geringfügig höher ist, als der Druck in der Bearbei-00372 is slightly higher than the pressure in the machining
00373 tungskammer (4) . 0037400373 processing chamber (4). 00374
00375 3. Verfahren nach einem oder mehreren der vorhergehen-00375 3. Method according to one or more of the preceding
00376 den Ansprüche oder insbesondere danach, dadurch gekenn-00376 the claims or in particular according thereto, characterized
00377 zeichnet, dass die Niedrigdruck- oder Atmosphärendruck-00377 records that the low pressure or atmospheric pressure
00378 Bearbeitungskammer (4) eine beheizte Prozesskammer00378 processing chamber (4) a heated process chamber
00379 besitzt, die beim Beladen oder Entladen beheizt bleibt00379 owns, which remains heated during loading or unloading
00380 und insbesondere auf Prozesstemperatur gehalten wird. 00381 00380 and in particular is kept at the process temperature. 00381
00382 4. Verfahren nach einem oder mehreren der vorhergehen-00382 4. Method according to one or more of the preceding
00383 den Ansprüche oder insbesondere danach, dadurch gekenn-00383 the claims or in particular according thereto, characterized
00384 zeichnet, dass in der Niedrigdruck- oder Atmosphären-00384 records that in the low pressure or atmospheric
00385 druck-Bearbeitungskammer (4) eine Beschichtung der00385 pressure processing chamber (4) a coating of the
00386 Halbleitersubstrate insbesondere mittels der Gasphase00386 semiconductor substrates in particular by means of the gas phase
00387 zugeführten Reaktionsgasen durchgeführt wird. 0038800387 supplied reaction gases is carried out. 00388
00389 5. Verfahren nach einem oder mehreren der vorhergehen-00389 5. Method according to one or more of the preceding
00390 den Ansprüche oder insbesondere danach, dadurch gekenn-00390 according to the claims or in particular according thereto,
00391 zeichnet, dass in der Niedrigdruck- oder Atmosphären-00391 records that in the low pressure or atmospheric
00392 druck-Bearbeitungskammer (4) ein MOCVD-Prozess durchge-00392 pressure processing chamber (4) a MOCVD process
00393 führt wird. 0039400393 leads. 00394
00395 6. Verfahren zur Bearbeitung von Halbleitersubstraten,00395 6. Process for processing semiconductor substrates,
00396 wobei die insbesondere unbeschichteten Halbleitersub-00396 where the in particular uncoated semiconductor substrates
00397 strate durch eine Beladungsschleuse (1) einer Bearbei-00397 strate through a loading lock (1) of a processing
00398 tungsanordnung zugeführt werden, welche Beladungsschleu-00398 supply arrangement can be supplied, which loading sluice
00399 se (1) an eine Transferkammer (2) angrenzt, von welcher00399 se (1) adjacent to a transfer chamber (2), of which
00400 ein oder mehrere Bearbeitungskammern (3, 4, 5) mit den00400 one or more processing chambers (3, 4, 5) with the
00401 zu bearbeitenden Halbleitersubstraten beladbar sind,00401 to be processed semiconductor substrates can be loaded,
00402 wozu eine Verbindungstür (7) zwischen Transferkammer00402 why a connecting door (7) between the transfer chamber
00403 (2) und Bearbeitungskammer (3) geöffnet wird, dadurch00403 (2) and processing chamber (3) is opened, thereby
00404 gekennzeichnet, dass in mindestens einer der Bearbei-00404 characterized in that in at least one of the machining
00405 tungskammern (4) ein Niedrigdruck- oder Atmosphären-00405 tion chambers (4) a low pressure or atmospheric
00406 druck-Prozess betrieben wird und vor dem Öffnen der00406 printing process is operated and before opening the
00407 dieser Bearbeitungskammer (4) zugeordneten Verbindungs-00407 this processing chamber (4) associated connection
00408 tür (8) die Transferkammer (2) mit einem Inertgas geflu-00408 door (8) the transfer chamber (2) flowed with an inert gas
00409 tet wird, wobei die Druckdifferenz zwischen der Trans-00409 tet, the pressure difference between the trans-
00410 ferkammer (2) und der Bearbeitungskammer (4) dadurch00410 chamber (2) and the processing chamber (4)
00411 aufrechterhalten wird, dass bei gleichbleibenden Gas-00411 is maintained that with constant gas
00412 flüssen in die Transferkammer (2) einerseits und in die00412 flow into the transfer chamber (2) on the one hand and in the
00413 Bearbeitungskammer (4) andererseits der Druck in Trans-00413 processing chamber (4) on the other hand the pressure in trans-
00414 ferkammer (2) bzw. Bearbeitungskammer (4) durch Variati-00414 chamber (2) or processing chamber (4) through
00415 on der Evakuierungsleistung geregelt wird. 00416 00415 on the evacuation performance is regulated. 00416
00417 7. Verfahren nach einem oder mehreren der vorhergehen00418 den Ansprüche oder insbesondere danach, dadurch gekenn00419 zeichnet, dass vor dem Öffnen der Verbindungstür je00420 weils in der Transferkammer (2) bzw. der Bearbeitungs00421 kammer (4) ein stabiler Druck eingeregelt wird, wobei 00422 der Druck in der Transferkammer (2) um eine Druckdiffe00423 renz höher ist, als der Druck in der Bearbeitungskammer 00424 (4). 00425 00426 8. Verfahren nach einem oder mehreren der vorhergehen00427 den Ansprüche oder insbesondere danach, dadurch gekenn00428 zeichnet, dass die Druckdifferenz etwa 0,1 bis 5 mbar 00429 beträgt . 00430 00431 9. Verfahren nach einem oder mehreren der vorhergehen00432 den Ansprüche oder insbesondere danach, dadurch gekenn00433 zeichnet, dass die Höhe des Spülgasflusses in der Bear00434 beitungskammer (4) bzw. der Transferkammer (2) um so 00435 größer ist, wie der Totaldruck in der jeweiligen Kammer 00436 (2, 4) ist und einem vorgegebenen funktionalen Zusammen00437 hang folgt oder in einer Wertetabelle in einer elektro00438 nischen Steuereinrichtung hinterlegt ist. 00439 00440 10. Vorrichtung zur Durchführung des Verfahrens gemäß 00441 einem der vorhergehenden Ansprüche, mit einer an eine 00442 insbesondere evakuierbaren Transferkammer (2) angrenzen00443 den Beladungsschleuse (1) und mit mindestens einer 00444 Bearbeitungskammer (3) zum Bearbeiten eines Halbleiter00445 substrates dadurch gekennzeichnet, dass mindestens eine 00446 Bearbeitungskammer (4) zum Bearbeiten von Halbleitersub00447 straten bei Niedrigdruck oder Atmosphärendruck ausge00448 stattet ist, wobei die Transferkammer (2) zum Beladen 00449 dieser Kammern (4) dort mit einem Inertgas spülbar ist, 00450 dass beim Beladen zufolge einer Druckdifferenz ein 00451 Gasstrom in die Bearbeitungskammer (4) strömt. 00417 7. The method according to one or more of the preceding00418 claims or in particular according thereto, characterized in that 00419 that before opening the connecting door each 00420 a stable pressure is regulated in the transfer chamber (2) or the processing chamber 00421 (4), 00422 the pressure in the transfer chamber (2) is a pressure difference 00423 higher than the pressure in the processing chamber 00424 (4). 00425 00426 8. The method according to one or more of the preceding claims 00427 or in particular according thereto, characterized in that the pressure difference is approximately 0.1 to 5 mbar 00429. 00430 00431 9. The method according to one or more of the preceding00432 claims or in particular according thereto, characterized in that the height of the purge gas flow in the processing chamber (4) or the transfer chamber (2) is 00435 greater than the total pressure in the respective chamber is 00436 (2, 4) and follows a predefined functional relationship 00437 or is stored in a table of values in an electronic control device. 00439 00440 10. Device for carrying out the method according to 00441 one of the preceding claims, with a transfer chamber (2) adjacent to a 00442, in particular evacuable, 00443 the loading lock (1) and with at least one 00444 processing chamber (3) for processing a semiconductor 00445 substrate, characterized in that that at least one 00446 processing chamber (4) for processing semiconductor substrates 00447 at low pressure or atmospheric pressure is equipped 00448, the transfer chamber (2) for loading 00449 these chambers (4) being flushable there with an inert gas, 00450 that when loading due to a pressure difference 00451 gas flow flows into the processing chamber (4).
00452 11. Vorrichtung nach einem oder mehreren der vorherge-00452 11. Device according to one or more of the previously
00453 henden Ansprüche oder insbesondere danach, dadurch00453 claims or in particular according thereto, thereby
00454 gekennzeichnet, dass die Niedrigdruck- oder Atmosphären-00454 characterized that the low pressure or atmospheric
00455 druck-Bearbeitungskammer (4) ein MOCVD-Reaktor mit00455 pressure processing chamber (4) with a MOCVD reactor
00456 beheizbarer Prozesskammer ist. 0045700456 heatable process chamber. 00457
00458 12. Vorrichtung nach einem oder mehreren der vorherge-00458 12. Device according to one or more of the previously
00459 henden Ansprüche oder insbesondere danach, gekennzeich-00459 claims or in particular according thereto,
00460 net durch ein Steuerorgan zum Einstellen von jeweiligen00460 net by a control device for setting each
00461 Spülgasflüssen sowohl in die Bearbeitungskammer (4) als00461 purge gas flows both in the processing chamber (4) and
00462 auch in die Transferkammer (2) und zum Regeln der Druk-00462 also in the transfer chamber (2) and for regulating the pressure
00463 ke in der Bearbeitungskammer (4) bzw. der Transferkam-00463 ke in the processing chamber (4) or the transfer chamber
00464 mer (2) . 0046500464 mer (2). 00465
00466 13. Vorrichtung nach einem oder mehreren der vorherge-00466 13. Device according to one or more of the previously
00467 henden Ansprüche oder insbesondere danach, gekennzeich-00467 claims or in particular according thereto,
00468 net durch jeweils einer Bearbeitungskammer (4) und der00468 net through one processing chamber (4) and the
00469 Transferkammer (2) zugeordneten, vom Steuerorgan gere-00469 transfer chamber (2) assigned,
00470 gelten Evakuierungseinrichtung (13, 17) . 0047100470 apply evacuation device (13, 17). 00471
00472 14. Vorrichtung nach einem oder mehreren der vorherge-00472 14. Device according to one or more of the previously
00473 henden Ansprüche oder insbesondere danach, dadurch00473 claims or in particular according thereto, thereby
00474 gekennzeichnet, dass der Spülgasausgang (11, 15) der00474 characterized that the purge gas outlet (11, 15) of the
00475 Verbindungstür (7) näher beäbstandet ist, als die der00475 connecting door (7) is spaced closer than that of
00476 Evakuierungseinrichtung (13, 17) zugeordnete Gasablei-00476 evacuation device (13, 17) associated gas discharge
00477 tung (12, 16) . 00477 tung (12, 16).
EP01994794A 2000-12-23 2001-12-15 Method and device for treating semiconductor substrates Withdrawn EP1344243A1 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
DE10064943 2000-12-23
DE10064943 2000-12-23
DE10159702 2001-12-05
DE10159702A DE10159702A1 (en) 2000-12-23 2001-12-05 Method and device for processing semiconductor substrates
PCT/EP2001/014832 WO2002052617A1 (en) 2000-12-23 2001-12-15 Method and device for treating semiconductor substrates

Publications (1)

Publication Number Publication Date
EP1344243A1 true EP1344243A1 (en) 2003-09-17

Family

ID=26008087

Family Applications (1)

Application Number Title Priority Date Filing Date
EP01994794A Withdrawn EP1344243A1 (en) 2000-12-23 2001-12-15 Method and device for treating semiconductor substrates

Country Status (4)

Country Link
US (1) US6908838B2 (en)
EP (1) EP1344243A1 (en)
JP (1) JP2004516678A (en)
WO (1) WO2002052617A1 (en)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7521089B2 (en) * 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US7368368B2 (en) 2004-08-18 2008-05-06 Cree, Inc. Multi-chamber MOCVD growth apparatus for high performance/high throughput
JP2009501083A (en) * 2005-07-13 2009-01-15 フジフィルム ディマティックス,インコーポレイテッド Fluid deposition cluster tool
US20080050889A1 (en) * 2006-08-24 2008-02-28 Applied Materials, Inc. Hotwall reactor and method for reducing particle formation in GaN MOCVD
US20090029033A1 (en) * 2007-07-27 2009-01-29 Joseph Chou Method of manufacturing thin-film based PV modules
US20100236478A1 (en) * 2007-09-03 2010-09-23 Tokyo Electron Limited Vacuum processing system
US8778079B2 (en) 2007-10-11 2014-07-15 Valence Process Equipment, Inc. Chemical vapor deposition reactor
JP4931902B2 (en) * 2008-12-26 2012-05-16 東京エレクトロン株式会社 Processing method and processing system

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR900001666B1 (en) * 1985-07-19 1990-03-17 후지쓰가부시끼가이샤 Metal organic chemical vapor deposition apparatus for epitataxin growth of chemical semiconductor
US4985281A (en) * 1988-08-22 1991-01-15 Santa Barbara Research Center Elemental mercury source for metal-organic chemical vapor deposition
EP0408216A3 (en) * 1989-07-11 1991-09-18 Hitachi, Ltd. Method for processing wafers and producing semiconductor devices and apparatus for producing the same
JPH03193877A (en) * 1989-12-21 1991-08-23 Matsushita Electric Ind Co Ltd Semiconductor producing device and production of semiconductor device
JP3268394B2 (en) * 1992-03-11 2002-03-25 東京エレクトロン株式会社 Processing method
JPH0758093A (en) * 1993-08-17 1995-03-03 Kokusai Electric Co Ltd Method and apparatus for inert gas replacement of load lock apparatus
JPH10144757A (en) * 1996-11-08 1998-05-29 Dainippon Screen Mfg Co Ltd Substrate processing device
JP3967424B2 (en) * 1997-04-30 2007-08-29 東京エレクトロン株式会社 Vacuum processing apparatus and pressure adjustment method
EP1027723B1 (en) * 1997-10-14 2009-06-17 Patterning Technologies Limited Method of forming an electric capacitor
US6376387B2 (en) * 1999-07-09 2002-04-23 Applied Materials, Inc. Method of sealing an epitaxial silicon layer on a substrate

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See references of WO02052617A1 *

Also Published As

Publication number Publication date
US20040058464A1 (en) 2004-03-25
US6908838B2 (en) 2005-06-21
WO2002052617A1 (en) 2002-07-04
JP2004516678A (en) 2004-06-03
WO2002052617B1 (en) 2002-09-06

Similar Documents

Publication Publication Date Title
EP1774057B1 (en) Device and method for high-throughput chemical vapor deposition
DE69727536T2 (en) Reaction chamber with built-in gas distributor plate
DE102014105294A1 (en) Apparatus and method for exhaust gas purification on a CVD reactor
DE112006003315T5 (en) Gas head and thin film manufacturing device
EP0307539A2 (en) Process and apparatus for coating substrates
DE102009043848A1 (en) CVD method and CVD reactor
DE102012103295A1 (en) Device useful for coating semiconductor substrates, comprises processing unit, which is centrally arranged transfer module, loading- or unloading interface, power modules comprising a gas mixing system, pipelines, and a service space
WO2002052617A1 (en) Method and device for treating semiconductor substrates
DE10159702A1 (en) Method and device for processing semiconductor substrates
DE112012000579T5 (en) Plant, process and reaction chamber
WO2014128045A1 (en) Cvd device and method for cleaning a processing chamber of a cvd device
DE102009018700B4 (en) Coating line and method for coating
DE102013109210A1 (en) Evacuable chamber, in particular with a purge gas flushable loading sluice
DE102014115497A1 (en) Tempered gas supply with diluent gas streams fed in at several points
EP3475472A1 (en) Method and device for producing coated semiconductor wafers
WO2020225228A1 (en) Method for depositing a semiconductor layer system, which contains gallium and indium
EP1415332B1 (en) Method and device for the production of epitaxial thin semiconductor layers
EP0763148A2 (en) Reactor and process for coating flat substrates
WO2003031680A1 (en) Methods for the production of components and ultra high vacuum cvd reactor
EP0293607B1 (en) Device for sputtering thin layers on a substrate
EP1007761A1 (en) Gas distribution system for a process reactor and method for processing semiconductor substrates
DE102005004311A1 (en) Depositing semiconductor layers on a series of substrates comprises passing each substrate through a series of process chambers in which a single layer is deposited
DE102011054566A1 (en) Method for separating multi-component metal-organic semiconductor layers on substrate, involves enabling process gas total flow so that partial fluxes are introduced in process chamber to optimize lateral homogeneity on deposited layer
DE19704533C2 (en) Process for creating layers on a surface
DE3908316C2 (en)

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20030702

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LI LU MC NL PT SE TR

AX Request for extension of the european patent

Extension state: AL LT LV MK RO SI

RBV Designated contracting states (corrected)

Designated state(s): DE FR GB NL

17Q First examination report despatched

Effective date: 20060915

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20080701