DE10159702A1 - Method and device for processing semiconductor substrates - Google Patents

Method and device for processing semiconductor substrates

Info

Publication number
DE10159702A1
DE10159702A1 DE10159702A DE10159702A DE10159702A1 DE 10159702 A1 DE10159702 A1 DE 10159702A1 DE 10159702 A DE10159702 A DE 10159702A DE 10159702 A DE10159702 A DE 10159702A DE 10159702 A1 DE10159702 A1 DE 10159702A1
Authority
DE
Germany
Prior art keywords
chamber
pressure
processing
transfer chamber
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE10159702A
Other languages
German (de)
Inventor
Piotr Strzyzewski
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Aixtron SE
Original Assignee
Aixtron SE
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Aixtron SE filed Critical Aixtron SE
Priority to DE10159702A priority Critical patent/DE10159702A1/en
Priority to PCT/EP2001/014832 priority patent/WO2002052617A1/en
Priority to JP2002553223A priority patent/JP2004516678A/en
Priority to KR10-2003-7007747A priority patent/KR20030063413A/en
Priority to EP01994794A priority patent/EP1344243A1/en
Publication of DE10159702A1 publication Critical patent/DE10159702A1/en
Priority to US10/601,508 priority patent/US6908838B2/en
Ceased legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

Die Erfindung betrifft ein Verfahren und eine Vorrichtung zur Bearbeitung von Halbleitersubstraten, wobei die insbesondere unbeschichteten Halbleitersubstrate durch eine Beladungsschleuse (1) einer Bearbeitungsanordnung zugeführt werden, welche Beladungsschleuse (1) an eine Transferkammer (2) angrenzt, von welcher wiederum eine Vielzahl von Bearbeitungskammern (3, 4, 5) mit den zu bearbeitenden Halbleitersubstraten beladbar sind, wozu zunächst die Transferkammer (2) und die Bearbeitungskammer (3) evakuiert werden und daran anschließend eine Verbindungstür (7) zwischen Transferkammer (2) und Bearbeitungskammer (3) geöffnet wird. Als Verbesserung schlägt die Erfindung vor, dass mindestens einer der Bearbeitungskammern (4) ein Niedrigdruck- oder Atmosphärendruck-Prozess betrieben wird und vor dem Öffnen der dieser Bearbeitungskammer (49 zugeordneten Verbindungstür (8) die Transferkammer (2) mit einem Inertgas geflutet wird, wobei zwischen Transferkammer und Bearbeitungskammer eine vorbestimmte Druckdifferenz erhalten bleibt.The invention relates to a method and a device for processing semiconductor substrates, the uncoated semiconductor substrates in particular being fed through a loading lock (1) to a processing arrangement which loading lock (1) is adjacent to a transfer chamber (2), of which in turn a multiplicity of processing chambers ( 3, 4, 5) can be loaded with the semiconductor substrates to be processed, for which purpose the transfer chamber (2) and the processing chamber (3) are first evacuated and then a connecting door (7) between the transfer chamber (2) and the processing chamber (3) is opened. As an improvement, the invention proposes that at least one of the processing chambers (4) be operated in a low-pressure or atmospheric pressure process and that the transfer chamber (2) is flooded with an inert gas before opening the connecting door (8) assigned to this processing chamber (49) a predetermined pressure difference is maintained between the transfer chamber and the processing chamber.

Description

Verfahren und Vorrichtung zur Bearbeitung von HalbleitersubstratenMethod and device for processing Semiconductor substrates

Die Erfindung betrifft ein Verfahren und eine Vorrich­ tung zur Bearbeitung von Halbleitersubstraten, wobei die insbesondere unbeschichteten Halbleitersubstrate durch eine Beladungsschleuse einer Bearbeitungsanord­ nung zugeführt werden, welche Beladungsschleuse an eine Transferkammer angrenzt, von welcher wiederum eine Vielzahl von Bearbeitungskammern mit den zu bearbeiten­ den Halbleitersubstraten beladbar sind, wozu zunächst die Transferkammer und die Bearbeitungskammer evakuiert werden und daran anschließend eine Verbindungstür zwi­ schen Transferkammer und Bearbeitungskammer geöffnet wird.The invention relates to a method and a Vorrich device for processing semiconductor substrates, wherein the particularly uncoated semiconductor substrates through a loading lock of a processing arrangement voltage, which load lock is connected to a Transfer chamber adjoins, of which in turn one Variety of processing chambers with which to edit the semiconductor substrates are loadable, for which purpose first the transfer chamber and the processing chamber are evacuated be and then a connecting door between The transfer chamber and processing chamber are open becomes.

Im Stand der Technik, insbesondere in der Siliziumtech­ nologie, werden miteinander verkettete Halbleiterprozes­ se in sogenannten Mehrkammeranlagen (Cluster tools) durchgeführt. In jeder der mehreren Kammern wird ein spezifischer Bearbeitungsschritt des Halbleitersubstra­ tes durchgeführt. Unter anderem können dort Beschich­ tungsschritte, Ätzschritte, Temperschritte, Diffusions­ schritte oder dergleichen durchgeführt werden. Der Wechsel des Substrates von der einen in die anderen Bearbeitungskarmern erfolgt mittels zumindest eines in einer Transferkammer angeordneten Roboterarmes. Die Bearbeitungskammern sind von der Transferkammer mittels einer druck- und gasdichten Verbindungstür getrennt. Diese wird erst geöffnet, nachdem sowohl die Transfer­ kammer, als auch die jeweilige Bearbeitungskammer evaku­ iert worden sind. Bei Behandlungsprozessen, die im Vakuum bzw. Ultrahoch-Vakuum stattfinden braucht nur die Transferkammer evakuiert oder im Vakuum gehalten zu werden. Bei Prozessen, die bei Atmosphären- oder Nie­ drigdruck stattfinden muss die Bearbeitungskammer evaku­ iert werden. Dieser Evakuierungsschritt ist insbesonde­ re dann nachteilhaft, wenn in der Bearbeitungskammer ein Beschichtungsprozess durchgeführt wird. Bei derarti­ gen CVD-Prozessen sind parasitäre Depositionen z. B. an den Wänden der Prozesskammer oft nicht zu vermeiden. Die mit der Evakuierung einhergehenden thermischen und mechanischen Belastungen körnen dazu führen, dass die parisitären Beschichtungen abplatzen. Die damit einher­ gehende Partikelbildung ist störend. Die Partikel kön­ nen insbesondere in die Transferkammer gelangen oder die Substrat-/Schichtoberfläche beeinträchtigen. Derar­ tige dynamische Druckprozesse beeinflussen darüber hinaus das thermische Profil innerhalb der Prozesskam­ mer, die vorzugsweise während des Be- und Entladens auf Prozesstemperatur gehalten werden soll bzw. nur gering­ fügig abgekühlt wird. Insbesondere bei Prozessdrucken von größer einem Millibar werden durch das Evakuieren die Wärmeleiteffekte in erheblichem Maße beeinflusst. Dies hat dann zur Folge, dass die Zykluszeiten zufolge der erforderlichen Zeit zur Erreichung der Gleichge­ wichtsbedingungen hoch sind.In the prior art, especially in silicon tech technology, are linked semiconductor processes se in so-called multi-chamber systems (cluster tools) carried out. One is in each of the several chambers specific processing step of the semiconductor substrate carried out. Among other things, can be there processing steps, etching steps, tempering steps, diffusions steps or the like are performed. The Change of the substrate from one to the other Processing pitches is carried out by means of at least one in a robot arm arranged in a transfer chamber. The Processing chambers are by means of the transfer chamber a pressure and gas-tight connecting door. This will only open after both the transfer chamber, as well as the respective processing chamber evaku have been established. In treatment processes that in Vacuum or ultra-high vacuum only needs to take place  the transfer chamber is evacuated or kept in a vacuum become. In processes that are at atmospheric or never The processing chamber evaku must take place be. This evacuation step is in particular re then disadvantageous when in the processing chamber a coating process is carried out. At suchi CVD processes are parasitic deposition z. B. on often cannot be avoided on the walls of the process chamber. The thermal and mechanical loads can cause the flaking off parisitische coatings. The associated with it outgoing particle formation is disruptive. The particles can in particular get into the transfer chamber or affect the substrate / layer surface. Derar This influences dynamic printing processes addition, the thermal profile within the process came mer, which is preferably during loading and unloading Process temperature should be kept or only low is cooled. Especially with process printing of more than one millibar will be evacuated influences the thermal conductivity to a considerable extent. This then has the consequence that according to the cycle times the time required to achieve the equilibrium weight conditions are high.

Der Erfindung liegt die Aufgabe zugrunde, Maßnahmen vorzusehen, um den zuvor genannten Nachteilen entgegen­ zuwirken und insbesondere einen CVD-Reaktor, vorzugswei­ se einen MOCVD-Reaktor in eine Mehrkammeranlage zu integrieren.The invention is based, measures to counteract the disadvantages mentioned above to act and in particular a CVD reactor, preferably se a MOCVD reactor in a multi-chamber system integrate.

Gelöst wird die Aufgabe durch die in den Ansprüchen angegebene Erfindung, wobei zunächst und im Wesentli­ chen vorgesehen ist, dass in mindestens einer der Bear­ beitungskammern ein Niedrigdruck- oder Atmosphärendruck - Prozess betrieben wird und vor dem Öffnen der dieser Bearbeitungskammer zugeordneten Verbindungstür die Transferkammer mit einem Inertgas geflutet wird. Diese Maßnahme hat zur Folge, dass die Bearbeitungskammer auf Prozessdruck gehalten werden kann. Lediglich die Pro­ zessgase werden abgeschaltet. In einer Weiterbildung der Erfindung ist vorgesehen, dass beim Öffnen der Verbindungstür der Druck in der Transferkarner geringfü­ gig höher ist, als der Druck in der Bearbeitungskammer. Dies hat zur Folge, dass eine Gasstromrichtung durch die Verbindungstür in die Bearbeitungskammer gerichtet ist. Dies wirkt dem Austritt von Restgasen aus der Bearbeitungskammer in die Transferkammer entgegen. Ein Massentransport in dieser Richtung kann deshalb im Wesentlichen nur durch Diffusion erfolgen. Damit ist auch ein übersprechen der Gase (Cross-Contamination) in benachbarte Bearbeitungskammern vermindert. In einer Weiterbildung der Erfindung ist vorgesehen, dass die Niedrigdruck- oder Atmosphärendruck-Bearbeitungskammer eine beheizte Prozesskammer besitzt, die beim Beladen oder Entladen beheizt bleibt und insbesondere auf Pro­ zesstemperatur gehalten wird. Handelt es sich bei der Bearbeitungskammer um einen MOCS. TD-Reaktor in dem phosphorhaltige Halbleiterschichten auf einem insbeson­ dere III-V-Substrat deponiert werden, so kann vorgese­ hen sein, dass die Prozesskammertemperatur für das Be- und Entladen abgesenkt wird, um ein Abdampfen der Phos­ phor-Komponente aus der abgeschiedenen Halbleiter­ schicht zu verhindern. Zur Durchführung des MOCVD-Pro­ zesses werden der Gasphase entsprechende Reaktionsgase zugeführt. Für die Elemente der fünften Hauptgruppe werden Arsin oder Phosphin verwendet. Für die Elemente der dritten Hauptgruppe die entsprechenden metallorgani­ schen Verbindungen von Ga, In oder Al. The task is solved by the in the claims specified invention, wherein first and in essence Chen is provided that in at least one of the Bear processing chambers a low pressure or atmospheric pressure -  Process is operated and before opening this Processing chamber associated connecting door Transfer chamber is flooded with an inert gas. This Measure has the consequence that the processing chamber on Process pressure can be maintained. Only the pro cess gases are switched off. In a training course the invention provides that when opening the Connecting door the pressure in the transfer car gig is higher than the pressure in the processing chamber. As a result, a gas flow direction through the connecting door is directed into the processing chamber is. This affects the leakage of residual gases from the Processing chamber in the transfer chamber. On Mass transport in this direction can therefore in Essentially, this can only be done by diffusion. So that is also a crosstalk of the gases (cross-contamination) in adjacent processing chambers reduced. In a Further development of the invention provides that the Low pressure or atmospheric pressure processing chamber has a heated process chamber during loading or unloading remains heated and especially on Pro temperature is maintained. Is it the Processing chamber around a MOCS. TD reactor in the phosphor-containing semiconductor layers on one in particular whose III-V substrate is deposited, can be pre-read be that the process chamber temperature for the loading and discharge is lowered to allow the phos to evaporate phor component from the deposited semiconductor to prevent layer. To carry out the MOCVD-Pro reaction gases corresponding to the gas phase fed. For the elements of the fifth main group arsine or phosphine are used. For the elements the third main group the corresponding metal organi connections of Ga, In or Al.  

Die Erfindung betrifft auch eine Vorrichtung bzw. ein Verfahren, bei der oder dem nur eine oder mehrere Nie­ drigdruck- oder Atmosphärendruck-Bearbeitungskammern an einer Transferkammer zugeordnet sind. Um, insbesondere bei solchen Vorrichtungen einen definierten Gasfluss von der Transferkammer in die Bearbeitungskammer bei geöffneter Verbindungstür zu gewährleisten sieht die Erfindung vor, dass sowohl der Spülgasfluss in die Transferkammer als auch der Spülgasfluss in die Bearbei­ tungskammer vor dem Öffnen der Verbindungstür und wäh­ rend der geöffneten Verbindungstür festgesetzt sind. Dadurch fließt sowohl in die Transferkammer als auch in die Bearbeitungskammer stets ein gleichbleibender Gas­ strom. Der Gasstrom, der von der Transferkammer in die Bearbeitungskammer strömt wird durch den Druckunter­ schied zwischen der Transferkammer und der Bearbeitungs­ kammer vorgegeben. Der Druck in der Bearbeitungskammer wird durch ein regelbares Ventil eingestellt, das die Evakuierungsleistung der zugehörigen Pumpe beeinflusst. Der Druck in der Transferkammer wird ebenfalls über ein Ventil gesteuert, welches die Evakuierungsleistung der der Transferkammer zugeordneten Pumpe beeinflusst.The invention also relates to a device or a Procedure in which only one or more never pressure or atmospheric pressure processing chambers are assigned to a transfer chamber. Um, in particular with such devices a defined gas flow from the transfer chamber to the processing chamber to ensure that the connecting door is open Invention before that both the purge gas flow in the Transfer chamber as well as the purge gas flow into the processing chamber before opening the connecting door and wuh are fixed when the connecting door is open. As a result, flows into both the transfer chamber and the processing chamber is always a constant gas electricity. The gas flow from the transfer chamber into the Processing chamber flows through the pressure sub differentiated between the transfer chamber and the processing chamber specified. The pressure in the processing chamber is set by an adjustable valve, which the Evacuation performance of the associated pump is affected. The pressure in the transfer chamber is also about one Valve controlled, which the evacuation of the the pump assigned to the transfer chamber.

Die Erfindung betrifft insbesondere das Regelverfahren, mittels welchem diese Druckbalance einstellbar ist. Erfindungsgemäß wird der Druck entweder der Transferkam­ mer oder der Druck der Bearbeitungskammer vorgegeben. Des Weiteren wird der in diese Kammer einströmende Spülgasfluss vorgegeben. Der Spülgasfluss ist vom Total­ druck innerhalb der Kammer abhängig. Bei einem geringen Totaldruck fließt ein geringer Spülgasstrom. Bei einem höheren Totaldruck fließt ein entsprechend höherer Spülgasstrom. Als zweiter Parameter wird die Druckdiffe­ renz zur anderen Kammer vorgegeben. Diese Druckdiffe­ renz kann abhängig vom Totaldruck sein. Aus diesen beiden Parametern ermittelt das Prozess-Steuerorgan, bei dem es sich um einen Rechner handeln kann, den Druck in der anderen Kammer. Abhängig von diesem Druck wird der, für diese Kammer einzustellende Spülgasfluss ermittelt. Sodann wird der Spülgasfluss und der Druck eingestellt. Sind sowohl in der Bearbeitungskammer als auch in der Transferkammer stabile Druckverhältnisse eingetreten, so wird die Verbindungstür geöffnet. Bevor­ zugt wird der Druck in der Bearbeitungskammer vorgege­ ben, so dass der Transferkammerdruck entsprechend der Druckdifferenz und dem Bearbeitungskammerdruck einge­ stellt wird. Die Druckdifferenz zwischen Transferkammer­ druck und Bearbeitungskammerdruck kann zwischen 0,1 und 5 mbar liegen. Der Druck in der Transferkammer bzw. der Druck in der Bearbeitungskammer kann zwischen einem und mehreren 100 mbar liegen. Entsprechend beträgt der Spülgasfluss in die Transferkammer bzw. die Bearbei­ tungskammer zwischen 100 und 500 sccm. In der Bearbei­ tungskammer kann der Fluss auch größer sein.The invention relates in particular to the control method, by means of which this pressure balance can be adjusted. According to the invention, the pressure either came from the transfer mer or the pressure of the processing chamber specified. Furthermore, the one flowing into this chamber Purge gas flow specified. The purge gas flow is total pressure within the chamber. At a low A low purge gas flow flows total pressure. At a higher total pressure flows a correspondingly higher Purge gas. The second parameter is the pressure difference limit to the other chamber. These pressure differences limit can depend on the total pressure. From these  the process controller determines both parameters, which can be a computer that Pressure in the other chamber. Depending on this pressure becomes the purge gas flow to be set for this chamber determined. Then the purge gas flow and pressure set. Are both in the processing chamber stable pressure conditions also in the transfer chamber the connecting door is opened. before the pressure is given in the processing chamber ben, so that the transfer chamber pressure corresponding to the Pressure difference and the processing chamber pressure turned on is posed. The pressure difference between the transfer chamber pressure and processing chamber pressure can be between 0.1 and 5 mbar. The pressure in the transfer chamber or Pressure in the processing chamber can be between one and several hundred mbar. Accordingly, the Purge gas flow into the transfer chamber or the processing chamber between 100 and 500 sccm. In progress can also be larger.

Ausführungsbeispiele der Erfindung werden nachfolgend anhand der beigefügten Zeichnungen erläutert. Es zeigen:Embodiments of the invention are as follows explained with reference to the accompanying drawings. Show it:

Fig. 1 in grobschematischer Darstellung eine Vorrich­ tung zur Bearbeitung von Halbleitersubstraten, Fig. 1 in highly schematic representation of a Vorrich processing to the processing of semiconductor substrates,

Fig. 2 grobschematisch einen Schnitt durch eine Trans­ ferkammer und eine Bearbeitungskammer mit angedeuteten Gasflüssen und Fig. 2 roughly a section through a trans ferkammer and a processing chamber with indicated gas flows and

Fig. 3 den Ablaufplan der Drucksteuerung in der Trans­ ferkammer. Fig. 3 shows the flow chart of the pressure control in the trans ferkammer.

Die in Fig. 1 dargestellte Vorrichtung besitzt als zentrales Element eine Transferkammer 2. In der Trans­ ferkammer 2 befindet sich ein Roboterart 10. Die Trans­ ferkammer 2 wird mittels einer Beladungsschleuse 1 beladen. In diese Beladungsschleuse 1 werden die Halb­ leitersubstrate (Wafer) insbesondere magaziniert einge­ bracht. Diese Wafer können unbeschichtet sein. Sie können aber auch vorbehandelt sein. Die Beladungsschleu­ se 1 ist von der Transferkammer 2 mittels einer Verbin­ dungstür 6 in Form eines gas- und druckdichten Schotts getrennt. An die Transferkammer 2 grenzen im Ausfüh­ rungsbeispiel die Bearbeitungskammern 3, 4 und 5, die jeweils über eine öffenbare Verbindungstür 7, 8, 9 mit der Transferkammer 2 verbunden sind. In die Transferkam­ mer 2 mündet eine Spülleitung 11 zum Fluten der Trans­ ferkammer 2 mit einem Inertgas, bspw. Wasserstoff, Stickstoff oder Argon. Ferner geht von der Transferkam­ mer 2 eine Evakuierungsleitung 12 ab, die zu einer Vakuumpumpe führt.The device shown in FIG. 1 has a transfer chamber 2 as the central element. In the trans ferkammer 2 is a robot type 10 . The trans ferkammer 2 is loaded by means of a load lock 1 . In this loading lock 1 , the semi-conductor substrates (wafers) are brought in a magazine in particular. These wafers can be uncoated. However, they can also be pretreated. The loading lock 1 is separated from the transfer chamber 2 by means of a connecting door 6 in the form of a gas and pressure-tight bulkhead. Adjacent to the transfer chamber 2 in exporting approximately example, the processing chambers 3, 4 and 5, which are each connected via an openable connection door 7, 8, 9 with the transfer chamber. 2 In the Transferkam mer 2 opens a purge line 11 for flooding the Trans ferkammer 2 with an inert gas, for example. Hydrogen, nitrogen or argon. Furthermore, an evacuation line 12 leads from the transfer chamber 2 , which leads to a vacuum pump.

Bei der Bearbeitungskammer 3 kann es sich um eine Ultra­ hoch-Vakuumkammer handeln, in der bspw. ein RTP-Prozess (rapid thermal process) bei einem Druck von 10 mbar durchgeführt wird. In der Bearbeitungskammer 4 kann ein MOCVD-Prozess stattfinden. Dieser Prozess kann bei Prozessdrücken von größer 1 mbar erfolgen. In der Bear­ beitungskammer 5 kann entweder ein Vakuumprozess oder ein Niedrigdruck-Prozess oder ein Atmosphärendruck-Pro­ zess durchgeführt werden.The processing chamber 3 can be an ultra-high vacuum chamber in which, for example, an RTP (rapid thermal process) process is carried out at a pressure of 10 mbar. An MOCVD process can take place in the processing chamber 4 . This process can take place at process pressures greater than 1 mbar. In the processing chamber 5 , either a vacuum process or a low pressure process or an atmospheric pressure process can be carried out.

Um die Substrate, bei denen es sich um scheibenförmige Wafer handeln kann, die je nach Prozess aus Silizium, Galliumarsenid oder Indiumphosphid bestehen können, von einer der Bearbeitungskammern 3 bis 5 in eine andere bzw. von oder zur Beladungsschleuse 1 zu bringen be­ sitzt die Transferkammer 2 einen Roboterarm 10, der durch die geöffneten Verbindungstüren 7 bis 9 in je­ weils eine Bearbeitungskammer 3 bis 5 greifen kann. Um die UHV-Bearbeitungskammer 3 mit Substraten zu beladen bzw. die Kammer 3 zu entladen wird zunächst die Trans­ ferkammer 2 evakuiert, wozu die Inertgaszuleitung 11 gesperrt wird und die zu einer Vakuumpumpe führende Leitung 12 geöffnet wird. Nach Erreichen eines genügend hohen Vakuums in der Transferkammer 2 wird die Verbin­ dungstür 7 geöffnet und der Be- bzw. Entladungsvorgang kann beginnen. Die aus der UHV-Bearbeitungskammer 3 entnommenen Substrate können in der Transferkammer 2 oder aber auch in der Beladungsschleuse 1 zwischenge­ speichert werden. Sodann wird die Verbindungstür 7 wieder geschlossen. Um die Niedrigdruck- oder Atmosphä­ rendruck-Bearbeitungskammer 4 zu be- oder entladen wird die Transferkammer 2 durch die Inertgaszuleitung 11 mit einem Inertgas, bspw. Argon oder Stickstoff gegebenen­ falls auch Wasserstoff geflutet bis in der Transferkam­ mer 2 ein Druck aufgebaut ist, der geringfügig höher ist, als der Druck in der Niedrigdruck- oder Atmosphä­ rendruck-Prozesskammer 4. Während des Flutens und des Be- und Entladens wird die Transferkammer mit Inertgas, bspw. Stickstoff oder Argon gespült. Wird dieser gering­ fügige Überdruck erreicht, wird die zugehörige Verbin­ dungstür 8 geöffnet. Der Überdruck ist so gering ge­ wählt, dass sich lediglich eine definierte Strömung von der Transferkammer 2 in die Niedrigdruck- oder Atmosphä­ rendruck-Bearbeitungskammer einstellt.In order to bring the substrates, which can be disk-shaped wafers, which can consist of silicon, gallium arsenide or indium phosphide, depending on the process, from one of the processing chambers 3 to 5 into another or from or to the loading lock 1 , the transfer chamber is located 2 a robot arm 10 which can reach through the opened connecting doors 7 to 9 in each case a processing chamber 3 to 5 . In order to load the UHV processing chamber 3 with substrates or to discharge the chamber 3 , the trans chamber 2 is first evacuated, for which purpose the inert gas supply line 11 is blocked and the line 12 leading to a vacuum pump is opened. After reaching a sufficiently high vacuum in the transfer chamber 2 , the connecting door 7 is opened and the loading or unloading process can begin. The substrates removed from the UHV processing chamber 3 can be temporarily stored in the transfer chamber 2 or else in the loading lock 1 . The connecting door 7 is then closed again. In order to load or unload the low-pressure or atmospheric-pressure processing chamber 4 , the transfer chamber 2 is flooded through the inert gas feed line 11 with an inert gas, for example argon or nitrogen, if necessary also hydrogen, until a pressure is built up in the transfer chamber 2 , which is slight is higher than the pressure in the low pressure or atmospheric pressure process chamber 4th During the flooding and loading and unloading, the transfer chamber is flushed with inert gas, for example nitrogen or argon. If this slight excess pressure is reached, the associated connec tion door 8 is opened. The excess pressure is so low that only a defined flow from the transfer chamber 2 into the low-pressure or atmospheric-pressure processing chamber is established.

In der Niedrigdruck- oder Atmosphärendruck-Bearbeitungs­ kammer 4 kann ein MOCVD-Prozess durchgeführt werden. Hierzu besitzt diese Bearbeitungskammer 4 eine im Stand der Technik bekannte Prozesskammer, welcher die erfor­ derlichen Prozessgase zugeführt werden. Die Prozesskam­ mer wird geheizt. Während des Be- und Entladens kann die Prozesskammer auf Prozesstemperatur gehalten blei­ ben. Lediglich nach dem Abscheiden von phosphorhaltigen Schichten kann es erforderlich sein, die Prozesskam­ mertemperatur geringfügig abzusenken, um ein Abdampfen von Phosphor aus der Oberfläche zu verhindern.In the low pressure or atmospheric pressure processing chamber 4 , an MOCVD process can be carried out. For this purpose, has this processing chamber 4, a known in the prior art process chamber which The measures necessary process gases are supplied. The process chamber is heated. The process chamber can be kept at the process temperature during loading and unloading. Only after the deposition of phosphorus-containing layers may it be necessary to slightly lower the process chamber temperature in order to prevent phosphorus from evaporating from the surface.

Die Druckdifferenz zwischen Transferkammer 2 und Bear­ beitungskammer 4 ist so gewählt, dass sich eine defi­ nierte Flussrichtung von Transfer- zur Prozesskammer einstellt. Die Gasströmung ist aber nicht so hoch, dass nennenswerte Kühleffekte eintreten bzw. strömungsbeding­ te Ablösung von Partikeln von den Wänden auftreten. Im Wesentlichen dient die Definierung der Flussrichtung zur Verhinderung einer Kontamination der Transferkammer 2 während des Transfers.The pressure difference between the transfer chamber 2 and the processing chamber 4 is selected such that a defined flow direction from the transfer chamber to the process chamber is established. However, the gas flow is not so high that significant cooling effects occur or flow-related detachment of particles from the walls occurs. The definition of the flow direction essentially serves to prevent contamination of the transfer chamber 2 during the transfer.

In der Bearbeitungskammer 4, die von einem MOCVD-Reak­ tor gebildet ist, können auf in anderen Bearbeitungskam­ mern 3, 5 vorbehandelte Substrate beschichtet werden. Es ist ferner möglich, die in der Bearbeitungskammer 4 beschichteten Substrate in einer der anderen Bearbei­ tungskammern 3, 5 nachzubearbeiten, bspw. im Ultrahoch- Vakuum thermisch zu behandeln oder auch bei Niederdruck oder Atmosphärendruck. Im Ausführungsbeispiel sind lediglich insgesamt drei Bearbeitungskammern 3, 4, 5 dargestellt. Die Erfindung umfasst aber auch Mehrkammer­ anlagen mit erheblich mehr miteinander verketteten Bearbeitungskammern.In the processing chamber 4 , which is formed by a MOCVD reactor, pretreated substrates can be coated on other processing chambers 3 , 5 . It is also possible to rework the substrates coated in the processing chamber 4 in one of the other processing chambers 3 , 5 , for example thermally in an ultra-high vacuum or at low pressure or atmospheric pressure. In the exemplary embodiment, only three processing chambers 3 , 4 , 5 are shown. The invention also includes multi-chamber systems with significantly more linked processing chambers.

In Fig. 2 ist grobschematisch eine Anordnung darge­ stellt, die eine Transferkammer 2 und eine Bearbeitungs­ kammer 4 aufweist, die mittels eines Schotts 7 voneinan­ der getrennt sind. Das Schott 7 ist öffenbar. In die Transferkammer 2 mündet eine Inertgaszuleitung 11 und eine Evakuierungsleitung 12. In der Evakuierungsleitung 12 befindet sich ein Druckregelventil 14, das einer Vakuumpumpe 13 vorgeschaltet ist.In Fig. 2 is roughly an arrangement Darge provides that has a transfer chamber 2 and a processing chamber 4 , which are separated from each other by a bulkhead 7 . The bulkhead 7 can be opened. An inert gas supply line 11 and an evacuation line 12 open into the transfer chamber 2 . In the evacuation line 12 there is a pressure control valve 14 which is connected upstream of a vacuum pump 13 .

Die Bearbeitungskammer ist nahezu identisch aufgebaut. In die Bearbeitungskammer 4 mündet ebenfalls eine Inertgaszuleitung 15 und eine Evakuierungsleitung 16. Auch in dieser Evakuierungsleitung 16 befindet sich ein Druckregelventil 18, welches vor einer Vakuumpumpe 17 angeordnet ist.The processing chamber is almost identical. An inert gas supply line 15 and an evacuation line 16 likewise open into the processing chamber 4 . In this evacuation line 16 there is also a pressure control valve 18 which is arranged in front of a vacuum pump 17 .

Vor dem Öffnen der Verbindungstür 7 zwischen der Trans­ ferkammer 2 und der Bearbeitungskammer 4 wird in der Transferkammer 2 ein Druck aufgebaut, der geringfügig höher ist, als der Druck in der Bearbeitungskammer 4. Vorzugsweise liegt der Druck in der Transferkammer 2 etwa 1 bis 5 mbar höher als der Druck in der Bearbei­ tungskammer 3. Der in Fig. 3 dargestellte Druckanglei­ chungsprozess erfolgt folgendermaßen:Before opening the connecting door 7 between the transmembrane ferkammer 2 and the processing chamber 4 in the transfer chamber 2 builds up a pressure which is slightly higher than the pressure in the processing chamber. 4 The pressure in the transfer chamber 2 is preferably about 1 to 5 mbar higher than the pressure in the processing chamber 3 . The pressure adjustment process shown in FIG. 3 takes place as follows:

Der Spülgasfluss durch die Inertgaszuleitung 15 wird auf einen festen vorgegebenen Wert gesetzt. Dieser Wert kann zwischen 1 und 500 sccm sein. Ferner wird der Druck in der Bearbeitungskammer 4 vorgegeben. Entspre­ chend der Druckvorgabe regelt das Druckregelventil 18 die Evakuierungsleistung der Pumpe 17.The purge gas flow through the inert gas feed line 15 is set to a fixed, predetermined value. This value can be between 1 and 500 sccm. Furthermore, the pressure in the processing chamber 4 is specified. Corresponding to the pressure specification, the pressure control valve 18 regulates the evacuation capacity of the pump 17 .

Aus dem vorgegebenen Druck in der Bearbeitungskammer 4 und der vorgegebenen Druckdifferenz ermittelt das Pro­ zess-Steuerorgan den Druck in der Transferkammer 2. Anhand einer vorgegebenen Wertetabelle oder eines vorge­ gebenen funktionellen Zusammenhangs wird vom Steueror­ gan, abhängig vom Transferkammerdruck, der durch die Zuleitung 11 fließende Inertgasstrom festgesetzt. Der gleichbleibende Gasfluss beträgt auch hier 100 bis 500 sccm.The process controller determines the pressure in the transfer chamber 2 from the predetermined pressure in the processing chamber 4 and the predetermined pressure difference. Using a predetermined table of values or a given functional relationship, the control gas, depending on the transfer chamber pressure, determines the flow of inert gas flowing through the supply line 11 . The constant gas flow here is 100 to 500 sccm.

Der Druck wird bei gleichbleibendem Gasstrom mittels des Druckregelventiles 14 eingeregelt, welches die Evakuierungsleistung der Pumpe 13 beeinflusst.The pressure is regulated with a constant gas flow by means of the pressure control valve 14 , which influences the evacuation performance of the pump 13 .

Sobald der Druck in der Bearbeitungskammer 4 und der Druck in der Transferkammer 2 einen stabilen Wert einge­ nommen hat, öffnet sich die Verbindungstür 7. Zufolge des Druckunterschiedes in der Bearbeitungskammer 4 und der Transferkammer 2 entsteht ein Gasstrom durch die offene Verbindungstür 7. Der Gasstrom durch die offene Verbindungstür 7 ist so gering gewählt, dass keine Partikel von den Wänden der Bearbeitungskammer 4 abge­ tragen werden. Er ist aber so groß, dass eine Cross-Kon­ tamination weitestgehend vermieden wird.As soon as the pressure in the processing chamber 4 and the pressure in the transfer chamber 2 has reached a stable value, the connecting door 7 opens. Due to the pressure difference in the processing chamber 4 and the transfer chamber 2 , a gas flow arises through the open connecting door 7 . The gas flow through the open connecting door 7 is chosen so low that no particles are abge wear from the walls of the processing chamber 4 . However, it is so large that cross-contamination is largely avoided.

Bei geöffneter Tür 7 erfolgt die Regelung der Drucke in der Bearbeitungskammer bzw. der Transferkammer über die Evakuierungsleistung der den Kammern zugeordneten Pum­ pen.When the door 7 is open, the pressure in the processing chamber or the transfer chamber is regulated via the evacuation capacity of the pumps assigned to the chambers.

Wie aus der Fig. 2 erkennbar ist, liegen die Zuleitun­ gen 11, 15 geringer beabstandet zur Verbindungstür 7, als die Gasableitungen 12 und 16, welche einen größeren Abstand zur Verbindungstür 7 besitzen.As can be seen from FIG. 2, the supply lines 11 , 15 are spaced closer to the connecting door 7 than the gas discharge lines 12 and 16 , which are at a greater distance from the connecting door 7 .

Alle offenbarten Merkmale sind (für sich) erfindungswe­ sentlich. In die Offenbarung der Anmeldung wird hiermit auch der Offenbarungsinhalt der zugehörigen/beigefügten Prioritätsunterlagen (Abschrift der Voranmeldung) voll­ inhaltlich mit einbezogen, auch zu dem Zweck, Merkmale dieser Unterlagen in Ansprüche vorliegender Anmeldung mit aufzunehmen.All features disclosed are (by themselves) fiction sentlich. In the disclosure of the registration is hereby also the disclosure content of the associated / attached Priority documents (copy of pre-registration) full included in content, also for the purpose of characteristics of these documents in claims of the present application to include.

Claims (14)

1. Verfahren zur Bearbeitung von Halbleitersubstraten, wobei die insbesondere unbeschichteten Halbleitersub­ strate durch eine Beladungsschleuse (1) einer Bearbei­ tungsanordnung zugeführt werden, welche Beladungsschleu­ se (1) an eine Transferkammer (2) angrenzt, von welcher wiederum eine Vielzahl von Bearbeitungskammern (3, 4, 5) mit den zu bearbeitenden Halbleitersubstraten belad­ bar sind, wozu zunächst die Transferkammer (2) und die Bearbeitungskammer (3) evakuiert werden und daran an­ schließend eine Verbindungstür (7) zwischen Transferkam­ mer (2) und Bearbeitungskammer (3) geöffnet wird, da­ durch gekennzeichnet, dass in mindestens einer der Bearbeitungskammern (4) ein Niedrigdruck- oder Atmosphä­ rendruck-Prozess betrieben wird und vor dem Öffnen der dieser Bearbeitungskammer (4) zugeordneten Verbindungs­ tür (8) die Transferkammer (2) mit einem Inertgas geflu­ tet wird.1. A method for processing semiconductor substrates, the in particular uncoated semiconductor substrates being fed through a loading lock ( 1 ) to a processing arrangement, which loading lock ( 1 ) adjoins a transfer chamber ( 2 ), of which in turn a multiplicity of processing chambers ( 3 , 4 , 5 ) can be loaded with the semiconductor substrates to be processed, for which purpose the transfer chamber ( 2 ) and the processing chamber ( 3 ) are first evacuated and then a connecting door ( 7 ) between the transfer chamber ( 2 ) and the processing chamber ( 3 ) is opened because tet by in that in at least one of the processing chambers is operated (4) a low pressure or atmosphere, a rend smoothly process and geflu before opening of the processing chamber (4) associated with the connecting door (8), the transfer chamber (2) with an inert gas becomes. 2. Verfahren nach Anspruch 1 oder insbesondere danach, dadurch gekennzeichnet, dass beim Beladen der Bearbei­ tungskammer (4) der Druck in der Transferkammer (2) geringfügig höher ist, als der Druck in der Bearbei­ tungskammer (4).2. The method according to claim 1 or in particular according thereto, characterized in that when loading the machining chamber ( 4 ) the pressure in the transfer chamber ( 2 ) is slightly higher than the pressure in the machining chamber ( 4 ). 3. Verfahren nach einem oder mehreren der vorhergehen­ den Ansprüche oder insbesondere danach, dadurch gekenn­ zeichnet, dass die Niedrigdruck- oder Atmosphärendruck- Bearbeitungskammer (4) eine beheizte Prozesskammer besitzt, die beim Beladen oder Entladen beheizt bleibt und insbesondere auf Prozesstemperatur gehalten wird. 3. The method according to one or more of the preceding claims or in particular according thereto, characterized in that the low-pressure or atmospheric pressure processing chamber ( 4 ) has a heated process chamber which remains heated during loading or unloading and in particular is kept at the process temperature. 4. Verfahren nach einem oder mehreren der vorhergehen­ den Ansprüche oder insbesondere danach, dadurch gekenn­ zeichnet, dass in der Niedrigdruck- oder Atmosphären­ druck-Bearbeitungskammer (4) eine Beschichtung der Halbleitersubstrate insbesondere mittels der Gasphase zugeführten Reaktionsgasen durchgeführt wird.4. The method according to one or more of the preceding claims or in particular according thereto, characterized in that in the low-pressure or atmospheric pressure processing chamber ( 4 ) a coating of the semiconductor substrates is carried out in particular by means of the gas phase supplied reaction gases. 5. Verfahren nach einem oder mehreren der vorhergehen­ den Ansprüche oder insbesondere danach, dadurch gekenn­ zeichnet, dass in der Niedrigdruck- oder Atmosphären­ druck-Bearbeitungskammer (4) ein MOCVD-Prozess durchge­ führt wird.5. The method according to one or more of the preceding claims or in particular according thereto, characterized in that a MOCVD process is carried out in the low-pressure or atmospheric pressure processing chamber ( 4 ). 6. Verfahren zur Bearbeitung von Halbleitersubstraten, wobei die insbesondere unbeschichteten Halbleitersub­ strate durch eine Beladungsschleuse (1) einer Bearbei­ tungsanordnung zugeführt werden, welche Beladungsschleu­ se (1) an eine Transferkammer (2) angrenzt, von welcher ein oder mehrere Bearbeitungskammern (3, 4, 5) mit den zu bearbeitenden Halbleitersubstraten beladbar sind, wozu eine Verbindungstür (7) zwischen Transferkammer (2) und Bearbeitungskammer (3) geöffnet wird, dadurch gekennzeichnet, dass in mindestens einer der Bearbei­ tungskammern (4) ein Niedrigdruck- oder Atmosphären­ druck-Prozess betrieben wird und vor dem Öffnen der dieser Bearbeitungskammer (4) zugeordneten Verbindungs­ tür (8) die Transferkammer (2) mit einem Inertgas geflu­ tet wird, wobei die Druckdifferenz zwischen der Trans­ ferkammer (2) und der Bearbeitungskammer (4) dadurch aufrechterhalten wird, dass bei gleichbleibenden Gas­ flüssen in die Transferkammer (2) einerseits und in die Bearbeitungskammer (4) andererseits der Druck in Trans­ ferkammer (2) bzw. Bearbeitungskammer (4) durch Variati­ on der Evakuierungsleistung geregelt wird. 6. A method for processing semiconductor substrates, the uncoated semiconductor substrates in particular being fed through a loading lock ( 1 ) to a processing arrangement, which loading lock ( 1 ) adjoins a transfer chamber ( 2 ), of which one or more processing chambers ( 3 , 4 , 5 ) can be loaded with the semiconductor substrates to be processed, for which purpose a connecting door ( 7 ) between the transfer chamber ( 2 ) and the processing chamber ( 3 ) is opened, characterized in that in at least one of the processing chambers ( 4 ) a low-pressure or atmospheric pressure process is operated and associated prior to opening of the processing chamber (4) connecting the door (8), the transfer chamber (2) is tet geflu with an inert gas, wherein the pressure difference between the transmembrane ferkammer (2) and the processing chamber (4) is maintained by that with constant gas flows into the transfer chamber ( 2 ) on the one hand u nd in the processing chamber ( 4 ) on the other hand, the pressure in the transfer chamber ( 2 ) or processing chamber ( 4 ) is regulated by variation of the evacuation capacity. 7. Verfahren nach einem oder mehreren der vorhergehen­ den Ansprüche oder insbesondere danach, dadurch gekenn­ zeichnet, dass vor dem Öffnen der Verbindungstür je­ weils in der Transferkammer (2) bzw. der Bearbeitungs­ kammer (4) ein stabiler Druck eingeregelt wird, wobei der Druck in der Transferkammer (2) um eine Druckdiffe­ renz höher ist, als der Druck in der Bearbeitungskammer (4).7. The method according to one or more of the preceding claims or in particular according thereto, characterized in that before opening the connecting door each in the transfer chamber ( 2 ) or the processing chamber ( 4 ) a stable pressure is adjusted, the pressure in the transfer chamber ( 2 ) by a pressure difference is higher than the pressure in the processing chamber ( 4 ). 8. Verfahren nach einem oder mehreren der vorhergehen­ den Ansprüche oder insbesondere danach, dadurch gekenn­ zeichnet, dass die Druckdifferenz etwa 0,1 bis 5 mbar beträgt.8. Proceed according to one or more of the preceding the claims or in particular according thereto, thereby records that the pressure difference is about 0.1 to 5 mbar is. 9. Verfahren nach einem oder mehreren der vorhergehen­ den Ansprüche oder insbesondere danach, dadurch gekenn­ zeichnet, dass die Höhe des Spülgasflusses in der Bear­ beitungskammer (4) bzw. der Transferkammer (2) um so größer ist, wie der Totaldruck in der jeweiligen Kammer (2, 4) ist und einem vorgegebenen funktionalen Zusammen­ hang folgt oder in einer Wertetabelle in einer elektro­ nischen Steuereinrichtung hinterlegt ist.9. The method according to one or more of the preceding claims or in particular according thereto, characterized in that the height of the purge gas flow in the processing chamber ( 4 ) or the transfer chamber ( 2 ) is greater than the total pressure in the respective chamber ( 2 , 4 ) and follows a predetermined functional context or is stored in a table of values in an electronic control device. 10. Vorrichtung zur Durchführung des Verfahrens gemäß einem der vorhergehenden Ansprüche, mit einer an eine insbesondere evakuierbaren Transferkammer (2) angrenzen­ den Beladungsschleuse (1) und mit mindestens einer Bearbeitungskammer (3) zum Bearbeiten eines Halbleiter­ substrates dadurch gekennzeichnet, dass mindestens eine Bearbeitungskammer (4) zum Bearbeiten von Halbleitersub­ straten bei Niedrigdruck oder Atmosphärendruck ausge­ stattet ist, wobei die Transferkammer (2) zum Beladen dieser Kammern (4) dort mit einem Inertgas spülbar ist, dass beim Beladen zufolge einer Druckdifferenz ein Gasstrom in die Bearbeitungskammer (4) strömt. 10. Device for carrying out the method according to one of the preceding claims, with a loading chamber ( 1 ) adjoining an in particular evacuable transfer chamber ( 2 ) and with at least one processing chamber ( 3 ) for processing a semiconductor substrate, characterized in that at least one processing chamber ( 4) straten for processing Halbleitersub at low pressure or atmospheric pressure being equipped, said transfer chamber (2) is flushed for loading said chambers (4) there with an inert gas that, according to when loading a pressure differential to flow a gas flow into the processing chamber (4) , 11. Vorrichtung nach einem oder mehreren der vorherge­ henden Ansprüche oder insbesondere danach, dadurch gekennzeichnet, dass die Niedrigdruck- oder Atmosphären­ druck-Bearbeitungskammer (4) ein MOCVD-Reaktor mit beheizbarer Prozesskammer ist.11. The device according to one or more of the preceding claims or in particular according thereto, characterized in that the low-pressure or atmospheric pressure processing chamber ( 4 ) is a MOCVD reactor with a heatable process chamber. 12. Vorrichtung nach einem oder mehreren der vorherge­ henden Ansprüche oder insbesondere danach, gekennzeich­ net durch ein Steuerorgan zum Einstellen von jeweiligen Spülgasflüssen sowohl in die Bearbeitungskammer (4) als auch in die Transferkammer (2) und zum Regeln der Druc­ ke in der Bearbeitungskammer (4) bzw. der Transferkam­ mer (2).12. The device according to one or more of the preceding claims or in particular according thereto, characterized by a control element for setting respective purge gas flows both in the processing chamber ( 4 ) and in the transfer chamber ( 2 ) and for regulating the pressure in the processing chamber ( 4 ) or the transfer chamber ( 2 ). 13. Vorrichtung nach einem oder mehreren der vorherge­ henden Ansprüche oder insbesondere danach, gekennzeich­ net durch jeweils einer Bearbeitungskammer (4) und der Transferkammer (2) zugeordneten, vom Steuerorgan gere­ gelten Evakuierungseinrichtung (13, 17).13. The device according to one or more of the preceding claims or in particular according thereto, characterized by in each case a processing chamber ( 4 ) and the transfer chamber ( 2 ) associated with the control device, so that evacuation devices ( 13 , 17 ) apply. 14. Vorrichtung nach einem oder mehreren der vorherge­ henden Ansprüche oder insbesondere danach, dadurch gekennzeichnet, dass der Spülgasausgang (11, 15) der Verbindungstür (7) näher beabstandet ist, als die der Evakuierungseinrichtung (13, 17) zugeordnete Gasablei­ tung (12, 16).14. The device according to one or more of the preceding claims or in particular according thereto, characterized in that the purge gas outlet ( 11 , 15 ) of the connecting door ( 7 ) is spaced closer than the gas evacuation device ( 13 , 17 ) associated with the gas discharge line ( 12 , 16 ).
DE10159702A 2000-12-23 2001-12-05 Method and device for processing semiconductor substrates Ceased DE10159702A1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
DE10159702A DE10159702A1 (en) 2000-12-23 2001-12-05 Method and device for processing semiconductor substrates
PCT/EP2001/014832 WO2002052617A1 (en) 2000-12-23 2001-12-15 Method and device for treating semiconductor substrates
JP2002553223A JP2004516678A (en) 2000-12-23 2001-12-15 Semiconductor substrate processing apparatus and processing method
KR10-2003-7007747A KR20030063413A (en) 2000-12-23 2001-12-15 Method and device for treating semiconductor substrates
EP01994794A EP1344243A1 (en) 2000-12-23 2001-12-15 Method and device for treating semiconductor substrates
US10/601,508 US6908838B2 (en) 2000-12-23 2003-06-23 Method and device for treating semiconductor substrates

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE10064943 2000-12-23
DE10159702A DE10159702A1 (en) 2000-12-23 2001-12-05 Method and device for processing semiconductor substrates

Publications (1)

Publication Number Publication Date
DE10159702A1 true DE10159702A1 (en) 2002-07-18

Family

ID=7668928

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10159702A Ceased DE10159702A1 (en) 2000-12-23 2001-12-05 Method and device for processing semiconductor substrates

Country Status (3)

Country Link
KR (1) KR20030063413A (en)
DE (1) DE10159702A1 (en)
TW (1) TWI254360B (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7521089B2 (en) * 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
DE102010000447A1 (en) 2010-02-17 2011-08-18 Aixtron Ag, 52134 Coating device and method for operating a coating device with a screen plate
DE102010016792A1 (en) 2010-05-05 2011-11-10 Aixtron Ag Storage magazine of a CVD system
DE102012103295A1 (en) 2012-01-09 2013-07-11 Aixtron Se Device useful for coating semiconductor substrates, comprises processing unit, which is centrally arranged transfer module, loading- or unloading interface, power modules comprising a gas mixing system, pipelines, and a service space
DE102013105896A1 (en) 2013-06-07 2014-12-11 Aixtron Se Manufacturing facility with a magnetic rail transport system
DE102013109210A1 (en) 2013-08-20 2015-02-26 Aixtron Se Evacuable chamber, in particular with a purge gas flushable loading sluice
DE102013111790A1 (en) 2013-10-25 2015-04-30 Aixtron Se Energy and material consumption optimized CVD reactor
DE102013113052A1 (en) 2013-11-26 2015-05-28 Aixtron Se Heating device for a CVD reactor

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100682756B1 (en) * 2006-03-17 2007-02-15 주식회사 아이피에스 Epitaxy apparatus and method of growing epitaxial layer using the same

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7521089B2 (en) * 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
DE102010000447A1 (en) 2010-02-17 2011-08-18 Aixtron Ag, 52134 Coating device and method for operating a coating device with a screen plate
WO2011101273A1 (en) 2010-02-17 2011-08-25 Aixtron Se Coating device, and method for operating a coating device with a shielding plate
DE102010016792A1 (en) 2010-05-05 2011-11-10 Aixtron Ag Storage magazine of a CVD system
WO2011138315A1 (en) 2010-05-05 2011-11-10 Aixtron Se Storage magazine of a cvd plant
DE102012103295A1 (en) 2012-01-09 2013-07-11 Aixtron Se Device useful for coating semiconductor substrates, comprises processing unit, which is centrally arranged transfer module, loading- or unloading interface, power modules comprising a gas mixing system, pipelines, and a service space
DE102013105896A1 (en) 2013-06-07 2014-12-11 Aixtron Se Manufacturing facility with a magnetic rail transport system
DE102013109210A1 (en) 2013-08-20 2015-02-26 Aixtron Se Evacuable chamber, in particular with a purge gas flushable loading sluice
DE102013111790A1 (en) 2013-10-25 2015-04-30 Aixtron Se Energy and material consumption optimized CVD reactor
DE102013113052A1 (en) 2013-11-26 2015-05-28 Aixtron Se Heating device for a CVD reactor

Also Published As

Publication number Publication date
TWI254360B (en) 2006-05-01
KR20030063413A (en) 2003-07-28

Similar Documents

Publication Publication Date Title
DE69206808T2 (en) METHOD FOR PRODUCING TITANIUM NITRIDE FILMS WITH LOW SPECIFIC RESISTANCE
DE102014105294A1 (en) Apparatus and method for exhaust gas purification on a CVD reactor
EP1264002B1 (en) Gas supply device for precursors with a low vapor pressure
DE102005038873A1 (en) Multi-chamber MOCVD growth device for high speed / high throughput
DE112006003315T5 (en) Gas head and thin film manufacturing device
DE10159702A1 (en) Method and device for processing semiconductor substrates
DE10124609B4 (en) Method for depositing active layers on substrates
DE102011002145B4 (en) Device and method for large-area deposition of semiconductor layers with gas-separated HCl feed
WO2012010339A1 (en) Method and arrangement for producing supraconductive layers on substrates
DE112010000968T5 (en) Method for depositing layers with reduced interfacial contamination
CH707855A2 (en) Device for storage and / or transport of objects from the manufacturing of electronic components.
WO2002052617A1 (en) Method and device for treating semiconductor substrates
DE102011002146B4 (en) Apparatus and method for depositing semiconductor layers with HCI addition to suppress parasitic growth
DE112012000579T5 (en) Plant, process and reaction chamber
DE102013111790A1 (en) Energy and material consumption optimized CVD reactor
DE102013109210A1 (en) Evacuable chamber, in particular with a purge gas flushable loading sluice
DE102014115497A1 (en) Tempered gas supply with diluent gas streams fed in at several points
EP2609619B1 (en) Device and method for processing wafers
WO2020225228A1 (en) Method for depositing a semiconductor layer system, which contains gallium and indium
EP1415332B1 (en) Method and device for the production of epitaxial thin semiconductor layers
DE102019217284A1 (en) Vapor deposition device
WO2003031680A1 (en) Methods for the production of components and ultra high vacuum cvd reactor
EP0293607B1 (en) Device for sputtering thin layers on a substrate
DE102011054566A1 (en) Method for separating multi-component metal-organic semiconductor layers on substrate, involves enabling process gas total flow so that partial fluxes are introduced in process chamber to optimize lateral homogeneity on deposited layer
EP1550738A1 (en) Method and apparatus for atomic layer deposition

Legal Events

Date Code Title Description
8110 Request for examination paragraph 44
8127 New person/name/address of the applicant

Owner name: AIXTRON AG, 52134 HERZOGENRATH, DE

R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0021680000

Ipc: H01L0021670000

Effective date: 20110622

R082 Change of representative

Representative=s name: RIEDER & PARTNER PATENTANWAELTE - RECHTSANWALT, 42

Representative=s name: RIEDER & PARTNER PATENTANWAELTE - RECHTSANWALT, DE

R081 Change of applicant/patentee

Owner name: AIXTRON SE, DE

Free format text: FORMER OWNER: AIXTRON AG, 52134 HERZOGENRATH, DE

Effective date: 20111104

R082 Change of representative

Representative=s name: RIEDER & PARTNER MBB PATENTANWAELTE - RECHTSAN, DE

Effective date: 20111104

Representative=s name: RIEDER & PARTNER PATENTANWAELTE - RECHTSANWALT, DE

Effective date: 20111104

R002 Refusal decision in examination/registration proceedings
R003 Refusal decision now final

Effective date: 20121117