EP0968142A1 - Reactive ion etching of silica structures - Google Patents

Reactive ion etching of silica structures

Info

Publication number
EP0968142A1
EP0968142A1 EP97942702A EP97942702A EP0968142A1 EP 0968142 A1 EP0968142 A1 EP 0968142A1 EP 97942702 A EP97942702 A EP 97942702A EP 97942702 A EP97942702 A EP 97942702A EP 0968142 A1 EP0968142 A1 EP 0968142A1
Authority
EP
European Patent Office
Prior art keywords
etching
polymer
mask
sidewall
previous
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP97942702A
Other languages
German (de)
French (fr)
Other versions
EP0968142A4 (en
Inventor
Michael Bazylenko
Mark Gross
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Unisearch Ltd
Original Assignee
Unisearch Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Unisearch Ltd filed Critical Unisearch Ltd
Publication of EP0968142A1 publication Critical patent/EP0968142A1/en
Publication of EP0968142A4 publication Critical patent/EP0968142A4/en
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C15/00Surface treatment of glass, not in the form of fibres or filaments, by etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • G02B6/136Integrated optical circuits characterised by the manufacturing method by etching
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12166Manufacturing methods
    • G02B2006/12173Masking
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12166Manufacturing methods
    • G02B2006/12176Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Definitions

  • the present invention relates to creation of silica structures and in particular to the reactive ion etching of such structures.
  • Silica-based channel waveguides fabricated on silicon or silica wafer substrates, are potential building blocks of planar lightwave circuits (PLCs) that are becoming increasingly important for telecommunications systems.
  • PLCs planar lightwave circuits
  • RIE reactive ion etching
  • etching materials such as photoresist, amorphous silicon (a-Si) and chromium have been reported.
  • photoresist amorphous silicon
  • chromium chromium
  • the use of non-photoresist masks allows for larger etching depths and silica etch rates.
  • the roughness of the etched walls of the waveguide structures or light turning mirrors should ideally be as small as possible in order to reduce the loss due to light scattering.
  • a number of works on sidewall roughness reduction for etching with photoresist masks have been reported. In these cases, however, the etching depth of a Si0 2 layer was restricted to around 1 ⁇ m.
  • Etched profile control is also important and some slope in the etched profile is sometimes desirable in order to facilitate filling of the gaps between closely spaced waveguides during cladding deposition.
  • Profile slope is normally achieved by controlled photoresist mask erosion.
  • a method for etching of silica- based glass layers or substrates comprising reactive ion etching through a mask executed under conditions of simultaneous isotropic deposition of a carbon based polymer.
  • the polymer deposition rate or/and its steady-state thickness on different surfaces of the etched structure is controlled by adjusting one or several process control parameters in order to control etched profile, dimension loss, sidewall and bottom etched surface roughness, and etching selectivity between the silica-based layer and mask material.
  • a gas or a mixture of gases containing fluorine or carbon atoms is used and a photoresist mask or other form of mask such as amorphous silicon.
  • Adjustable parameters can include RF power and substrate temperature. The temperature can be adjusted to achieve low sidewall roughness and low dimension loss at the same time. Further, resputtering of any metal present wihtin or/and m contact with the discharge zone can be prevented.
  • the invention is ideally suited wherein reactive ion etching is performed m a high plasma density hollow cathode etching system and the etching gas mixture is CH 3 F and Argon .
  • a high plasma density hollow cathode etching system which has been shown to provide higher etch rates than those achievable m previously known standard RIE systems.
  • Etching was carried out m a CHF 3 /Ar mixture with additions of 0 2 and CF 4 .
  • the effects of the different chemistries as well as the use of different masks (photoresist and amorphous silicon) and the effects the substrate temperature on etching rates, sidewall roughness and etch profiles have been investigated. Using a photoresist mask generally results m greater sidewall roughness compared to an amorphous silicon mask.
  • Fig. 1 is a schematic illustration of the basic layout of hollow cathode discharge chamber used in the preferred embodiment .
  • Fig. 2a to Fig. 2g illustrate graphs of etch rates and selectivities over mask material for etching with a-Si (Fig. 2a) and photoresist (Fig. 2g) masks as a function of RF power.
  • Pressure is 12 Pa.
  • Sample temperature is 80°C.
  • Fig. 3a to Fig. 3d illustrate graphs of etching profile slope (Fig. 3a) dimension loss (Fig. 3b), sidewall roughness (Fig. 3c) and polymer deposition rate (Fig. 3d) as a function of RF power for etching with a-Si and photoresist masks.
  • the pressure is 12 Pa.
  • the sample temperature is 80°C.
  • the dimension loss was normalized to an etching depth of 5 urn.
  • the polymer deposition rate was measured in the area shielded from ion bombardment.
  • Fig. 4a to Fig. 4h are Electron microscope images of etching profiles as a function of RF power for etching with a-Si (Fig. 4a to Fig. 4d) and photoresist masks (Fig. 4e to Fig. 4h) .
  • the RF power was as follows: Fig. 4a- unetched a-Si mask, Fig. 4b- 250W, Fig. 4c-500W, Fig. 4d-650W, Fig. 4e-unetched photoresist mask, Fig. 4f-300W, Fig. 4g-400W, Fig. 4h 500W.
  • Pressure is 12 Pa.
  • Gas flow rates 60 seem of Ar, 15 seem of CHF 3 . Sample temperature 80 °C.
  • Fig. 5a to Fig. 5e illustrate graphs of etch rates and selectivities with Fig. 5a to 5c illustrating Si0 2 etch rates and selectivities over an a-Si mask and Fig. 5d to Fig. 5e illustrating vertical and lateral etch rates of a-Si mask as a function of sample temperature, for a 0 2 flow rate and a CF 4 flow rate, respectively.
  • RF power is 500W. Pressure is 12 Pa. Gas flow rates: 60 seem of Ar, 15 seem of CHF 3 . Sample temperature 80°C unless varied.
  • Fig. 6a to Fig. 6j illustrate graphs of the etching profile slope (Fig. 6a to Fig. 6c) sidewall roughness (Fig. 6d to Fig. 6f) and polymer deposition rate (Fig. 6g to Fig. 6j ) as a function of sample temperature, 0 2 flow rate and CF 4 flow rate, respectively using an a-Si mask.
  • RF power is 500W
  • the surfaces include (i) the top surface of the mask 31, (ii) the sidewalls of the mask 32, (iii) the sidewalls of Si0 2 33 and, (iv) the bottom surface of the Si0 34.
  • a steady state thickness of polymer film can be present on (i-iii) , whereas (iv) is assumed polymer free under the etching conditions used in this study.
  • Fig. 9a to Fig. 9c are electron microscope images of time evolution of the etched profile: with Fig. 9a showing an unetched a-Si mask, Fig. 9b after 3 minutes etching, Fig. 9c after 6 minutes etching.
  • RF power is 500W.
  • Pressure is 12 Pa.
  • Sample temperature is 80 °C.
  • Etching selectivity over the a- Si mask is approximately 14:1.
  • a "negative undercut" is shown to be developed without mask width reduction. The more vertical profile of the a-Si mask is "buried" under polymer formed at a steady state angle determined by the polymer etching and deposition equilibrium.
  • Fig. 10 is a schematic illustration of a mechanism of sloped profile formation.
  • Fig. 11a and lib illustrate etched sidewalls for etching with a photoresist mask (Fig. 11a) and a a-Si mask (Fig. llg) .
  • Pressure is 12 Pa.
  • Sample temperature is 80°C.
  • RF power is 500W for etching with the photoresist mask and 650W for etching with the a-Si mask. Description of Preferred Embodiments
  • a first embodiment of the present invention relies upon the utilisation of plasma enhanced chemical vapour deposition (PECVD) in a hollow cathode discharge chamber.
  • PECVD plasma enhanced chemical vapour deposition
  • a suitable vacuum chamber assembly 10 including a top electrode 11 and a bottom electrode 12 connected as shown to RF source 13 which comprised a 13.56 MHz RF source.
  • the chamber 14 is evacuated via pump port 15 and gases such as CH 4 /SF 6 mixtures, CHF 3 /Ar mixtures are introduced via corresponding ports e.g. 16, 17, so as to cause controlled etching on wafers or substrates 19 located in the RF field induced plasma located between electrodes 11, 12.
  • This apparatus 10 is utilised to perform the controlled ion etching operation as discussed in detail below.
  • the high plasma-density hollow cathode discharge etching system suitable for use is described in C.M. Horwitz, S. Boronkay, M. Gross and K.E. Davies, J. Vac. Sci . Technology A6, at pages 1837 to 1844 (1988) .
  • the two opposing RF powered parallel circular electrodes 11, 12 are surrounded by a grounded chamber 21.
  • a conventional diode discharge is produced between each of the electrodes 11, 12 and the grounded chamber 21 but a high density plasma is generated between the two RF powered electrodes 11, 12 due to the "electron mirror" effect.
  • Both the upper and lower electrodes were water-cooled and covered with 100 mm diameter silicon wafers 18, 19.
  • the silica films used in the etching experiments had a thickness of 8 urn and were deposited on silicon substrates 19 using the hollow-cathode PECVD technique.
  • Masking layers of 1 ⁇ m of PECVD a-Si or 2 urn of photoresist were then applied to the wafer 19.
  • the photoresist mask was patterned using conventional photolithography, while patterning of the a-Si layer was carried out using conventional photolithography followed by etching m a CF 4 /SF 6 mixture.
  • the samples 19 were etched to a depth of around 4-5 ⁇ m, with the rates determined by surface profllo etry .
  • the etched profile, sidewall roughness and dimension loss were further determined by SEM examination.
  • the dimension loss was calculated or defined as the difference between the line width measured at the bottom of the mask oefore etching and the width at the top of the etched ridge.
  • Sidewall roughness figures set out hereinafter are the average amplitudes of the RIE- mduced corrugations measured over a distance of a few microns.
  • the initial (unetched) roughness of both the photoresist and a-Si mask edge was not larger than 0.02 ⁇ m.
  • the silica films were etched m a 20 t CHF 3 in Ar mixture w th various additions of CF 4 or 0 ⁇ .
  • the pressure was kept at 12 Pa m all experiments. All gases had a stated purity of 99.95 % or better. Etching m CHF 3 was accompanied by some polymer deposition. The polymer deposition was estimated using a shadowing technique, whereby the polymer deposition is assumed to be isotropic. An overhanging structure consisting of two overlapping silicon wafers was used and the thickness of polymer deposited under the overhang (and so shielded from ion bombardment) was measured using surface profilometry. The temperature of the samples was controlled by varying tne thermal contact between the sample 19 and the cooled electrodes eg. 12.
  • thermocouple measurements (1) no thermal contact between the sample and the electrode; (n) partial thermal contact through several point contacts of vacuum grease; (m) good thermal contact through vacuum grease spread on the back of the sample. Good thermal contact was used m all experiments where the temperature was held constant and for all photoresist masked samples.
  • Etc ⁇ rates The resulting etch rates as a function of RF power (at 13.56 MHz) coupled into the discharge are snown m Fig. 2a for an a-Si mask and m Fig. 2b for a photoresist mask. It is seen that the S ⁇ 0 2 etch rate is slightly higher (around 10 %) m the case of tne a-Si mask for similar power levels. With the a-Si mask the SiO ? etch rate increases by almost a factor of three over the investigated power range reaching a value of 0.8 ⁇ /min at the maximum power. The a- Si etch rate increases witn power faster than the S ⁇ 0 2 etch rate thus causing an overall decrease in selectivity over a- Si from 20:1 to 12:1. Similarly, the selectivity over photoresist also decreases with the power.
  • Etcned profile and dimension loss The etched sidewall slope angle, as a function of power for a-Si and photoresist masks, is shown m Fig. 3a.
  • the SEM photographs of the corresponding etched profiles are shown m Figs. 4a to 4h.
  • the angle of the profile slope was found to increase with the power, being greater for an a-Si mask for similar power levels.
  • Sidewall roughness As illustrated m Fig. 3c, the sidewall roughness appears to be consistently higher for a photoresist mask than for an a-Si mask. In both cases, however, it was found to increase with power and, as can be seen m Fig. 3c, the sidewall roughness for etching with an a-Si mask at the highest power level is comparable witn the sidewall roughness obtained with the photoresist mask at lower power levels.
  • Polymer deposition rate The polymer deposition rate m the area shadowed from ion bombardment was found to give results as indicated m Fig. 3d. It was found to increase by about 30 % over the whole power range. Also, it may be noted that at the minimum power, the polymer deposition rate was around 3 times smaller than the S ⁇ 0 2 etcn rate, which means that m ion bombarded areas, during etching of 1 ⁇ m of S ⁇ O, around 0.35 ⁇ m of polymer is simultaneously removed. As the power increases this portion of removed polymer is reduced to around 20 ° or 0.2 um for 1 ⁇ m of S ⁇ 0 2 . The Effect of Q 2 and CF Additions, and Sample Temperature Variation
  • etching mechanism As polymer deposition was found to play an important role m the etching mechanism, different methods of controlling it were investigated. These include (1) 0 2 additions, (11) CF 4 additions and, (iii) elevated substrate temperature .
  • 5a to 5f has been separated into two components, a vertical component, which is related to the mask thickness decrease, and a lateral component, which is related to the mask width decrease.
  • a vertical component which is related to the mask thickness decrease
  • a lateral component which is related to the mask width decrease.
  • Etched profile As illustrated m Fig. 6a, the slope of the etching profile was found to first increase with temperature and then decrease below the initial value. As shown m Fig. 6b, 0 2 additions caused a small initial increase in the slope followed by a gradual decrease. As illustrated m Fig. 6c, the slope was found to be essentially independent of the CF 4 flow rate. Sidewall roughness. As shown m the sidewall roughness was found to decrease with both temperature (Fig. 6d) and 0. flow (Fig. 6e) but was not effected by the CF 4 (Fig. 6f) . It is seen that the sidewall roughness can be reduced to 0.02 um, either by elevating the sample temperature or by adding 0 2 to the gas mixture.
  • Fig. 6d With temperature as a control parameter, minimum sidewall roughness can be achieved while maintaining the anisotropy of the a-Si mask etching.
  • 0 2 can also be used to reduce roughness (Fig. 6e) , but the same minimum roughness can only be achieved at the expense of dimension loss, since, at the required 0 ⁇ flow rates, the etching of a-Si becomes essentially isotropic (Fig. 5e) . From a practical point of view this suggests that the sample temperature is a more useful control parameter for reducing sidewall roughness compared to the addition of 0 2 .
  • the improvement m the sidewall roughness can be seen in Figs. 7a and 7b, which shows SEM images of two sidewalls etched at different temperatures .
  • Polymer deposition rate The polymer deposition rate on a shadowed surface as a function of sample temperature
  • Fig. 8 m the case of the etched structures described it is possible to specify four surfaces 31 - 34 on which sucn a film may exist.
  • S ⁇ 0 2 surfaces 34 are free of polymer film for RF bias voltages above 100V (at a pressure of 0.13 Pa), and that the threshold bias voltage between polymer etching and deposition decreases with pressure. Therefore, using 12 Pa and 400V - 600V bias, a polymer free S ⁇ 0 2 bottom surface 34 generally results. This is supported by the fact that S ⁇ 0 2 etch rates do not increase vvith polymer suppression, either by 0? additions, or increasing sample.
  • a polymer film can be present only on surfaces 31, 32 and 33.
  • the polymer on surface 31 determines the etching selectivity, whereas 32 and 33 will effect the etching profile and sidewall roughness.
  • the presence of a finite thickness of polymer implies tnat both etching species and reaction products must diffuse tnrough the polymer on their way to or from the etched surface, a mechanism which has previously been suggested by others.
  • etching species diffusing through the polymer film have a certain probability of reaction with the polymer, which is proportional to the film thickness. Porosity m the polymer film can contribute to this etching mechanism.
  • this "diffusion" etching component also increases, thus increasing the total polymer removal rate and preventing continuos film growth.
  • these effects will give rise to a certain equilibrium polymer thickness, which will determine the etch rates of the underlying surfaces.
  • ER is the etch rate of the surface under the polymer film
  • I ⁇ is the flux of active etching species at the polymer film surface
  • is the probability of polymer etching oy diffusing active species per unit of film thickness
  • d is the thickness of the polymer film
  • ER Do:Ly]T er
  • er is the polymer etch rate
  • C and C 2 are empirical constants
  • I is the ion flux
  • is the sidewall slope or effective ion angle of incidence, , E, > ⁇ ) 1S the reactive sputtering yield as a function of active species flux I d , ion energy E and effective ion angle of incidence ⁇ .
  • I- is the flux of polymer forming species and ⁇ " is the sticking probability of the polymer forming species as a function of the surface temperature.
  • the photoresist, a-Si and SiO? etch rate results of Figs. 2 and 3 can be explained using this model.
  • the lateral a-Si etch rate behaves differently for the temperature and 0 cases on one side and CF case on the other (Fig. 5d to Fig. 5f) .
  • the lateral etch rate increases, approaching the vertical etch rate, thus indicating isotropic etching of the a-Si mask.
  • the lateral a-Si etch rate increase is small and the anisotropy remains unchanged due to a proportional increase the vertical etch rate.
  • the difference m vertical ano lateral etch rate of the a-Si mask is due to the different steady-state polymer film thickness on its top surface and sidewalls.
  • the sidewalls receive less ion bombardment during etching which, according to Eq. 2, reduces the reactive sputtering component of polymer etching and causes an increase in its steady-state thickness to the point where lateral etching of the mask ceases, as seen in the first few points in Fig. 5d to Fig. 5f.
  • the increasing lateral etch rate with temperature and 0 2 additions is due to a reduction m polymer thickness on the sidewalls. In the temperature case, this can be attributed to reduced polymer deposition Fig. 6g.
  • a sloped profile m silica a material with known intrinsically anisotropic etching characteristics may be produced m two ways.
  • the considerable dimension loss observed when using a photoresist mask (Fig. 3b) suggests that mask erosion is the cause of the sloped profile m this case.
  • the etched profile observed using an a-Si mask is seen to be "overcut" (Fig. 3b and Fig. 9a to Fig. 9c) .
  • the effect of higher power is to increase the reactive sputtering component of polymer etching due to higher ion energy and density.
  • the polymer depositior rate decreases only slightly but its reactive sputtering rate increases due to active oxygen produced in the discharge thus increasing the equilibrium sidewall angle. Further increases in temperature and 0 flow cause total polymer removal from the sidewalls of the a-Si mask, resulting m lateral etching of the a-Si and a smaller sidewall angle due to mask erosion.
  • the sidewall slope is relatively independent of CF 4 additions, which indicates that the increase m polymer deposition rate due to CF flow is balanced by the simultaneous increase in its etching rate, prodably due to an increase m the fluorine flux.
  • Fig. 11a shows a etched sidewall with a photoresist masK still place. It is seen that roughness has been generated in the photoresist during the process and then transferred to the silica sidewall where the mask edge has been thinned by the faceting which is evident.
  • the increase silica sidewall roughness with power can be explained by an increase micromaskmg as both ion bombardment and polymer deposition rate increase.
  • Fig. lib shows an etched sidewall with the a-Si mask still place.
  • the upper part of the sidewall close to the mask is smoother than the lower part, suggesting that a larger part of the roughness has not been transferred from the mask edge, but rather has formed on the sidewall during etching.
  • the reason for this additional roughness is likely to be the sidewall polymer which can act as a micromaskmg material.
  • the sidewall roughness increase with power can be explained by an increase m micromaskmg, this case both the masK edge and the sidewall itself, as both ion bombardment and polymer deposition rate increase.
  • sample temperature as a control parameter allows smooth sidewalls to be obtained without dimensional loss, wnereas using O t additions does not allow for a process window where both dimension control and smooth sidewalls can be achieved.
  • active oxygen enhances the polymer etching rate on both the a-Si mask and SiO sidewalls and therefore, together with an improvement is sidewall roughness, it brings about dimension loss due to isotropic etching of the mask.
  • the flux of polymer forming species from the plasma remains unchanged, but their sticking probability is reduced, thus decreasing the effective polymer deposition rate, which then results in reduced roughness.
  • the model is based on a balance between isotropic polymer deposition and etching.
  • a polymer film of a certain steady-state thickness is formed as a result of this balance on (l) the top surface of the mask, (n) the sidewalls of the mask and (m) the sidewalls of the SiO ⁇ .
  • the polymer thickness on the top surface determines the etching selectivity, whereas the polymer thickness on the mask sidewalls and SiC sidewalls determines the profile slope and sidewall roughness.
  • the silica reactive ion etching process of the preferred embodiment satisfies all the requirements of planar waveguide fabrication and can also be used for other integrated optics applications or MEMS applications where deep etching of silica is required along with smooth etched sidewalls and vertical or sloped etching profiles. It would be appreciated by a person skilled the art that numerous variations and/or modifications may be made to the present invention as shown in the specific embodiments without departing from the spirit or scope of the invention as broadly described. The present embodiments are, therefore, to be considered all respects to be illustrative and not restrictive.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

The invention relates to a method for etching of silica-based layers/substrates by reactive ion etching system (10) using an etching gas mixture of CHF3/AR through a photoresist mask. Reactive ion etching is carried out under conditions of simultaneous isotropic deposition of a carbon-based polymer where the polymer deposition rate is controlled by adjusting process control parameters of RF power, sample temperature, O2 and CF4 additions.

Description

Reactive Ion Etching of Silica Structures
Field of the Invention
The present invention relates to creation of silica structures and in particular to the reactive ion etching of such structures.
Background of the Invention
Silica-based channel waveguides, fabricated on silicon or silica wafer substrates, are potential building blocks of planar lightwave circuits (PLCs) that are becoming increasingly important for telecommunications systems.
While there are a number of thin film techniques that have been used to deposit silica waveguiding layers (flame hydrolysis, chemical vapour deposition and plasma enhanced chemical vapour deposition (PECVD) ) , almost all reported waveguide fabrication schemes have used reactive ion etching (RIE) to delineate the channel waveguide (core) geometry. RIE is also commonly used in integrated optics, and in planar waveguide fabrication in particular, for etching light turning mirrors. It further finds use in the creation of other Micro Electro Mechanical Systems (MEMS) .
RIE of silica glass in integrated circuit (IC) manufacture is a well established and routine process with, for example, CHFj based mixtures being used to obtain high selectivity over photoresist. Although basically similar, the silica films used in planar waveguides have several unique differences which influence the development of suitable RIE processes. Firstly, the thicknesses of silica in waveguide devices can be as much as 5 to 10 urn, as opposed to typically less than 1 μm in IC technology. This places extra demands on mask thickness and/or material selectivities, as well as on the silica etch rate which should be high enough to obtain reasonable throughput. Different masking materials for waveguide etching such as photoresist, amorphous silicon (a-Si) and chromium have been reported. Generally, the use of non-photoresist masks allows for larger etching depths and silica etch rates. The roughness of the etched walls of the waveguide structures or light turning mirrors should ideally be as small as possible in order to reduce the loss due to light scattering. A number of works on sidewall roughness reduction for etching with photoresist masks have been reported. In these cases, however, the etching depth of a Si02 layer was restricted to around 1 μm. Etched profile control is also important and some slope in the etched profile is sometimes desirable in order to facilitate filling of the gaps between closely spaced waveguides during cladding deposition. Profile slope is normally achieved by controlled photoresist mask erosion. Despite a number of published works on different aspects of the RIE of silica for waveguide fabrication, it is unclear as to the effect of all relevant parameters, such as etch rates, sidewall roughness, profile slope and the relationship between them. Summary of the Invention
It is an object of the present invention to provide for the development of a high-rate silica RIE process suitable for low temperature waveguide fabrication.
In accordance with the first aspect of the present invention there is provided a method for etching of silica- based glass layers or substrates comprising reactive ion etching through a mask executed under conditions of simultaneous isotropic deposition of a carbon based polymer. Preferably, the polymer deposition rate or/and its steady-state thickness on different surfaces of the etched structure is controlled by adjusting one or several process control parameters in order to control etched profile, dimension loss, sidewall and bottom etched surface roughness, and etching selectivity between the silica-based layer and mask material.
A gas or a mixture of gases containing fluorine or carbon atoms is used and a photoresist mask or other form of mask such as amorphous silicon. Adjustable parameters can include RF power and substrate temperature. The temperature can be adjusted to achieve low sidewall roughness and low dimension loss at the same time. Further, resputtering of any metal present wihtin or/and m contact with the discharge zone can be prevented. The invention is ideally suited wherein reactive ion etching is performed m a high plasma density hollow cathode etching system and the etching gas mixture is CH3F and Argon .
Various products made utilizing the previous methods are also disclosed.
In accordance with a further aspect of the present invention there is provided a high plasma density hollow cathode etching system is disclosed which has been shown to provide higher etch rates than those achievable m previously known standard RIE systems. Etching was carried out m a CHF3/Ar mixture with additions of 02 and CF4. The effects of the different chemistries as well as the use of different masks (photoresist and amorphous silicon) and the effects the substrate temperature on etching rates, sidewall roughness and etch profiles have been investigated. Using a photoresist mask generally results m greater sidewall roughness compared to an amorphous silicon mask. Importantly, polymer deposition during the etching process can exacerbate the development of roughness but is still desirable to a certain extent m the prevention of the loss of line width during etching. Two mechanisms of polymer deposition control are disclosed, namely, the addition of varying amounts of 02 or CF4, and elevating the temperature of the substrate. The latter was found to give a good compromise between control over the line width loss and the sidewall roughness. In order to explain the variety of experimental results obtained, a simple phenomenological model based on a polymer etching/deposition rate equilibrium on etched surfaces is proposed and examined. Brief Description of the Drawings
Notwithstanding any other forms which may fall within the scope of the present invention, preferred forms of the invention will now be described, by way of example only, with reference to the accompanying drawings in which:
Fig. 1 is a schematic illustration of the basic layout of hollow cathode discharge chamber used in the preferred embodiment .
Fig. 2a to Fig. 2g illustrate graphs of etch rates and selectivities over mask material for etching with a-Si (Fig. 2a) and photoresist (Fig. 2g) masks as a function of RF power. Pressure is 12 Pa. Gas flow rates: 60 seem of Ar, 15 seem of CHF3. Sample temperature is 80°C.
Fig. 3a to Fig. 3d illustrate graphs of etching profile slope (Fig. 3a) dimension loss (Fig. 3b), sidewall roughness (Fig. 3c) and polymer deposition rate (Fig. 3d) as a function of RF power for etching with a-Si and photoresist masks. The pressure is 12 Pa. The gas flow rates: 60 seem of Ar, 15 seem of CHF3. The sample temperature is 80°C. The dimension loss was normalized to an etching depth of 5 urn. The polymer deposition rate was measured in the area shielded from ion bombardment.
Fig. 4a to Fig. 4h are Electron microscope images of etching profiles as a function of RF power for etching with a-Si (Fig. 4a to Fig. 4d) and photoresist masks (Fig. 4e to Fig. 4h) . The RF power was as follows: Fig. 4a- unetched a-Si mask, Fig. 4b- 250W, Fig. 4c-500W, Fig. 4d-650W, Fig. 4e-unetched photoresist mask, Fig. 4f-300W, Fig. 4g-400W, Fig. 4h 500W. Pressure is 12 Pa. Gas flow rates: 60 seem of Ar, 15 seem of CHF3. Sample temperature 80 °C.
Fig. 5a to Fig. 5e illustrate graphs of etch rates and selectivities with Fig. 5a to 5c illustrating Si02 etch rates and selectivities over an a-Si mask and Fig. 5d to Fig. 5e illustrating vertical and lateral etch rates of a-Si mask as a function of sample temperature, for a 02 flow rate and a CF4 flow rate, respectively. RF power is 500W. Pressure is 12 Pa. Gas flow rates: 60 seem of Ar, 15 seem of CHF3. Sample temperature 80°C unless varied. Fig. 6a to Fig. 6j illustrate graphs of the etching profile slope (Fig. 6a to Fig. 6c) sidewall roughness (Fig. 6d to Fig. 6f) and polymer deposition rate (Fig. 6g to Fig. 6j ) as a function of sample temperature, 02 flow rate and CF4 flow rate, respectively using an a-Si mask. RF power is
500W. Pressure is 12 Pa. Gas flow rates: 60 seem of Ar, 15 seem of CHF3. Sample temperature 80°C unless varied. Polymer deposition rate was measured in the area shielded from ion bombardment . Fig. 7a and Fig. 7b illustrate electron microscope images for etched sidewalls for sample temperature 80° (Fig. 7a) and 320°C (Fig. 7b) . The a-Si mask is still in place. RF power is 500W. Pressure is 12 Pa. Gas flow rates: 60 seem of Ar, 15 seem of CHF3. Fig. 8 illustrates a sectional view of a wafer showing the four possible etched surfaces with respect to intensity of ion bombardment and the possibility of polymer film formation: the surfaces include (i) the top surface of the mask 31, (ii) the sidewalls of the mask 32, (iii) the sidewalls of Si02 33 and, (iv) the bottom surface of the Si0 34. A steady state thickness of polymer film can be present on (i-iii) , whereas (iv) is assumed polymer free under the etching conditions used in this study.
Fig. 9a to Fig. 9c are electron microscope images of time evolution of the etched profile: with Fig. 9a showing an unetched a-Si mask, Fig. 9b after 3 minutes etching, Fig. 9c after 6 minutes etching. RF power is 500W. Pressure is 12 Pa. Gas flow rates: 60 seem of Ar, 15 seem of CHF3. Sample temperature is 80 °C. Etching selectivity over the a- Si mask is approximately 14:1. A "negative undercut" is shown to be developed without mask width reduction. The more vertical profile of the a-Si mask is "buried" under polymer formed at a steady state angle determined by the polymer etching and deposition equilibrium. Fig. 10 is a schematic illustration of a mechanism of sloped profile formation. A steady state profile angle 0° is formed under conditions where Erpdymer = Drpoiymer- For this angle the steady state thickness of polymer film on the sidewall is enough to prevent etching. The no-zero polymer etch rate at 0 = 90° is due to ions scattered in plasma sheath.
Fig. 11a and lib illustrate etched sidewalls for etching with a photoresist mask (Fig. 11a) and a a-Si mask (Fig. llg) . Pressure is 12 Pa. Gas flow rates: 60 seem of Ar, 15 seem of CHF3. Sample temperature is 80°C. RF power is 500W for etching with the photoresist mask and 650W for etching with the a-Si mask. Description of Preferred Embodiments
A first embodiment of the present invention relies upon the utilisation of plasma enhanced chemical vapour deposition (PECVD) in a hollow cathode discharge chamber.
Turning initially to Fig. 1 there is shown a suitable vacuum chamber assembly 10 including a top electrode 11 and a bottom electrode 12 connected as shown to RF source 13 which comprised a 13.56 MHz RF source. In use for the purposes of PECVD, the chamber 14 is evacuated via pump port 15 and gases such as CH4/SF6 mixtures, CHF3/Ar mixtures are introduced via corresponding ports e.g. 16, 17, so as to cause controlled etching on wafers or substrates 19 located in the RF field induced plasma located between electrodes 11, 12. This apparatus 10 is utilised to perform the controlled ion etching operation as discussed in detail below.
The high plasma-density hollow cathode discharge etching system suitable for use is described in C.M. Horwitz, S. Boronkay, M. Gross and K.E. Davies, J. Vac. Sci . Technology A6, at pages 1837 to 1844 (1988) . The two opposing RF powered parallel circular electrodes 11, 12 are surrounded by a grounded chamber 21. A conventional diode discharge is produced between each of the electrodes 11, 12 and the grounded chamber 21 but a high density plasma is generated between the two RF powered electrodes 11, 12 due to the "electron mirror" effect. Both the upper and lower electrodes were water-cooled and covered with 100 mm diameter silicon wafers 18, 19. The latter is to prevent resputtering of the electrode material (Al) which can result in metal contamination and subsequent surface roughness and tne formation of sloped etching profiles due to metal-based polymer deposition. Examination of the polymer deposited m the lon-snielded areas (as described below) using wavelength dispersive X-ray spectroscopy ( DS) showed that no traces of Al or other vacuum chamber materials could be detected at the 0.01 - level, thus confirming that metal contamination is not an issue.
The silica films used in the etching experiments had a thickness of 8 urn and were deposited on silicon substrates 19 using the hollow-cathode PECVD technique. Masking layers of 1 μm of PECVD a-Si or 2 urn of photoresist were then applied to the wafer 19. The photoresist mask was patterned using conventional photolithography, while patterning of the a-Si layer was carried out using conventional photolithography followed by etching m a CF4/SF6 mixture. In each run the samples 19 were etched to a depth of around 4-5 μm, with the rates determined by surface profllo etry . The etched profile, sidewall roughness and dimension loss were further determined by SEM examination. The dimension loss was calculated or defined as the difference between the line width measured at the bottom of the mask oefore etching and the width at the top of the etched ridge. When determining the sidewall roughness, the SEM photos were taken from the top side of the ridge, at a glancing angle to the sidewall. Sidewall roughness figures set out hereinafter are the average amplitudes of the RIE- mduced corrugations measured over a distance of a few microns. The initial (unetched) roughness of both the photoresist and a-Si mask edge was not larger than 0.02 μm. The silica films were etched m a 20 t CHF3 in Ar mixture w th various additions of CF4 or 0Δ . The pressure was kept at 12 Pa m all experiments. All gases had a stated purity of 99.95 % or better. Etching m CHF3 was accompanied by some polymer deposition. The polymer deposition was estimated using a shadowing technique, whereby the polymer deposition is assumed to be isotropic. An overhanging structure consisting of two overlapping silicon wafers was used and the thickness of polymer deposited under the overhang (and so shielded from ion bombardment) was measured using surface profilometry. The temperature of the samples was controlled by varying tne thermal contact between the sample 19 and the cooled electrodes eg. 12. For an A-Si mask, three cases were cnaracterized by thermocouple measurements: (1) no thermal contact between the sample and the electrode; (n) partial thermal contact through several point contacts of vacuum grease; (m) good thermal contact through vacuum grease spread on the back of the sample. Good thermal contact was used m all experiments where the temperature was held constant and for all photoresist masked samples. Results
The Effect of RF Power
Etcπ rates: The resulting etch rates as a function of RF power (at 13.56 MHz) coupled into the discharge are snown m Fig. 2a for an a-Si mask and m Fig. 2b for a photoresist mask. It is seen that the Sι02 etch rate is slightly higher (around 10 %) m the case of tne a-Si mask for similar power levels. With the a-Si mask the SiO? etch rate increases by almost a factor of three over the investigated power range reaching a value of 0.8 μ /min at the maximum power. The a- Si etch rate increases witn power faster than the Sι02 etch rate thus causing an overall decrease in selectivity over a- Si from 20:1 to 12:1. Similarly, the selectivity over photoresist also decreases with the power.
Etcned profile and dimension loss: The etched sidewall slope angle, as a function of power for a-Si and photoresist masks, is shown m Fig. 3a. The SEM photographs of the corresponding etched profiles are shown m Figs. 4a to 4h. For both mask materials the angle of the profile slope was found to increase with the power, being greater for an a-Si mask for similar power levels. The dimension loss (Fig. 3b) (normalised to a depth of 5 μm) for the a-Si mask was found not to exceed 0.2 μm (although it seemed to increase slightly with power) , at the same time the dimension loss for the photoresist mask was quite significant (>1 μm) and clearly increased with power as illustrated m Fig. 3b. This difference probably points to different mechanisms being responsible for the sloped profile formation m both cases. It may be noted from Figs. 4a to 4h, where the initial mask profiles before etching are shown, that a facet is developing on the photoresist mask sidewall, thus possibly contributing to the observed dimension loss.
Sidewall roughness: As illustrated m Fig. 3c, the sidewall roughness appears to be consistently higher for a photoresist mask than for an a-Si mask. In both cases, however, it was found to increase with power and, as can be seen m Fig. 3c, the sidewall roughness for etching with an a-Si mask at the highest power level is comparable witn the sidewall roughness obtained with the photoresist mask at lower power levels.
Polymer deposition rate: The polymer deposition rate m the area shadowed from ion bombardment was found to give results as indicated m Fig. 3d. It was found to increase by about 30 % over the whole power range. Also, it may be noted that at the minimum power, the polymer deposition rate was around 3 times smaller than the Sι02 etcn rate, which means that m ion bombarded areas, during etching of 1 μm of SιO, around 0.35 μm of polymer is simultaneously removed. As the power increases this portion of removed polymer is reduced to around 20 ° or 0.2 um for 1 μm of Sι02. The Effect of Q2 and CF Additions, and Sample Temperature Variation
As polymer deposition was found to play an important role m the etching mechanism, different methods of controlling it were investigated. These include (1) 02 additions, (11) CF4 additions and, (iii) elevated substrate temperature . Etch rates: Figs. 5a to 5f show Sι02 and a-Si etch rates and selectivity plotted on the same scales for all three varied parameters. It is seen that the Sι02 etch rate decreases with temperature and 02 additions, but increases with CF4 additions. At the same time the selectivity over a-Si decreases in all three cases. The a-Si mask etch rate shown m Figs. 5a to 5f has been separated into two components, a vertical component, which is related to the mask thickness decrease, and a lateral component, which is related to the mask width decrease. It can be seen from that under the conditions of no 02 or CF4 and a low sample temperature (80°C), the lateral etch rate is essentially zero (<80A/mm) . At elevated temperature and with 02 added it stays initially at around zero but then rises, ultimately approaching the vertical etch rate values, which implies isotropic etching of the a-Si mask. For CF the behaviour is different, the lateral etch rate increases gradually but the mask etching remains basically anisotropic. With increases m all three parameters the vertical a-Si etch rate increases which, together with a decrease m the SiO, etch rate at higher temperatures and 0: additions, and a slower rate of increase with CF- additions, results m an overall decrease m selectivity.
Etched profile: As illustrated m Fig. 6a, the slope of the etching profile was found to first increase with temperature and then decrease below the initial value. As shown m Fig. 6b, 02 additions caused a small initial increase in the slope followed by a gradual decrease. As illustrated m Fig. 6c, the slope was found to be essentially independent of the CF4 flow rate. Sidewall roughness. As shown m the sidewall roughness was found to decrease with both temperature (Fig. 6d) and 0. flow (Fig. 6e) but was not effected by the CF4 (Fig. 6f) . It is seen that the sidewall roughness can be reduced to 0.02 um, either by elevating the sample temperature or by adding 02 to the gas mixture. However, by comparing Fig. 6d with Fig. 5a and Fig. 5d, it can be seen that, using temperature as a control parameter, minimum sidewall roughness can be achieved while maintaining the anisotropy of the a-Si mask etching. 02 can also be used to reduce roughness (Fig. 6e) , but the same minimum roughness can only be achieved at the expense of dimension loss, since, at the required 0^ flow rates, the etching of a-Si becomes essentially isotropic (Fig. 5e) . From a practical point of view this suggests that the sample temperature is a more useful control parameter for reducing sidewall roughness compared to the addition of 02. The improvement m the sidewall roughness can be seen in Figs. 7a and 7b, which shows SEM images of two sidewalls etched at different temperatures .
Polymer deposition rate: The polymer deposition rate on a shadowed surface as a function of sample temperature,
02 flow rate and CF flow rate, is shown m Fig. 6g, Fig. 6h and Fig. 6η , respectively. It is seen (Fig. 6g) that by increasing the temperature, the polymer deposition is first reduced and then, with a further increase m the temperature, is totally suppressed, which means that there is no polymer deposition above a certain sample temperature even m aosence of ion bombardment. 02 additions (Fig. 6h) cause only a small decrease in the polymer deposition rate, which is noteworthy given the similar effect of temperature and 02 on the sidewall roughness. This may indicate a difference m the mechanisms by which the two parameters reduce the sidewall roughness. Finally it is seen from Fig. 6j that the polymer deposition rate increases with C4 flow by about 25 of its initial value. It is evident from the aforegoing analysis that essentially isotropic polymer deposition occurs simultaneously with etching. Furthermore, the formation of polymer films under similar etching conditions, with thicknesses depending on an equilibrium between the polymer etch and deposition rates, has been demonstrated m a number of other investigations.
Turning to Fig. 8, m the case of the etched structures described it is possible to specify four surfaces 31 - 34 on which sucn a film may exist. Previous investigations using similar conditions have shown that Sι02 surfaces 34 are free of polymer film for RF bias voltages above 100V (at a pressure of 0.13 Pa), and that the threshold bias voltage between polymer etching and deposition decreases with pressure. Therefore, using 12 Pa and 400V - 600V bias, a polymer free Sι02 bottom surface 34 generally results. This is supported by the fact that Sι02 etch rates do not increase vvith polymer suppression, either by 0? additions, or increasing sample.
Temperature (Fig. 5a and 5b) . Thus, a polymer film can be present only on surfaces 31, 32 and 33. The polymer on surface 31 determines the etching selectivity, whereas 32 and 33 will effect the etching profile and sidewall roughness. The presence of a finite thickness of polymer implies tnat both etching species and reaction products must diffuse tnrough the polymer on their way to or from the etched surface, a mechanism which has previously been suggested by others. Here, m addition to etching of the polymer film by normal surface process, it is assumed that etching species diffusing through the polymer film have a certain probability of reaction with the polymer, which is proportional to the film thickness. Porosity m the polymer film can contribute to this etching mechanism. As the polymer film thickness increases, this "diffusion" etching component also increases, thus increasing the total polymer removal rate and preventing continuos film growth. For a constant polymer deposition rate, these effects will give rise to a certain equilibrium polymer thickness, which will determine the etch rates of the underlying surfaces.
While not wishing to be bound by theory, a simplified phenomenological model describing this mechanism can be written as follows:
ER ∞ (I- ad) < ι (EQ. D
ERpolymer ∞ c aad +c2 I, Cos(φ) γ[la,Eι,φ)9ad≤l EQ .2
DRpolymer ∞ χ (7) 1, (EQ . 3 )
where ER is the etch rate of the surface under the polymer film, Iα is the flux of active etching species at the polymer film surface, α is the probability of polymer etching oy diffusing active species per unit of film thickness, d is the thickness of the polymer film, ERDo:Ly]T,er is the polymer etch rate, C, and C2 are empirical constants, I is the ion flux, φ is the sidewall slope or effective ion angle of incidence, , E, > Ψ) 1S the reactive sputtering yield as a function of active species flux Id, ion energy E and effective ion angle of incidence φ. I- is the flux of polymer forming species and γ " is the sticking probability of the polymer forming species as a function of the surface temperature. The photoresist, a-Si and SiO? etch rate results of Figs. 2 and 3 can be explained using this model.
The observed decrease m etching selectivity over both photoresist and a-Si with power of Fig. 2a and Fig. 2b can be explained by a decrease m the steady-state polymer thickness on both mask surfaces 31, 32 (the SiCv surface is assumed polymer free) . This occurs m spite of the increasing polymer deposition rate with power (Fig. 3d) . According to Eq. (2) above this means that the increase m polymer deposition rate is overshadowed by the increase m both reactive sputter etching and "diffusion" etching components, thus requiring a smaller polymer thickness to maintain tne polymer etching/deposition equilibrium.
Increasing temperature, 02 flow and CF4 flow all reduce the etching selectivity over a-Si, mainly through a greater vertical a-Si etch rate (Fig. 3) . Again, since the SiO^ surface 34 is assumed to be polymer free, this implies a decrease m the polymer thickness on a-Si. Increasing temperature reduces the sticking probability of the polymer forming species with causes a reduction m the polymer deposition rate according to Eq. 3. This is also confirmed by Fig. 6g where the polymer deposition rate m the area shielded from ion bomoardment is shown to decrease with temperature. The polymer thickness then decreases (Eq. 2) causing and increase m a-Si etch rate through Eq. 1. In the case of 0 additions is not significant (Fig. 6h) . Similarly, CF4 additions lead to a reduction m the polymer thickness despite a small increase m the polymer deposition rate (Fig. 6j ) . In this case, however, the a-Si etch rate can increase, not only because of a reduction m polymer thicKness, but also due to an increase m the active species flux, resulting from CF„ dissociation.
While the vertical a-Si etch rate increases m response to all three factors (temperature, O and CF4), the lateral a-Si etch rate behaves differently for the temperature and 0 cases on one side and CF case on the other (Fig. 5d to Fig. 5f) . For increasing temperature and 0 flow the lateral etch rate increases, approaching the vertical etch rate, thus indicating isotropic etching of the a-Si mask. In the case of CF4 additions, however, the lateral a-Si etch rate increase is small and the anisotropy remains unchanged due to a proportional increase the vertical etch rate.
According to Eq. 1, the difference m vertical ano lateral etch rate of the a-Si mask is due to the different steady-state polymer film thickness on its top surface and sidewalls. The sidewalls receive less ion bombardment during etching which, according to Eq. 2, reduces the reactive sputtering component of polymer etching and causes an increase in its steady-state thickness to the point where lateral etching of the mask ceases, as seen in the first few points in Fig. 5d to Fig. 5f. The increasing lateral etch rate with temperature and 02 additions is due to a reduction m polymer thickness on the sidewalls. In the temperature case, this can be attributed to reduced polymer deposition Fig. 6g. In the 02 case, where the polymer deposition rate data show only a small decrease Fig. 6g, the similar reduction m sidewall polymer thickness is due to higher polymer removal rate by active oxygen. The absence of polymer on the sidewalls for high 02 flow rates, as opposed to its presence m the areas shielded from ion bombardment Fig. 6] is likely to be due to some ion bombardment on the mask sidewalls by ions scattered m the sheath (characteristic for the operating pressure around 10 Pa employed which is, although relatively small, apparently enough to initiate polymer etching by the products of 0^ dissociation) .
The addition of CF4, although causing an increase m the vertical a-Si etch rate m a way similar to increased temperature and 02, does not alter the ratio of the vertical to lateral etch rates. According to the model this implies that some polymer film remains on both the sidewalls and top surface of the a-S mask, with its steady state thickness on both surfaces reduced proportionally with the CF4 additions. Finally, we note that, despite there being no polymer on the a-Si mask surface at high temperature or high 0 flows, its vertical etch rate, although increased, still remains around 5 times smaller than the Sι02 rate. This suggests that the well accepted mechanism of Sι02 etching, where the Sι02/Sι selectively is due to selective polymer removal by oxygen released from the SiO^ during etching, is accompanied by an additional mechanism m this case. That is, under conditions of intense ion bombardment, the production of CHF3 dissociation apparently etch Sι02 faster than Si, even when there is no protective polymer film on the Si surface. Sidewall angle
A sloped profile m silica, a material with known intrinsically anisotropic etching characteristics may be produced m two ways. The first mechanism, mask erosion, produces a sloped profile through lateral mask etching. This is well documented m the literature and may be deliberately induced by, for example, addition of 02 when using a photoresist mask. The considerable dimension loss observed when using a photoresist mask (Fig. 3b) suggests that mask erosion is the cause of the sloped profile m this case. The reason for high lateral photoresist mask etching, m the light of similar selectively to SiO? as a-Si, is probably the result of faceting of the photoresist mask edge. This can be seen m Fig. 4a to Fig. 4h where the photoresist mask is shown before and after etching. From the round shape before etching (Fig. 4e) the mask sidewall becomes flat and sloped (Fig. 4h) at the preferential sputtering angle. An estimate of the lateral etching rate of the photoresist mask due to faceting is around 1000 A/mm, which is more than twice the vertical etch rate. The increase m slope angle with power observed for a photoresist mask can be attributed to the preferential increase m the SιO sidewall etch rate compared to the increase m the lateral mask etch rate. Here, the SiO sidewall is not protected by polymer, since the angle is less than the steady-state value required for polymer film formation.
The effect of the second mechanism of sloped profile formation can be seen m Fig. 9a to Fig. 9c where the time evolution of an etched silica profile is shown starting with an unetched a-Si mask (Fig. 9a) . Here, it can be seen that the width of the mask has not changed, but the effective lmewidth has increased, rather than decreased as is the case of mask erosion. This effect, which has been termed "negative mask undercut" or "overcut" can occur under conditions of anisotropic etching of the substrate and masK m the presence of a simultaneous isotropic deposition process .
The Sι02 sidewall etch rate is zero when all the etching species are consumed m the sidewall polymer film before reaching the SiO? surface, or when αd = 1 (Eq. 1) . The steady-state polymer thickness required to satisfy this condition is found when ERpolymer = Drpoiymer- However, since both the ion flux to the sidewall and the angular dependence of the reactive sputtering yield depend on the sidewal- slope, the etching rate of the sidewall polymer will depend on the slope (φ m Eq. 2) . Thus, assuming isotropic polymer deposition, there is a sidewall angle φσ, at which ERΌO1V„_
0) = DRco ymer, and hence zero Sι02 sidewall etch rate. If the angle were greater than this equilibrium value then the reactive component of polymer etching would be lower,
> ERJ0 ymer, and net deposition would occur. Conversely, if the angle were less than the equilibrium value, then the reactive component of polymer etching would be higher, DRD01/~e- < ERpoiymer and net etching would occur. This mechanisr is shown graphically Fig. 10. The point 41 where the semicircle 40 representing isotropic polymer deposition crosses tne line 42 representing the angular dependence cf the polymer etch rate corresponds to the angle at which the polymer etching/deposition balance is achieved. This angle is the steady-state angle of the Sι02 sidewall 44. The angular dependence of the polymer etch rate m Fig. 10 is drawn schematically and includes both the angular dependence of the ion flux on the sidewall I3.C0Ξ (φ) and the angular dependence of the sputtering yield, Y(Ia,E , φ) the latter having a maximum around 60°. As the polymer deposition rate
(the semicircle radius 45) increases, the mtersectior between it and the angular dependence curve 42 (the polymer etching/deposition equilibrium point) shifts upwards thus decreasing the sidewall angle. Similarly, if the polymer etch rate increases, the intersection shifts downwards and the angle increases. This mechanism can be applied to explain the experimental data.
The etched profile observed using an a-Si mask is seen to be "overcut" (Fig. 3b and Fig. 9a to Fig. 9c) . The effect of higher power is to increase the reactive sputtering component of polymer etching due to higher ion energy and density.
According to the above mechanism, this establishes a new polymer etching/deposition equilibrium at a higher sidewall angle, as observed. The increase m polymer deposition rate, which also occurs with power (Fig. 3d) is apparently less than the increase m its etch rate.
One can explain the profile slope dependences on temperature, 02 and CF4 additions m similar terms, keeping in mind tne a-Si mask lateral etch rate tendencies (Fig. 5a to Fig. 5f) . The profile slope initially increases with temperature and with 0 flow (although only slightly) and then decreases m both cases Fig. (6a and Fig. 6b). The initial increase in the slope profile versus temperature is due to a decrease m polymer deposition through a reduced sticking probability of polymer forming species. The new deposition/etching equilibrium occurring at a nigher angle according to Fig. 10. In the case of 0 flow, the polymer depositior rate decreases only slightly but its reactive sputtering rate increases due to active oxygen produced in the discharge thus increasing the equilibrium sidewall angle. Further increases in temperature and 0 flow cause total polymer removal from the sidewalls of the a-Si mask, resulting m lateral etching of the a-Si and a smaller sidewall angle due to mask erosion. The sidewall slope is relatively independent of CF4 additions, which indicates that the increase m polymer deposition rate due to CF flow is balanced by the simultaneous increase in its etching rate, prodably due to an increase m the fluorine flux. Sidewall Roughness Since the initial mask-edge roughness, determined by SEM examination of both a-Si and photoresist masks, was significantly smaller than the post-etch sidewall roughness, this can be eliminated as a source of the observed sidewall roughness. Thus, either the mask edge is roughened during etching, or the roughness is formed on the silica sidewall itself. Both these occurrences can result from micromaskmg m the presence of ion bombardment and polymer deposition. Since the photoresist masked samples were cooled, photoresist reticulation is not an issue.
Fig. 11a shows a etched sidewall with a photoresist masK still place. It is seen that roughness has been generated in the photoresist during the process and then transferred to the silica sidewall where the mask edge has been thinned by the faceting which is evident. The increase silica sidewall roughness with power can be explained by an increase micromaskmg as both ion bombardment and polymer deposition rate increase.
In the case of an a-Si mask (Fig. lib) , some of the observed sidewall roughness may be produced by mask roughening, as can be deduced from Fig. lib, which shows an etched sidewall with the a-Si mask still place. However, while there is some faceting of the top corner of the mask, the upper part of the sidewall close to the mask is smoother than the lower part, suggesting that a larger part of the roughness has not been transferred from the mask edge, but rather has formed on the sidewall during etching. The reason for this additional roughness is likely to be the sidewall polymer which can act as a micromaskmg material. The sidewall roughness increase with power can be explained by an increase m micromaskmg, this case both the masK edge and the sidewall itself, as both ion bombardment and polymer deposition rate increase.
Both increased sample temperature and 0 additions reduce roughness (Fig. 5d and Fig. 5e) . In both cases this is likely to be the result of sidewall polymer suppression. In the temperature case, as a result of a reduction of the polymer deposition rate to zero (Fig. 6g) , and m the 02 case through an increase m the polymer etch rate. In the absence of sidewall polymer, this contribution to micromaskmg induced roughness is eliminated. The sidewall roughness does not change with CF4 additions (Fig. 6f) , which is consistent with the fact that the profile slope also does not change, since the latter implies an unperturbed balance between polymer etching and deposition and therefore a constant polymer thickness on the sidewalls. Trade off between sidewall roughness and dimension loss
Using the sample temperature as a control parameter allows smooth sidewalls to be obtained without dimensional loss, wnereas using Ot additions does not allow for a process window where both dimension control and smooth sidewalls can be achieved. In the 02 case, active oxygen enhances the polymer etching rate on both the a-Si mask and SiO sidewalls and therefore, together with an improvement is sidewall roughness, it brings about dimension loss due to isotropic etching of the mask. In the case of increasing sample temperature, the flux of polymer forming species from the plasma remains unchanged, but their sticking probability is reduced, thus decreasing the effective polymer deposition rate, which then results in reduced roughness. However the results obtained here suggest that with increasing temperature the sticking probability of polymer forming species to silica is reduced faster than to the a-Si surface. This can allow for polymer free Sι02 sidewalls and simultaneously, sufficient polymer remaining on the a-Si mask sidewalls to prevent dimension loss.
It can be seen from the forgoing, the application of reactive ion etching of silica a high plasma density hollow cathode etching system to the fabrication of silica based integrated optic devices can be effectively utilised. This application imposes specific requirements on the etching αepth, sidewall roughness and profile slope control. Due to the high plasma density produced m the hollow cathode discharge, high silica etch rates (over 0.5μm for a-
Si) and significant dimension losses (>lμm for 5μm etching depth) . The disadvantages with photoresist are believed to originate from strong faceting which occurs on the mask sidewall. In a-Si mask the faceting is negligible. Increasing RF power results a decrease in selectivity and an increase m sidewall roughness for both photoresist and a-Si masks. The effects of sample temperature an the addition of CF4 and 0 on the etching characteristics for an a-Si case have been investigated. The etching selectivity is reduced with all three parameters. Increasing sample temperature and 0 content permit sidewall roughness reduction to 0.02um. However, the 02 case, the reduction m sidewall roughness is accompanies by lateral etching of the a-Si mask causing dimension loss and a decrease in the profile slope. A similar effect observed with the sample temperature, however m this case there appears to be a temperature range where smooth sidewalls can be obtained, together with almost vertical sidewalls and without dimension loss.
Finally based on polymer deposition rate measurements, a model explaining the variety of experimental data is explained. The model is based on a balance between isotropic polymer deposition and etching. A polymer film of a certain steady-state thickness is formed as a result of this balance on (l) the top surface of the mask, (n) the sidewalls of the mask and (m) the sidewalls of the SiO^. The polymer thickness on the top surface determines the etching selectivity, whereas the polymer thickness on the mask sidewalls and SiC sidewalls determines the profile slope and sidewall roughness.
In conclusion, the silica reactive ion etching process of the preferred embodiment satisfies all the requirements of planar waveguide fabrication and can also be used for other integrated optics applications or MEMS applications where deep etching of silica is required along with smooth etched sidewalls and vertical or sloped etching profiles. It would be appreciated by a person skilled the art that numerous variations and/or modifications may be made to the present invention as shown in the specific embodiments without departing from the spirit or scope of the invention as broadly described. The present embodiments are, therefore, to be considered all respects to be illustrative and not restrictive.

Claims

We Claim :
1. A method for etching of silica-based glass layers or substrates comprising reactive ion etching through a mask executed under conditions of simultaneous isotropic deposition of a carbon based polymer.
2. The method of claim 1 wherein the polymer deposition rate or/and its steady-state thickness on different surfaces of the etched structure is controlled by adjusting one or several process control parameters m order to control etched profile, dimension loss, sidewall and bottom etched surface roughness, and etching selectivity between the silica-based layer and mask material.
3. The method of any previous claim wherein gas or a mixture of gases is used, which contain fluorine and carbon atoms.
4. The method of any previous claim wherein a photoresist mask is used.
5. The method of claims 1 to 3 wherein a non- photoresist mask is used.
6. The method of claim 5 wherein amorphous silicon is the mask material.
7. The method of any previous claim wherein the RF power coupled into the discharge is the adjusted parameter.
8. The method of any previous claim wherein the substrate temperature is the adjusted parameter.
9. The method of claim 8 where the temperature is adjusted to achieve low sidewall roughness and low dimension loss at the same time.
10. The method of any previous claim wherein a resputtering of any metal present wiht or/and contact with the discharge zone is prevented.
11. The method of any previous claim wherein reactive ion etching is performed a high plasma density hollow cathode etching system.
12. The method of any previous claim wherein the etching gas mixture is CH3F and Argon.
13. A product made by the method of any previous claim.
EP97942702A 1996-10-04 1997-10-03 Reactive ion etching of silica structures Withdrawn EP0968142A4 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
AUPO281896 1996-10-04
AUPO2818A AUPO281896A0 (en) 1996-10-04 1996-10-04 Reactive ion etching of silica structures for integrated optics applications
PCT/AU1997/000663 WO1998015504A1 (en) 1996-10-04 1997-10-03 Reactive ion etching of silica structures

Publications (2)

Publication Number Publication Date
EP0968142A1 true EP0968142A1 (en) 2000-01-05
EP0968142A4 EP0968142A4 (en) 2003-08-06

Family

ID=3797129

Family Applications (1)

Application Number Title Priority Date Filing Date
EP97942702A Withdrawn EP0968142A4 (en) 1996-10-04 1997-10-03 Reactive ion etching of silica structures

Country Status (7)

Country Link
US (1) US20020104821A1 (en)
EP (1) EP0968142A4 (en)
JP (1) JP2001501573A (en)
KR (1) KR20000048865A (en)
AU (1) AUPO281896A0 (en)
CA (1) CA2265617A1 (en)
WO (1) WO1998015504A1 (en)

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2348399A (en) * 1999-03-31 2000-10-04 Univ Glasgow Reactive ion etching with control of etch gas flow rate, pressure and rf power
US6942811B2 (en) * 1999-10-26 2005-09-13 Reflectivity, Inc Method for achieving improved selectivity in an etching process
JP2002043423A (en) * 2000-07-24 2002-02-08 Tokyo Ohka Kogyo Co Ltd Method for processing film and method for manufacturing semiconductor device using the same
US20020158047A1 (en) * 2001-04-27 2002-10-31 Yiqiong Wang Formation of an optical component having smooth sidewalls
US7038293B2 (en) * 2004-03-29 2006-05-02 Northrop Grumman Corp. Dissipation of a charge buildup on a wafer portion
DE102004049233A1 (en) * 2004-10-09 2006-04-20 Schott Ag Process for the microstructuring of substrates made of flat glass
US8187481B1 (en) * 2005-05-05 2012-05-29 Coho Holdings, Llc Random texture anti-reflection optical surface treatment
WO2006135098A1 (en) * 2005-06-14 2006-12-21 Asahi Glass Co., Ltd. Method of finishing pre-polished glass substrate surface
FR2896315B1 (en) * 2005-11-08 2010-09-17 Cit Alcatel AMPLIFIER OPTICAL FIBER
DE102006051550B4 (en) * 2006-10-30 2012-02-02 Fhr Anlagenbau Gmbh Method and device for structuring components using a material based on silicon oxide
US8274039B2 (en) * 2008-11-13 2012-09-25 Zena Technologies, Inc. Vertical waveguides with various functionality on integrated circuits
US8835831B2 (en) 2010-06-22 2014-09-16 Zena Technologies, Inc. Polarized light detecting device and fabrication methods of the same
US9000353B2 (en) 2010-06-22 2015-04-07 President And Fellows Of Harvard College Light absorption and filtering properties of vertically oriented semiconductor nano wires
US9515218B2 (en) 2008-09-04 2016-12-06 Zena Technologies, Inc. Vertical pillar structured photovoltaic devices with mirrors and optical claddings
US9406709B2 (en) 2010-06-22 2016-08-02 President And Fellows Of Harvard College Methods for fabricating and using nanowires
US8866065B2 (en) 2010-12-13 2014-10-21 Zena Technologies, Inc. Nanowire arrays comprising fluorescent nanowires
US9478685B2 (en) 2014-06-23 2016-10-25 Zena Technologies, Inc. Vertical pillar structured infrared detector and fabrication method for the same
US20110115041A1 (en) * 2009-11-19 2011-05-19 Zena Technologies, Inc. Nanowire core-shell light pipes
US8791470B2 (en) 2009-10-05 2014-07-29 Zena Technologies, Inc. Nano structured LEDs
US8229255B2 (en) 2008-09-04 2012-07-24 Zena Technologies, Inc. Optical waveguides in image sensors
US8735797B2 (en) 2009-12-08 2014-05-27 Zena Technologies, Inc. Nanowire photo-detector grown on a back-side illuminated image sensor
US8546742B2 (en) 2009-06-04 2013-10-01 Zena Technologies, Inc. Array of nanowires in a single cavity with anti-reflective coating on substrate
US8748799B2 (en) 2010-12-14 2014-06-10 Zena Technologies, Inc. Full color single pixel including doublet or quadruplet si nanowires for image sensors
US8889455B2 (en) 2009-12-08 2014-11-18 Zena Technologies, Inc. Manufacturing nanowire photo-detector grown on a back-side illuminated image sensor
US8519379B2 (en) * 2009-12-08 2013-08-27 Zena Technologies, Inc. Nanowire structured photodiode with a surrounding epitaxially grown P or N layer
US8384007B2 (en) 2009-10-07 2013-02-26 Zena Technologies, Inc. Nano wire based passive pixel image sensor
US8507840B2 (en) 2010-12-21 2013-08-13 Zena Technologies, Inc. Vertically structured passive pixel arrays and methods for fabricating the same
US9082673B2 (en) 2009-10-05 2015-07-14 Zena Technologies, Inc. Passivated upstanding nanostructures and methods of making the same
US9299866B2 (en) 2010-12-30 2016-03-29 Zena Technologies, Inc. Nanowire array based solar energy harvesting device
US9343490B2 (en) 2013-08-09 2016-05-17 Zena Technologies, Inc. Nanowire structured color filter arrays and fabrication method of the same
US8269985B2 (en) 2009-05-26 2012-09-18 Zena Technologies, Inc. Determination of optimal diameters for nanowires
US8890271B2 (en) 2010-06-30 2014-11-18 Zena Technologies, Inc. Silicon nitride light pipes for image sensors
US8299472B2 (en) 2009-12-08 2012-10-30 Young-June Yu Active pixel sensor with nanowire structured photodetectors
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US9190289B2 (en) * 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
JP6165456B2 (en) * 2013-02-12 2017-07-19 株式会社日立ハイテクノロジーズ Plasma processing equipment
EP3839650A1 (en) 2019-12-18 2021-06-23 ETA SA Manufacture Horlogère Suisse Method for manufacturing at least two mechanical parts
EP3839648A1 (en) * 2019-12-18 2021-06-23 ETA SA Manufacture Horlogère Suisse Method for manufacturing a mechanical part provided with a magnetic functional area
CN113808966B (en) * 2020-06-16 2023-10-17 长鑫存储技术有限公司 Debugging method of semiconductor equipment and preparation method of semiconductor device

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4697333A (en) * 1985-02-20 1987-10-06 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device using amorphous silicon as a mask

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4209356A (en) * 1978-10-18 1980-06-24 General Electric Company Selective etching of polymeric materials embodying silicones via reactor plasmas
US4452665A (en) * 1983-10-12 1984-06-05 International Business Machines Corporation Polymeric halocarbons as plasma etch barriers
US4460435A (en) * 1983-12-19 1984-07-17 Rca Corporation Patterning of submicrometer metal silicide structures
US4482427A (en) * 1984-05-21 1984-11-13 International Business Machines Corporation Process for forming via holes having sloped walls
US5302240A (en) * 1991-01-22 1994-04-12 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4697333A (en) * 1985-02-20 1987-10-06 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device using amorphous silicon as a mask

Non-Patent Citations (10)

* Cited by examiner, † Cited by third party
Title
ABATCHEV M K ET AL: "STUDY OF THE BORON-PHOSPHOROUS DOPED AND UNDOPED SILICATE GLASS ETCHING IN CHF3/AR PLASMA" PROCEEDINGS OF THE INTERNATIONAL SYMPOSIUM ON PLASMA PROCESSING, XX, XX, vol. 96, no. 12, May 1996 (1996-05), pages 522-530, XP000998248 *
BAZYLENKO M V ET AL: "REACTIVE ION ETCHING OF SILICA STRUCTURES FOR INTEGRATED OPTICS APPLICATIONS" JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY: PART A, AMERICAN INSTITUTE OF PHYSICS. NEW YORK, US, vol. 14, no. 6, 1 November 1996 (1996-11-01), pages 2994-3003, XP000638660 ISSN: 0734-2101 *
EPHRATH L M: "SELECTIVE ETCHING OF SILICON DIOXIDE USING REACTIVE ION ETCHING WITH CF4-H2" JOURNAL OF THE ELECTROCHEMICAL SOCIETY, ELECTROCHEMICAL SOCIETY. MANCHESTER, NEW HAMPSHIRE, US, vol. 126, no. 8, 1 August 1979 (1979-08-01), pages 1419-1421, XP002017158 ISSN: 0013-4651 *
GROSS M ET AL: "MODELING OF SLOPED SIDEWALLS FORMED BY SIMULTANEOUS ETCHING AND DEPOSITION" JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY: PART B, AMERICAN INSTITUTE OF PHYSICS. NEW YORK, US, vol. 7, no. 3, 1 May 1989 (1989-05-01), pages 534-541, XP000174588 ISSN: 0734-211X *
HORWITZ C M ET AL: "HOLLOW CATHODE ETCHING AND DEPOSITION" JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY: PART A, AMERICAN INSTITUTE OF PHYSICS. NEW YORK, US, vol. 6, no. 3, 1988, pages 1837-1844, XP000885286 ISSN: 0734-2101 *
HORWITZ C M: "VARIABLE-ANGLE DRY ETCHING WITH A HOLLOW CATHODE" APPLIED PHYSICS LETTERS, AMERICAN INSTITUTE OF PHYSICS. NEW YORK, US, vol. 44, no. 11, June 1984 (1984-06), pages 1041-1043, XP000817170 ISSN: 0003-6951 *
MORI H ET AL: "Multimode deposited silica waveguide and its application to an optical branching circuit" IEEE JOURNAL OF QUANTUM ELECTRONICS, IEEE INC. NEW YORK, US, vol. QE-18, no. 4, 1 April 1982 (1982-04-01), pages 776-781, XP002147769 ISSN: 0018-9197 *
OEHRLEIN G S ET AL: "FLUOROCARBON HIGH-DENSITY PLASMAS. I. FLUOROCARBON FILM DEPOSITION AND ETCHING USING CF4 AND CHF3" JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY: PART A, AMERICAN INSTITUTE OF PHYSICS. NEW YORK, US, vol. 12, no. 2, 1 March 1994 (1994-03-01), pages 323-332, XP000442715 ISSN: 0734-2101 *
OEHRLEIN G S ET AL: "Silicon etching mechanisms in a CF/sub 4//H/sub 2/ glow discharge" JOURNAL OF APPLIED PHYSICS, 15 JULY 1987, USA, vol. 62, no. 2, pages 662-672, XP002242094 ISSN: 0021-8979 *
See also references of WO9815504A1 *

Also Published As

Publication number Publication date
AUPO281896A0 (en) 1996-10-31
EP0968142A4 (en) 2003-08-06
WO1998015504A1 (en) 1998-04-16
KR20000048865A (en) 2000-07-25
CA2265617A1 (en) 1998-04-16
JP2001501573A (en) 2001-02-06
US20020104821A1 (en) 2002-08-08

Similar Documents

Publication Publication Date Title
EP0968142A1 (en) Reactive ion etching of silica structures
Blauw et al. Balancing the etching and passivation in time-multiplexed deep dry etching of silicon
US6356694B1 (en) Process for producing planar waveguide structures as well as waveguide structure
US6533907B2 (en) Method of producing amorphous silicon for hard mask and waveguide applications
US5354417A (en) Etching MoSi2 using SF6, HBr and O2
Li et al. Smooth surface glass etching by deep reactive ion etching with SF 6 and Xe gases
JP2007079608A (en) Method for manufacturing inorganic diffractive element
NL195025C (en) Method for manufacturing a building element and device for manufacturing a building element using the method.
GB2348399A (en) Reactive ion etching with control of etch gas flow rate, pressure and rf power
Bazylenko et al. Reactive ion etching of silica structures for integrated optics applications
JPH11162928A (en) Method for end-point detection of wet etching process
Bazylenko et al. Effect of reactive ion etching–generated sidewall roughness on propagation loss of buried‐channel silica waveguides
AU724044B2 (en) Reactive ion etching of silica structures
Jung et al. Inductively coupled plasma etching of SiO2 layers for planar lightwave circuits
Hines et al. Patterning of wave guides in LiNbO 3 using ion beam etching and reactive ion beam etching
US5591300A (en) Single crystal silicon dry-etch endpoint based on dopant-dependent and thermally-assisted etch rates
Milenin et al. SOI planar photonic crystal fabrication: Etching through SiO2/Si/SiO2 layer systems using fluorocarbon plasmas
Docter et al. Deep etching of DBR gratings in InP using Cl2 based ICP processes
Dutta Vertical etching of thick SiO2 using C2F6‐based reactive ion beam etching
Takahashi et al. Accurate Dry Etching with Fluorinated Gas for Two-dimensional Si Photonic Crystal
Choi et al. Modification of sidewall roughness in silica deep etching and its influence on coupling loss in hybrid integration
US7290407B1 (en) Triangle-shaped planar optical waveguide having reduced scattering loss
Ting et al. RIE lag in diffractive optical element etching
Libing et al. Optimization of Plasma Etching Parameters and Mask for Silica Optical Waveguides
WO2002097874A1 (en) Method for deep and vertical dry etching of dielectrics

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 19990319

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): DE FR GB IT

A4 Supplementary search report drawn up and despatched

Effective date: 20030620

17Q First examination report despatched

Effective date: 20030828

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20040309