DE4412610A1 - Verfahren zur zweidimensionalen Filterung von Bildpunktinformation in Matrixform sowie Anordnung und Verwendung - Google Patents

Verfahren zur zweidimensionalen Filterung von Bildpunktinformation in Matrixform sowie Anordnung und Verwendung

Info

Publication number
DE4412610A1
DE4412610A1 DE4412610A DE4412610A DE4412610A1 DE 4412610 A1 DE4412610 A1 DE 4412610A1 DE 4412610 A DE4412610 A DE 4412610A DE 4412610 A DE4412610 A DE 4412610A DE 4412610 A1 DE4412610 A1 DE 4412610A1
Authority
DE
Germany
Prior art keywords
pixels
image block
filter module
tram
data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE4412610A
Other languages
English (en)
Other versions
DE4412610C2 (de
Inventor
Klaus Hildenbrand
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Robert Bosch GmbH
Original Assignee
ANT Nachrichtentechnik GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ANT Nachrichtentechnik GmbH filed Critical ANT Nachrichtentechnik GmbH
Priority to DE4412610A priority Critical patent/DE4412610C2/de
Publication of DE4412610A1 publication Critical patent/DE4412610A1/de
Application granted granted Critical
Publication of DE4412610C2 publication Critical patent/DE4412610C2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N19/00Methods or arrangements for coding, decoding, compressing or decompressing digital video signals
    • H04N19/80Details of filtering operations specially adapted for video compression, e.g. for pixel interpolation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T5/00Image enhancement or restoration
    • G06T5/20Image enhancement or restoration using local operators
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N5/00Details of television systems
    • H04N5/14Picture signal circuitry for video frequency region
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N5/00Details of television systems
    • H04N5/14Picture signal circuitry for video frequency region
    • H04N5/21Circuitry for suppressing or minimising disturbance, e.g. moiré or halo
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H17/00Networks using digital techniques
    • H03H17/02Frequency selective networks
    • H03H17/0202Two or more dimensional filters; Filters for complex signals

Landscapes

  • Engineering & Computer Science (AREA)
  • Multimedia (AREA)
  • Signal Processing (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Image Processing (AREA)
  • Compression Or Coding Systems Of Tv Signals (AREA)

Description

Die Erfindung geht aus von einem Verfahren zur zweidimensionalen Filterung von Bildpunktinformation in Matrixform, insbesondere von Prädiktionsbildblöcken.
Aus der EP 0 366 919 A2 ist ein zweidimensionales digitales Filter für eine Einrichtung zur datenreduzierenden Übertragung von Bildern mit blockweiser Codierung bekannt. Es sind dort mehrere parallel angeordnete digitale Signalprozessoren vorgesehen, wobei die Signalprozessoren nacheinander eine horizontale und eine vertikale Filterung von Bildelementen vornehmen.
Aufgabe vorliegender Erfindung ist es, ein Verfahren zur zweidimensionalen Filterung von Bildpunktinformation in Matrixform anzugeben, welches bei vertretbarem Realisierungsaufwand für Hochgeschwindigkeitsverarbeitung geeignet ist. Außerdem soll eine Anordnung zum Durchführen des Verfahrens sowie eine Verwendung aufgezeigt werden. Diese Aufgabe wird bezüglich des Verfahrens durch die Schritte des Anspruchs 1 und bezüglich der Anordnung durch die Merkmale des Anspruchs 6 gelöst. Die Ansprüche 2 bis 5 betreffen Ausgestaltungen des Verfahrens und die Ansprüche 7 bis 8 Ausgestaltungen der Anordnung. Anspruch 9 betrifft eine vorteilhafte Verwendung.
Aus der EP 0 319 430 B1 ist es prinzipiell bekannt, zur Verarbeitung von Bildblöcken in Matrixform einen Bildspeicher vorzusehen, der eine Matrixtransposition erlaubt. Matrixelemente einer Wortsequenz werden dort zeilenweise eingeschrieben und spaltenweise ausgelesen. Die EP 0 319 430 B1 liefert jedoch keine Anregung zur zweidimensionalen Filterung von Datensequenzen.
Das Verfahren/die Anordnung nach der Erfindung ermöglicht die Unterdrückung von Rauschanteilen in der Bildpunktsequenz. Insbesondere können störende Artefakte bzw. Rauschanteile in Prädiktionsbildern unterdrückt werden. Die Erfindung eignet sich beispielsweise auch zur Filterung anderer Bildpunktinformation in Matrixform, die Rauschanteile oder Störmuster, z. B. durch eine vorausgegangene DCT-Transformation, aufweisen.
Anhand der Zeichnungen werden Ausführungsbeispiele der Erfindung erläutert. Es zeigen
Fig. 1 ein Blockschaltbild eines Schleifenfilters nach der Erfindung,
Fig. 2 ein Filtermodul mit parallel arbeitenden Addierern,
Fig. 3 den Aufbau eines Bildcoders unter Verwendung eines erfindungsgemäßen Filters,
Fig. 4 die Speicherorganisation bei Nachbildung eines transponierenden Speichers.
Das Filter kann als eindimensionales (1D)- oder als zweidimensionales (2D) -Funktionsmodul aufgebaut werden. Bei einem 1D-Filtermodul wird jeder Ausgangsbildpunkt (pel) aus mindestens zwei im Ausführungsbeispiel aus drei Eingangsbildpunkten durch Verknüpfung, beispielsweise additiver Art, (mit Ausnahme der Randbereiche des Bildblockes) ermittelt. Um einen ganzen Bildblock zu filtern, müssen 320 pels in einem Speicher geladen werden und 128 Verknüpfungsschritte müssen ausgeführt werden. In der 2D-Lösung werden 9 Eingangspels für ein gefiltertes Ausgangspel herangezogen mit Ausnahme der Randbereiche. Insgesamt müssen 400 pels geladen werden und die Verknüpfung wird innerhalb von 64 Zeitschritten für einen Bildblock durchgeführt. Beide Verknüpfungsmethoden können auf verschiedene Weise modifiziert werden, um die Anzahl der Verknüpfungsschritte oder die Verarbeitungsfrequenz beim Laden der Bildpunkte zu reduzieren.
Die Eingangsdaten des Filters werden von einem Bildspeicher in Form eines SSD-RAM bereitgestellt, welcher eine Ausgangswortbreite von 11 pels aufweist und demgemäß die Verarbeitung eines 8×8 pel großen bewegungskompensierten Prädiktionsblockes erlaubt. Der Nachteil der 2D-Lösung besteht darin, daß 9 pixels aus 3 Zeilen eines Bildblockes für eine Verknüpfung zu laden sind und daß zwischen 9 Arbeitsmoden zu unterscheiden ist für die Behandlung der Randbereiche.
Bei der 1-D Lösung (Fig. 1), kann eine parallele Filterung aller 8 Bildpunkte einer Zeile (x-Richtung des Bildblockes) in einem Zeitschritt vorgenommen werden und als Vorteil zur 2D-Lösung können diese pels mit einem Speicherzugang des Bildspeichers SSD-RAM geladen werden. Zur Ansteuerung dieses SSD-RAM ist, wie in Fig. 1 dargestellt, eine Adreßschreibsteuerung ADRWR und eine Adreßlesesteuerung ADRRD vorgesehen. Die Trennung in horizontale und vertikale Filterfunktionen erfordert jedoch einen zeitlichen Pufferspeicher TRAM mit einer Transpositionsfunktion zur Vertauschung der Zeilen und Spalten eines gespeicherten Bildblockes. Die Eingangsdaten für das eigentliche Filtermodul FIL werden vom SSD-RAM über einen Barrel-Shifter BSH zugeführt. Dieser Barrel-Shifter dient zur Selektion der richtigen x-Position (hier: 8 aus 11 pels), die vom Bewegungsschätzer innerhalb des Suchgebietes eines Bildes ermittelt wurde. Sein Selektionseingang wird vom horizontalen Wert des Bewegungsschätzers (Fig. 3) abgeleitet. In ähnlicher Weise, wird die Adresse des SSD-RAM von den Bewegungsvektorkomponenten abgeleitet.
Ein 8×8-Bildblock wird zeilenweise in einer Blockrichtung innerhalb von 8 Zeitschritten gefiltert unter Benutzung des Filtermoduls FIL, welches im Detail in Fig. 2 dargestellt ist. Es besteht aus 6 Verknüpfungsstufen, die hier als Addierer AD1 . . . AD6 ausgebildet sind, wobei diese Verknüpfung mit folgender Bewertung vorgenommen wird:
a + 2 × b + c;
b bezeichnet jeweils einen mittleren Bildpunkt und a und c dessen Nachbarbildpunkte. Die Bewertung wird so vorgenommen, daß in Summe 1 erreicht wird. Insbesondere wird der mittlere Bildpunkt doppelt so stark bewertet wie die Nachbarbildpunkte. Mit obiger Beziehung ergibt sich demnach für die Bewertung:
1/4, 1/2 und 1/4.
Für die Randbereiche des Blocks wird nur eine Bewertung (Faktor 4) durchgeführt. Es sind prinzipiell auch andere Bewertungen möglich, die eine sinnvolle Filterfunktion ergeben, z. B. die Filterkoeffizienten eines Transversalfilters.
Die Dateneingänge des Filtermoduls FIL sind so mit den Addierern AD1 . . . AD6 beschaltet, daß jeder Addierer mindestens 3 Bildpunkte, die jeweils um einen Bildpunkt von Addierer zu Addierer versetzt sind verarbeitet.
Die Verknüpfungsergebnisse haben eine Wortbreite von 10 Bit und werden im transponierenden Speicher TRAM zwischengespeichert. Zur Durchführung der vertikalen Filterung wird der Bildblock spaltenweise aus dem TRAM ausgelesen und über den Multiplexer MUX zum Filtermodul FIL geleitet. Der Selektionseingang des Multiplexers MUX wird mit einem Selektionssignal H/V beaufschlagt, welches zur - Umschaltung der sequentiellen Zuführung von Bildblockdaten aus dem SSD-RAM bzw. dem TRAM dient. Über dieses Selektionssignal H/V erfolgt auch eine Aktivierung einer Rundungsstufe - Round - die das Ausgangssignal des Filtermoduls auf eine Auflösung von 8 Bit rundet. Der gefilterte Bildblock wird nun erneut im TRAM zwischengespeichert.
Für die weitere Verarbeitung wird der Bildblock aus dem TRAM ausgelesen, während die Transpositionsfunktion erneut ausgeführt wird, um die ursprüngliche Ordnung der Bildpunkte im Bildblock zu erhalten.
Es ist vorteilhaft den Pufferspeicher TRAM so auszubilden oder so zu steuern, daß er zwei Speicherbereiche aufweist, wobei in einen dieser Speicherbereiche Daten des Filtermoduls FIL einlesbar sind, während aus dem anderen Speicherbereich in den beiden Richtungen x und y gefilterte Daten auslesbar sind.
Fig. 3 zeigt das Blockschaltbild eines Bildcoders unter Verwendung des erfindungsgemäßen Filters. Am Eingang ist ein Speicher SRD für die Daten eines aktuellen Bildblockes und ein Speicher SSD für die Daten eines Prädiktionsbildblockes vorgesehen. Im Interframe-Mode berechnet ein Bewegungsschätzer ME die Bewegungsvektoren. Mit diesen Ergebnissen wird ein Prädiktionsblock vom SSD-RAM für den Luminanzanteil oder direkt vom Prädiktionsspeicher für den Chrominanzanteil in das Filtermodul FIL geladen. Nach Parallel-Serienwandlung P/S wird der gefilterte Prädiktionsblock vom aktuellen Block subtrahiert - SUB - und das Ergebnis einer nichtlinearen Bewertung - NL - unterzogen. Mittels einer Transformationseinheit - DCT-UNIT - werden die Bilddaten in den spektralen Bereich - DCT (discrete cosine transform) - überführt, quantisiert und codiert -QUA.
Im Interframe-Mode wird der aktuelle Bildblock direkt vom SRD-RAM zur Transformationseinheit - DCT-UNIT - weitergeleitet.
Nach dem ersten Bildblockviertel wird der gefundene 16*16 Block vorgefiltert und in den Zellen y1 . . . y4 abgelegt. Dies geschieht für die drei weiteren Teilblöcke analog. Währenddessen muß vom Block n-1 die Rekonstruktion erfolgen, wobei die Speicherteilblöcke y1′ . . . y4′ verwendet werden. Nun wird aus y1 . . . y4 gelesen und der Block fertiggefiltert; das Ergebnis wird in den Teilblöcken y1′ . . . y4′ abgelegt.
Jetzt kann ein Farbblock U geladen, vorgefiltert und in y1 abgelegt werden. Dasselbe mit Farbblock V, abgelegt in y2 (oder y3, y4). Beide Blöcke werden fertiggefiltert und in den Speicherblöcken U und V abgelegt. Parallel dazu wird der Intra-Block zur DCT übertragen. Dieser Vorgang ist jedoch erst nach Beendigung der Filterung fertig, so daß sofort anschließend die Inter-Übertragung erfolgen kann. Dazu wird neben dem Block k auch die Prädiktion (aus y1′ . . . Y4′) gelesen und die Differenz gebildet. Jetzt sind die Speicher y1 . . . y4 wieder frei, nicht aber y1′ . . . y4′, da hier noch Daten für die Rekonstruktion zum Prädiktionsbild stehen, die im Intra-Mode gebraucht werden. Die Rekonstruktion ist aber spätestens dann abgeschlossen, wenn die neuen y-Blöcke zur Fertigfilterung anstehen.
Falls kein transponierendes RAM zur Verfügung steht, kann mit einem 2-Port-RAM und nachgeschaltetem Multiplexer in Form eines Datenumordners (80 Multiplexer 8 → 1,) der transponierende Pufferspeicher TRAM nachgebildet werden. Fig. 4 zeigt die Organisation eines solchen 2 Port-RAM für diesen Zweck. Es werden insgesamt 8 Blöcke der Organisation 80 Bit * 10 Zeilen = 6400 Bit benötigt.

Claims (8)

1. Verfahren zur zweidimensionalen Filterung von Bildpunktinformation, insbesondere von Prädiktionsblöcken, mit folgenden Schritten:
  • - für eine Gruppe von Bildpunkten in einer Ausdehnungsrichtung eines Bildblocks werden jeweils parallel mindestens zwei benachbarte Bildpunkte, insbesondere additiv, miteinander verknüpft,
  • - diese Verknüpfung wird mit weiteren Gruppen von Bildpunkten in dieser Ausdehnungsrichtung (x) wiederholt,
  • - die Verknüpfungsergebnisse werden zwischengespeichert,
  • - die zwischengespeicherten Verknüpfungsergebnisse werden nun zu Gruppen in der anderen Ausdehnungsrichtung (y) des Bildblocks zusammengefaßt und jeweils parallel wie zuvor verknüpft,
  • - letztere Verknüpfung wird mit weiteren Gruppen in dieser anderen Ausdehnungsrichtung (y) wiederholt,
  • - anschließend werden alle Verknüpfungsergebnisse entsprechend der Lage der ursprünglichen Bildpunkte im Bildblock geordnet.
2. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß bei der insbesonderen additiven Verknüpfung der jeweils mindestens zwei benachbarten Bildpunkte unterschiedliche Bewertungen vorgenommen werden, die in ihrer Summe 1 ergeben.
3. Verfahren nach Anspruch 2, dadurch gekennzeichnet, daß jeweils der mittlere Bildpunkt mit dem Faktor 1/2 und die diesem benachbarten Bildpunkte gleichbewertet werden und zwar so, daß ihre Summe ebenfalls 1/2 ergibt.
4. Verfahren nach einem der Ansprüche 1 bis 3, dadurch gekennzeichnet, daß Bildpunkte im Randbereich eines Bildblocks nur zu jeweils einer der Verknüpfungen verwendet werden und die übrigen Bildpunkte zu mindestens zwei jeweils um einen Bildpunkt versetzten Verknüpfungen.
5. Verfahren nach einem der Ansprüche 1 bis 4, dadurch gekennzeichnet, daß die Verknüpfungsergebnisse insbesondere vor ihrer Zwischenspeicherung hinsichtlich ihrer Bitauflösung gerundet werden.
6. Anordnung zum Durchführen des Verfahrens nach einem der Ansprüche 1 bis 5, gekennzeichnet durch:
  • - ein Filtermodul (FIL) bestehend aus einer Gruppe von parallelarbeitenden Addierern (AD1, AD2, . . . AD6), die mit den Dateneingängen des Filtermoduls so beschaltet sind, daß jeder Addierer mindestens zwei Bildpunkte, die jeweils um einen Bildpunkt von Addierer zu Addierer versetzt sind, verarbeitet,
  • - einem Pufferspeicher (TRAM) mit Zeilen/Spalten - Matrix - Umstellung zur zeilenweisen Aufnahme der Additionsergebnisse des Filtermoduls (FIL) sowie zur spaltenweisen Ausgabe,
  • - einem Eingangsmultiplexer (MUX) zur abwechselnden und sequentiellen Zuführung von Bildblockdaten und der spaltenweise vom Pufferspeicher (TRAM) ausgegebenen Additionsergebnisse,
  • - einer Pufferspeichersteuerung (ADR) zum Auslesen gefilterter Bildblockdaten in jener Lage, wie die ursprünglichen Bildpunkte im Bildblock geordnet waren.
7. Anordnung nach Anspruch 6, dadurch gekennzeichnet, daß als Pufferspeicher (TRAM) mit Zeilen/Spalten - Matrix - Umstellung eine Nachbildung bestehend aus einem 2-Port-RAM mit anschließendem Datenumordner vorgesehen ist.
8. Anordnung nach einem der Ansprüche 6 oder 7, dadurch gekennzeichnet, daß der Pufferspeicher (TRAM) so ausgebildet oder so steuerbar ist, daß er zwei Speicherbereiche aufweist, wobei in einen dieser Speicherbereiche Daten des Filtermoduls (FIL) einlesbar sind während aus dem anderen Speicherbereich in beiden Ausbreitungsrichtungen gefilterte Daten auslesbar sind.
DE4412610A 1994-04-13 1994-04-13 Verfahren zur zweidimensionalen Filterung von Bildpunktinformation in Matrixform sowie Anordnung Expired - Fee Related DE4412610C2 (de)

Priority Applications (1)

Application Number Priority Date Filing Date Title
DE4412610A DE4412610C2 (de) 1994-04-13 1994-04-13 Verfahren zur zweidimensionalen Filterung von Bildpunktinformation in Matrixform sowie Anordnung

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE4412610A DE4412610C2 (de) 1994-04-13 1994-04-13 Verfahren zur zweidimensionalen Filterung von Bildpunktinformation in Matrixform sowie Anordnung

Publications (2)

Publication Number Publication Date
DE4412610A1 true DE4412610A1 (de) 1995-10-19
DE4412610C2 DE4412610C2 (de) 1997-01-16

Family

ID=6515217

Family Applications (1)

Application Number Title Priority Date Filing Date
DE4412610A Expired - Fee Related DE4412610C2 (de) 1994-04-13 1994-04-13 Verfahren zur zweidimensionalen Filterung von Bildpunktinformation in Matrixform sowie Anordnung

Country Status (1)

Country Link
DE (1) DE4412610C2 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6788617B1 (en) * 1999-07-30 2004-09-07 Lg Information & Communications, Ltd. Device for generating memory address and mobile station using the address for writing/reading data

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0193836A1 (de) * 1985-02-25 1986-09-10 Siemens Aktiengesellschaft Schaltungsanordnung mit einer matrixförmigen Speicheranordnung zur digitalen Filterung von Bildsignalen in Zeilen- und Spaltenrichtung
DE3538735C2 (de) * 1985-10-31 1991-08-08 Robert Bosch Gmbh, 7000 Stuttgart, De
US5119193A (en) * 1990-09-19 1992-06-02 Nec Corporation Video-signal processing device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0193836A1 (de) * 1985-02-25 1986-09-10 Siemens Aktiengesellschaft Schaltungsanordnung mit einer matrixförmigen Speicheranordnung zur digitalen Filterung von Bildsignalen in Zeilen- und Spaltenrichtung
DE3538735C2 (de) * 1985-10-31 1991-08-08 Robert Bosch Gmbh, 7000 Stuttgart, De
US5119193A (en) * 1990-09-19 1992-06-02 Nec Corporation Video-signal processing device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6788617B1 (en) * 1999-07-30 2004-09-07 Lg Information & Communications, Ltd. Device for generating memory address and mobile station using the address for writing/reading data

Also Published As

Publication number Publication date
DE4412610C2 (de) 1997-01-16

Similar Documents

Publication Publication Date Title
DE2625973C3 (de) Verfahren und Anordnung zur redundanzvermindernden Transformation von Bildern
DE4239126A1 (de)
DD293933A5 (de) Bewegungsabschaetzeinrichtung
DE3632639C2 (de) Einrichtung zum Hochgeschwindigkeitsverarbeiten von Bilddaten durch Faltung
DE2907992A1 (de) Verfahren zur behandlung von videodaten
DE3742196C2 (de)
DE19744407C1 (de) Verfahren zur mehrdimensionalen, diskreten Wavelet-Transformation und Transformationseinheit zur Durchführung des Verfahrens
DE2729912A1 (de) Digitale signalverarbeitungsanordnung
DE69634896T2 (de) Verfahren und struktur zur bewegungsschätzung unter verwendung von bildelementintensitätswerten mit niedriger präzision
DE3603552C2 (de)
DE69721373T2 (de) Quantisierer für ein Videokodierungssystem
DE4412610C2 (de) Verfahren zur zweidimensionalen Filterung von Bildpunktinformation in Matrixform sowie Anordnung
DE2826454C3 (de) Faksimilesignal-Codiersystem
DE3814471A1 (de) Adaptive m-til-signalwert-erzeugungseinrichtung
EP0732670A2 (de) Verfahren und Schaltungsanordnung zur Unterabtastung bei Bewegungsschätzung
EP0544735B1 (de) Verfahren und vorrichtung zur bilddaten-transformation
EP1729258A2 (de) Verfahren und Vorrichtung zur Ermittlung von Bewegungsvektoren
DE4307936C2 (de) Bildprozessor zum Detektieren von Bewegungen
DE1806172A1 (de) Prioritaetsschaltung
EP0786186B1 (de) Segmentadaptive zweidimensionale orthogonale transformationskodierung für ein digitales fernsehsystem
EP0802678A2 (de) Verfahren zur fraktalen Bildkodierung
DE3811536A1 (de) Praediktiver standbildcodierer
EP0366976B1 (de) Transformationsschaltung
DE4206622A1 (de) Verfahren zur verbesserung der bewegungsschaetzung in bewegten bildsequenzen in halbpelgenauigkeit
EP0047512A2 (de) Verfahren und Schaltungsanordnung zur Segmentierung von Zeichen aus einer seriell gelesenen Zeichenfolge

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8127 New person/name/address of the applicant

Owner name: ROBERT BOSCH GMBH, 70469 STUTTGART, DE

D2 Grant after examination
8364 No opposition during term of opposition
8320 Willingness to grant licenses declared (paragraph 23)
8339 Ceased/non-payment of the annual fee