DE19751740A1 - Integrated electronic circuit manufacturing method, e.g. for CMOS BiCMOS - Google Patents

Integrated electronic circuit manufacturing method, e.g. for CMOS BiCMOS

Info

Publication number
DE19751740A1
DE19751740A1 DE1997151740 DE19751740A DE19751740A1 DE 19751740 A1 DE19751740 A1 DE 19751740A1 DE 1997151740 DE1997151740 DE 1997151740 DE 19751740 A DE19751740 A DE 19751740A DE 19751740 A1 DE19751740 A1 DE 19751740A1
Authority
DE
Germany
Prior art keywords
substrate
isolation trenches
insulation material
insulation
semiconductor layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE1997151740
Other languages
German (de)
Other versions
DE19751740B4 (en
Inventor
Udo Dr Schwalke
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Siemens AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Siemens AG filed Critical Siemens AG
Priority to DE1997151740 priority Critical patent/DE19751740B4/en
Publication of DE19751740A1 publication Critical patent/DE19751740A1/en
Application granted granted Critical
Publication of DE19751740B4 publication Critical patent/DE19751740B4/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Element Separation (AREA)

Abstract

The method involves forming an insulation groove (7a,7b,7c) in the region of a main surface of a substrate (1). The grooves insulate adjacent active elements in the substrate. An insulation material is then applied. The insulating material (9) is etched away down to the substrate in at least one region (13) from at least an insulation groove. Next, in regions in which the insulation material is etched away, second insulation grooves (14) are formed by selectively etching away the substrate. Finally these insulation grooves are filled with an electrically insulating material (15).

Description

Die Erfindung betrifft ein Verfahren zur Herstellung einer integrierten elektrischen Schaltung.The invention relates to a method for producing a integrated electrical circuit.

Bei integrierten elektrischen Schaltungen ist es bekannt, daß sie neben ersten Isolationsgebieten zusätzlich weitere Isola­ tionsgebiete aufweisen können, wobei die weiteren Isolations­ gebiete durch Isolationsgräben, die tief in das Substrat ein­ dringen, gebildet werden.With integrated electrical circuits, it is known that In addition to the first isolation areas, they also have additional isolas tion areas, the further insulation areas through isolation trenches that go deep into the substrate urge to be formed.

Bei einem bekannten Verfahren werden die tiefen Isolations­ gräben so geätzt, daß sie zunächst eine Isolationsschicht aus SiO2 und anschließend mehrere Bereiche des Halbleiter­ substrats durchdringen (R.H. Havemann, IEDM 1987, pp. 841-843). Die Isolationsschicht wurde dabei nach einem LOCOS-Pro­ zeß hergestellt. Die zusätzlichen Isolationsgräben sind dort als eine mögliche Variation der Schaltung dargestellt worden. Besondere Vorteile dieser Isolationsgräben wurden da­ bei nicht erläutert.In a known method, the deep isolation trenches are etched so that they first penetrate an insulation layer made of SiO 2 and then several areas of the semiconductor substrate (RH Havemann, IEDM 1987, pp. 841-843). The insulation layer was manufactured according to a LOCOS process. The additional isolation trenches have been shown there as a possible variation of the circuit. Special advantages of these isolation trenches were not explained here.

Ferner wurde vorgeschlagen (siehe T. Park et al. IEDM 1994, pp. 675-678), durch einen LOCOS-Prozeß eine Isolationsstruk­ tur aus SiO2 zu erzeugen und dann in die bestehende Isolati­ onsstruktur Isolationsgräben zu ätzen, die durch das SiO2 hindurch in ein darunter befindliches Halbleitersubstrat aus Silizium dringen. Danach werden die Isolationsgräben mit ei­ nem Isolationsmaterial gefüllt. Bei diesem Verfahren handelt es sich um eine Kombination aus einem LOCOS-Prozeß und einer tiefen Grabenätzung. Dieses Verfahren sieht ferner vor, den aktiven Bereich von Feldeffekttransistoren durch das Auftra­ gen einer Siliziumnitridschicht vor einer Oxidation zu schüt­ zen. Die Siliziumnitridschicht dient hierbei auch als Stopp­ schicht für den nach dem Füllen der zweiten Isolationsgräben erfolgenden Planarisierungsschritt.It has also been proposed (see T. Park et al. IEDM 1994, pp. 675-678) to generate an isolation structure from SiO 2 by means of a LOCOS process and then to etch isolation trenches in the existing isolation structure which are caused by the SiO 2 penetrate into an underlying silicon semiconductor substrate. Then the isolation trenches are filled with an isolation material. This process is a combination of a LOCOS process and deep trench etching. This method also provides for the active area of field effect transistors to be protected from oxidation by the application of a silicon nitride layer. The silicon nitride layer also serves as a stop layer for the planarization step that takes place after the second isolation trenches have been filled.

Bei einem LOCOS-Prozeß kann die Isolationsstruktur durch das gezielte Entfernen eines nicht oxidierbaren Materials gebil­ det werden, das mit Hilfe einer die Anordnung der Isolati­ onsstruktur definierenden Isolationsmaske strukturiert wird. Anschließend wird die Isolationsstruktur durch lokale thermi­ sche Oxidation gebildet. Dabei wirkt eine strukturierte Deck­ schicht als Oxidationsmaske. Bei der lokalen thermischen Oxi­ dation wird der von der strukturierten Deckschicht unbedeckte Teil der Halbleiterschicht zur Bildung der Isolationsstruktu­ ren durchoxidiert. Dadurch entstehen Teilgebiete der struktu­ rierten Halbleiterschicht, die jeweils durch die Isolati­ onsstrukturen voneinander getrennt sind.In a LOCOS process, the insulation structure can be targeted removal of a non-oxidizable material det, that with the help of an arrangement of Isolati insulation mask defining structure. The insulation structure is then replaced by local thermi cal oxidation formed. This works a structured deck layer as an oxidation mask. At the local thermal oxi dation becomes the one not covered by the structured cover layer Part of the semiconductor layer to form the insulation structure through oxidized. This creates sub-areas of struktu rated semiconductor layer, each by the Isolati ons structures are separated.

Der LOCOS-Prozeß kann auch so durchgeführt werden, daß mit Hilfe der Isolationsmaske sowohl die Deckschicht als auch die Halbleiterschicht geätzt werden. Die lokale thermische Oxida­ tion zur Bildung der Isolationsstrukturen erfolgt dann an der Oberfläche des Substrats.The LOCOS process can also be carried out in such a way that With the help of the insulation mask, both the top layer and the Semiconductor layer are etched. The local thermal oxide tion to form the isolation structures then takes place on the Surface of the substrate.

Bei einem STI (Shallow-Trench-Isolations)-Prozeß werden die Gräben mit Hilfe einer Ätzmaske in das Substrat geätzt und anschließend mit isolierendem Material aufgefüllt.In an STI (shallow trench isolation) process, the Trenches etched into the substrate using an etching mask  then filled up with insulating material.

Die bekannten Verfahren erfordern eine Vielzahl einzelner Prozeßschritte, um eine Halbleiterstruktur mit verschieden tiefen Gräben zu realisieren.The known methods require a large number of individual ones Process steps to make a semiconductor structure with different realizing deep trenches.

Ferner entsteht eine unerwünschte Topologiestufe, die zu ei­ ner Biegung der Gateelektrode führt. Hierdurch besteht die Gefahr, daß bei der Anwendung eines Photolithographieverfah­ rens Linienbreitenschwankungen auftreten.Furthermore, an undesirable topology level arises that leads to egg leads to a bend in the gate electrode. This is the Danger of using a photolithography process line width fluctuations occur.

Die Herstellung der Isolationsstruktur gemäß dem LOCOS-Prozeß ist außerdem mit dem Nachteil verbunden, daß sich ein breiter Übergangsbereich zwischen Feldoxid und Gate-Oxid bildet. Die­ ser Übergangsbereich wird wegen seines typischen Profils als Vogelschnabel bezeichnet. Nachteilig beim Vogelschnabel ist, daß er in den aktiven Transistorbereich hinein wächst und so wertvolle aktive Fläche in inaktive Feldoxidbereiche umwan­ delt.The production of the insulation structure according to the LOCOS process is also associated with the disadvantage that a wider Transition area between field oxide and gate oxide forms. The This transition area is called because of its typical profile Called bird's beak. A disadvantage of the bird's beak is that it grows into the active transistor area and so convert valuable active area into inactive field oxide areas delt.

Der Erfindung liegt die Aufgabe zugrunde, die Nachteile des Standes der Technik zu überwinden. Insbesondere soll ein mög­ lichst einfaches und zuverlässiges Verfahren zur Herstellung einer integrierten elektrischen Schaltung geschaffen werden. Die hergestellte integrierte elektrische Schaltung soll mög­ lichst wirksame Isolationsstrukturen aufweisen.The invention has for its object the disadvantages of To overcome the state of the art. In particular, a poss The simplest and most reliable manufacturing process an integrated electrical circuit can be created. The integrated electrical circuit produced should be possible have the most effective insulation structures.

Erfindungsgemäß wird diese Aufgabe gelöst durch ein Verfahren zur Herstellung einer integrierten elektrischen Schaltung,
According to the invention, this object is achieved by a method for producing an integrated electrical circuit,

  • - bei dem im Bereich einer Hauptfläche eines Substrats erste Isolationsgräben erzeugt werden, die benachbar­ te aktive Elemente im Substrat isolieren,- In the area of a main surface of a substrate  first isolation trenches are created that are adjacent isolate active elements in the substrate,
  • - bei dem ein Isolationsmaterial aufgetragen wird,- where an insulation material is applied,
  • - bei dem anschließend das Isolationsmaterial in wenig­ stens einem Teil der ersten Isolationsgräben bis zu dem Substrat weggeätzt wird,- in which subsequently the insulation material in little at least part of the first isolation trenches up to is etched away from the substrate,
  • - bei dem danach in Gebieten, in denen das Isolations­ material weggeätzt wurde, zweite Isolationsgräben durch selektives Wegätzen des Substrats gebildet wer­ den, und- where after that in areas where isolation material was etched away, second isolation trenches by selectively etching away the substrate the, and
  • - bei dem anschließend die zweiten Isolationsgräben mit einem elektrisch isolierenden Material gefüllt wer­ den.- The second isolation trenches with an electrically insulating material the.

Die Erfindung sieht also eine Kombination verschiedener Pro­ zeßschritte vor. Die hier dargestellte Abfolge entspricht der Reihenfolge der Prozeßschritte in einer besonders bevorzugten Ausführungsform des erfindungsgemäßen Verfahrens. Die Erfin­ dung beinhaltet jedoch auch eine andere Reihenfolge der ein­ zelnen Prozeßschritte.The invention thus sees a combination of different pros steps ahead. The sequence shown here corresponds to Sequence of process steps in a particularly preferred one Embodiment of the method according to the invention. The Erfin However, the order also includes a different order of the individual process steps.

Gemäß einer Ausgestaltung der Erfindung wird auf ein Sub­ strat, das vorzugsweise eine monokristalline Siliziumscheibe ist, eine dielektrische Schicht und eine Halbleiterschicht aufgebracht. Anstelle der Halbleiterschicht kann auch eine andere leitfähige Schicht aufgebracht werden.According to one embodiment of the invention, a sub strat, which is preferably a monocrystalline silicon wafer is a dielectric layer and a semiconductor layer upset. Instead of the semiconductor layer, a other conductive layer can be applied.

Auf dem Substrat werden erste Isolationsgräben erzeugt. Die Isolationsgräben können so erzeugt werden, daß ein Abstand zwischen einzelnen Gateelektroden der Schaltung gelassen wird, was beispielsweise dadurch geschieht, daß gezielt Be­ reiche des Substrats weggeätzt werden.First isolation trenches are created on the substrate. The Isolation trenches can be created so that a distance left between individual gate electrodes of the circuit  is what happens, for example, that targeted Be areas of the substrate are etched away.

Die strukturierte Halbleiterschicht wird zur Bildung der ak­ tiven Elemente dotiert.The structured semiconductor layer is used to form the ak tive elements doped.

Die Isolationsgräben werden in einem weiteren Prozeßschritt mit einem Isolationsmaterial gefüllt, wobei die Art des Füll­ vorgangs und die Erzeugung des Isolationsmaterials beliebig sind. Die Füllhöhe ist dabei so groß, daß elektrisch aktive Elemente auf ihrer oberen Oberfläche mit dem Isolationsmate­ rial bedeckt sind.The isolation trenches are used in a further process step filled with an insulation material, the type of filling process and the generation of the insulation material as desired are. The fill level is so great that electrically active Elements on their top surface with the insulation mat rial are covered.

Es ist besonders vorteilhaft, daß die Isolationsgräben im Be­ reich der Gateelektroden wenigstens bis zu der Höhe der Ober­ kante der Halbleiterschicht mit dem Isolationsmaterial ge­ füllt sind.It is particularly advantageous that the isolation trenches in the loading range of the gate electrodes at least up to the height of the upper edge of the semiconductor layer with the insulation material are filling.

Nach dem Füllen der Isolationsgräben wird das Isolationsmate­ rial in einigen Gebieten gezielt weggeätzt. Dieses Wegätzen erfolgt so lange, bis sich in diesen Gebieten auf dem Substrat kein Isolationsmaterial befindet.After filling the isolation trenches, the isolation mat targeted etching away in some areas. This etching away continues until these areas There is no insulation material in the substrate.

Danach wird in diesen Gebieten das Substrat entfernt, um die Bildung von zweiten Isolationsgräben zu ermöglichen.Then the substrate is removed in these areas to the To allow formation of second isolation trenches.

Anschließend werden die zweiten Isolationsgräben mit einem elektrisch isolierenden Material gefüllt. Das elektrisch iso­ lierende Material kann dabei eine andere chemische Zusammensetzung als das zum Füllen der ersten Isolationsgräben ver­ wendete Isolationsmaterial aufweisen. Insbesondere ist es möglich, ein besonders fließfähiges elektrisch isolierendes Material einzusetzen. Eine erhöhte Fließfähigkeit des elek­ trisch isolierenden Materials kann durch geeignete chemische Zusätze erzielt werden. Das elektrisch isolierende Material kann auch solche chemischen Zusätze enthalten, welche die Ga­ teoxidation beeinträchtigen wurden. Die vorteilhafte Variabi­ lität der chemischen Zusammensetzung des elektrisch isolie­ renden Materials resultiert daraus, daß die Gateoxidation vor dem Aufbringen des elektrisch isolierenden Materials abge­ schlossen ist. Außerdem ist der untere Bereich der Gateelek­ trode von dem Isolationsmaterial umschlossen und so vor einer chemischen Einwirkung durch das elektrisch isolierende Mate­ rial geschützt.Then the second isolation trenches with a electrically insulating material filled. The electrically iso lating material can have a different chemical composition than that for filling the first isolation trenches  have turned insulation material. In particular it is possible, a particularly flowable electrically insulating Use material. Increased fluidity of the elec trisch isolating material can by suitable chemical Additives can be achieved. The electrically insulating material can also contain chemical additives that Ga Teoxidation were affected. The advantageous Variabi lity of the chemical composition of the electrical isolie Material results from the fact that the gate oxidation before abge the application of the electrically insulating material is closed. In addition, the lower area is the gate electrode trode surrounded by the insulation material and so in front of one chemical impact through the electrically insulating mate rial protected.

Das erfindungsgemäße Verfahren läßt sich in besonders einfa­ cher und zweckmäßiger Weise so durchführen, daß wenigstens ein Teil der zweiten Isolationsgräben unter Einsatz einer Maske, beispielsweise einer Planarisierungsmaske, gebildet wird. Dies kann vorzugsweise so erfolgen, daß eine Maske ein­ gesetzt wird, die als Lackmaske ausgebildet ist. Es ist zweckmäßig, daß zuerst nicht zu ätzende Bereiche der inte­ grierten Schaltung durch die Maske bedeckt werden, und daß anschließend ein Ätzprozeß durchgeführt wird. Bei dem Ätzpro­ zeß handelt es sich vorzugsweise um einen Oxid- oder Silizi­ um-Ätzprozeß.The inventive method can be particularly simple cher and expedient way so that at least part of the second isolation trenches using a Mask, for example a planarization mask becomes. This can preferably be done so that a mask is set, which is designed as a paint mask. It is expedient that areas of the inte circuit covered by the mask, and that an etching process is then carried out. With the etching pro zeß is preferably an oxide or silicon um etching process.

Selbstjustierte Isolationsstrukturen, bei denen die zweiten Isolationsgräben gegenüber den ersten Isolationsgräben ausge­ richtet werden, können in besonders einfacher und vorteilhaf­ ter Weise dadurch realisiert werden, daß die Maske an einer seitlichen Flanke des Isolationsmaterials ausgerichtet wird.Self-adjusted isolation structures, where the second Isolation trenches compared to the first isolation trenches can be directed in a particularly simple and advantageous  ter way can be realized in that the mask on a side flank of the insulation material is aligned.

Eine besonders gute Positionierung der zweiten Isolationsgrä­ ben läßt sich dadurch erreichen, daß der Abstand zwischen der Maske und der seitlichen Flanke des Isolationsmaterials im wesentlichen einem Abstand zwischen zwei benachbarten seitli­ chen Flanken des Isolationsmaterials entspricht.A particularly good positioning of the second insulation level ben can be achieved in that the distance between the Mask and the side flank of the insulation material in the essentially a distance between two adjacent seitli Chen flanks of the insulation material corresponds.

Vorzugsweise beträgt der Abstand zwischen der Maske und der seitlichen Flanke des Isolationsmaterials 0,2 µm bis 3 µm.Preferably, the distance between the mask and the lateral flank of the insulation material 0.2 µm to 3 µm.

Es ist besonders vorteilhaft, das Verfahren so durchzuführen, daß, nachdem die zweiten Isolationsgräben mit dem elektrisch isolierenden Material gefüllt und planarisiert wurden, ein oberer Bereich der Gateelektrode aufgebracht wird.It is particularly advantageous to carry out the method in such a way that after the second isolation trenches with the electrical insulating material have been filled and planarized upper area of the gate electrode is applied.

Eine zweckmäßige Ausführungsform des Verfahrens zeichnet sich dadurch aus, daß der obere Bereich der Gateelektrode gemein­ sam mit der Halbleiterschicht strukturiert wird. Dies ermög­ licht ein größeres Prozeßfenster für die Oxidplanarisierung. Außerdem wird ein parasitärer Ecken- beziehungsweise Kan­ teneffekt an der Grabenoberkante vermieden. Der parasitäre "Corner"-Effekt, der bei dem aus IEDM 1994, pp. 671-674 be­ kannten Verfahren auftritt, kann somit nicht zu einer Beein­ trächtigung der elektrischen Feldverteilung führen.An expedient embodiment of the method stands out characterized in that the upper region of the gate electrode is common is structured with the semiconductor layer. This enables light a larger process window for oxide planarization. In addition, a parasitic corner or Kan Avoid ten effect on the upper edge of the trench. The parasitic "Corner" effect, which in the from IEDM 1994, pp. 671-674 be known process occurs, can not be a leg the electrical field distribution.

Der obere Teil der Gateelektrode kann eine beliebige Gestalt aufweisen. Es ist jedoch besonders vorteilhaft, ihn so auszu­ gestalten, daß er sich seitlich über die Isolationsstruktur erstreckt. Ein Erstrecken des oberen Teils der Gateelektrode über die Isolationsstruktur ist zweckmäßig, weil auf diese Weise die Isolationseigenschaften noch weiter verbessert wer­ den können.The upper part of the gate electrode can have any shape exhibit. However, it is particularly advantageous to do so shape that he laterally over the insulation structure  extends. Extending the top of the gate electrode about the insulation structure is appropriate because on this Way who further improves the insulation properties that can.

Auf diese Weise kann der obere Teil der Gateelektrode als Ga­ te-Leitung dienen. Eine Ausgestaltung des oberen Teils der Gateelektrode als Gate-Leitung hat den besonderen Vorteil, daß bei einem Herstellungsprozeß, bei dem die Strukturierung des unteren und des oberen Teils der Gateelektrode gemeinsam mit derselben Gate-Maske erfolgt, die Notwendigkeit eines se­ paraten Prozeßschrittes zur Herstellung der Gate-Leitung ent­ fällt.In this way, the upper part of the gate electrode can be called Ga serve te line. An embodiment of the upper part of the Gate electrode as a gate line has the particular advantage that in a manufacturing process where the structuring the lower and the upper part of the gate electrode together done with the same gate mask, the need for a se separate process step for the production of the gate line ent falls.

Die Erfindung bezieht sich auch auf eine integrierte elektri­ sche Schaltung, bei der der obere Bereich der Gateelektrode eines ersten Transistors mit wenigstens einer anders dotier­ ten Gateelektrode eines anderen MOS-Transistors elektrisch verbunden ist.The invention also relates to an integrated electrical cal circuit, in which the upper region of the gate electrode a first transistor with at least one differently doped th gate electrode of another MOS transistor electrically connected is.

Der obere Bereich der Gateelektrode kann aus einem beliebigen Material bestehen. Vorzugsweise besteht er jedoch aus dem gleichen Material wie der untere Bereich der Gateelektrode. Dies hat den Vorteil, daß bei einem abschließenden Planari­ sierungsprozeß, wobei es sich vorzugsweise um ein chemisch­ mechanisches Planarisieren handelt, eine hohe Selektivität des Abtragungsvorganges erzielt werden kann. Außerdem wird der zur Strukturierung der Gateelektrode dienende Trockenätz­ prozeß durch den homogenen Aufbau der Gateelektrode erleich­ tert und die Selektivität gegenüber dem dünnen Gatedielektri­ kum erhöht.The upper area of the gate electrode can be made of any Material. However, it preferably consists of the same material as the lower area of the gate electrode. This has the advantage that with a final planari tion process, which is preferably a chemical mechanical planarization is a high selectivity of the removal process can be achieved. Besides, will the dry etching used to structure the gate electrode process facilitated by the homogeneous structure of the gate electrode tert and the selectivity towards the thin gate dielectric  cum increased.

Als Material für die Gateelektrode eignet sich polykristalli­ nes Silizium besonders gut.Polycrystalline is suitable as the material for the gate electrode silicon is particularly good.

Durch die Isolationsstruktur oder die Isolationsstrukturen werden die benachbarten Transistoren im Substrat voneinander isoliert.Through the isolation structure or the isolation structures the neighboring transistors in the substrate become each other isolated.

Es ist zweckmäßig, das Verfahren so durchzuführen, daß - nachdem die zweiten Isolationsgräben mit einem elektrisch isolierenden Material gefüllt werden - ein Planarisierungs­ lack abgeschieden wird, und daß danach eine Ätzung erfolgt.It is advisable to carry out the procedure in such a way that - after the second isolation trenches with an electrical insulating material - a planarization lacquer is deposited, and that there is then an etching.

Das erfindungsgemäße Verfahren eignet sich dazu, sowohl Iso­ lationsgräben mit einer geringen Tiefe als auch mit einer großen Tiefe von beispielsweise bis zu 500 nm herzustellen.The inventive method is suitable for both Iso lation trenches with a shallow depth as well as with a great depth of up to 500 nm, for example.

Es ist besonders vorteilhaft, daß das selektive Wegätzen des Substrats so lange erfolgt, bis die zweiten Isolationsgräben bis zu einer in dem Substrat befindlichen dotierten Schicht reichen.It is particularly advantageous that the selective etching away of the Substrate until the second isolation trenches up to a doped layer located in the substrate pass.

Es ist zweckmäßig, daß das selektive Wegätzen des Substrats so lange erfolgt, bis die zweiten Isolationsgräben bis zu ei­ ner in dem Substrat befindlichen Isolationsschicht reichen. Auf diese Weise ist es insbesondere möglich, die Isolati­ onseigenschaften so zu verbessern, daß eine vollständige elektrische Isolation von verschiedenen Teilbereichen der in­ tegrierten elektrischen Schaltung gegeneinander erzielt wird. It is appropriate that the selective etching away of the substrate until the second isolation trenches up to egg ner insulation layer in the substrate are sufficient. In this way it is particularly possible to isolati to improve on properties so that a complete electrical insulation of different parts of the in tegrierte electrical circuit is achieved against each other.  

Dadurch können in Teilbereichen hohe elektrische Spannungen vorliegen, ohne daß hierdurch empfindliche elektrisch aktive Elemente beeinträchtigt werden.This can result in high electrical voltages in some areas are present without this causing sensitive electrically active Elements are affected.

Weitere Vorteile, zweckmäßige Weiterbildungen und Besonder­ heiten der Erfindung ergeben sich aus den Unteransprüchen und der nachfolgenden Darstellung von bevorzugten Ausführungsbei­ spielen anhand der Zeichnungen.Other advantages, practical training and special units of the invention emerge from the subclaims and the following presentation of preferred embodiments play with the drawings.

Von den Zeichnungen zeigtFrom the drawings shows

Fig. 1 einen Querschnitt durch ein Substrat mit n- und p-do­ tierten Wannen 2, 3 und einer Halbleiterschicht 5, Fig. 1 a cross section through a substrate with n and p do oriented troughs 2, 3 and a semiconductor layer 5

Fig. 2 das in Fig. 1 dargestellte Substrat nach dem Ätzen von ersten Isolationsgräben 7a, 7b, 7c,The substrate Fig. 2 shown in Fig. 1 after the etching of the first insulating trenches 7 a, 7 b, 7 c,

Fig. 3 einen Querschnitt durch das Substrat nach Auffüllung der Isolationsgräben 7a, 7b, 7c, Fig. 3 shows a cross section through the substrate after filling the isolation trenches 7 a, 7 b, 7 c,

Fig. 4 einen Querschnitt durch das Substrat nach Auftragen einer zur globalen Planarisierung dienenden Lackmas­ ke, Fig. 4 is a cross-sectional ke through the substrate after application of a serving for global planarization Lackmas,

Fig. 5 einen Querschnitt durch das Substrat nach dem Ätzen der Substratoberfläche, Fig. 5 shows a cross section through the substrate after the etching of the substrate surface,

Fig. 6 einen Querschnitt durch das Substrat nach dem Ätzen von zweiten Isolationsgräben 14, Fig. 6 shows a cross section through the substrate after the etching of the second isolation trenches 14,

Fig. 7 einen Querschnitt durch das Substrat nach dem Füllen der zweiten Isolationsgräben 14 mit einem elektrisch isolierenden Material 15 und Planarisierung eines Planarisierungslacks 16, Fig. 7 shows a cross section through the substrate after filling the second isolation trenches 14 with an electrically insulating material 15 and planarization of a planarization resist 16,

Fig. 8 einen Querschnitt durch das Substrat nach dem Ätzen des elektrisch isolierenden Materials 15, Fig. 8 shows a cross section through the substrate after the etching of the electrically insulating material 15,

Fig. 9 einen Querschnitt durch das Substrat nach dem Ab­ scheiden des oberen Bereichs 17 der Gateelektrode Fig. 9 shows a cross section through the substrate after separating from the upper region 17 of the gate electrode

Fig. 10 eine Aufsicht auf das Substrat nach Strukturierung der Gate-Ebene, und Fig. 10 is a plan view of the substrate after patterning of the gate level, and

Fig. 11 einen Querschnitt durch das Substrat mit Isolations­ gräben 14, die bis zu einer im Substrat befindlichen Isolationsschicht 1b reichen. Fig. 11 shows a cross section through the substrate with isolation trenches 14 , which extend to an insulation layer 1 b located in the substrate.

Auf einem Substrat 1 wird zunächst ganz flächig eine dielek­ trische Schicht 4, eine den unteren Bereich von Gateelektro­ den bildende Halbleiterschicht 5, vorzugsweise aus Silizium, und eine Hartmaske 6 aufgebracht (siehe Fig. 1). Das Substrat 1 enthält beispielsweise eine monokristalline Siliziumschei­ be. Die dielektrische Schicht 4 dient in dem fertigen MOS-Tran­ sistor als Gate-Dielektrikum. Die dielektrische Schicht 4 wird vorzugsweise durch die thermische Oxidation eines Halb­ leitermaterials gebildet. Eine Herstellung der dielektrische Schicht 4 kann jedoch auch durch ein Abscheideverfahren wie CVD (Chemical Vapour Deposition) erfolgen. Die dielektrische Schicht 4 kann aus einem beliebigen dielektrischen Material bestehen. So kann es sich bei der dielektrischen Schicht 4 beispielsweise auch um eine Nitridschicht handeln. Eine Inte­ gration in den Prozeßverlauf läßt sich dann besonders einfach realisieren, wenn die dielektrische Schicht 4 durch SiO2 ge­ bildet wird. Die Dicke der dielektrischen Schicht beträgt 1,5 nm bis 20 nm, wobei eine Schichtdicke von weniger als 5 nm vorzuziehen ist. Besonders vorteilhaft ist es, wenn die die­ lektrische Schicht eine Dicke von 1,5 nm bis 3,5 nm aufweist.On a substrate 1 , a dielectric layer 4 , a semiconductor layer 5 , preferably made of silicon, forming the lower region of gate electrode, and a hard mask 6 are first applied over the entire area (see FIG. 1). The substrate 1 contains, for example, a monocrystalline silicon wafer. The dielectric layer 4 serves in the finished MOS transistor as a gate dielectric. The dielectric layer 4 is preferably formed by the thermal oxidation of a semiconductor material. The dielectric layer 4 can, however, also be produced by a deposition method such as CVD (Chemical Vapor Deposition). The dielectric layer 4 can be made of any dielectric material. For example, the dielectric layer 4 can also be a nitride layer. An integration in the course of the process can be realized particularly easily if the dielectric layer 4 is formed by SiO 2 . The thickness of the dielectric layer is 1.5 nm to 20 nm, with a layer thickness of less than 5 nm being preferred. It is particularly advantageous if the dielectric layer has a thickness of 1.5 nm to 3.5 nm.

Die Halbleiterschicht 5, die in ihrem strukturierten Zustand das sogenannte Bottom-PolySi, das heißt einen unteren Bereich von Gateelektroden, bildet, besteht aus ganzflächig abge­ schiedenem polykristallinen oder amorphen Silizium von 50 nm bis 400 nm Dicke.The semiconductor layer 5 , which in its structured state forms the so-called bottom polySi, that is to say a lower region of gate electrodes, consists of polycrystalline or amorphous silicon of 50 nm to 400 nm thickness which is deposited over the entire surface.

Die Hartmaske 6 dient als Stoppschicht für ein chemisch­ mechanisches Planarisieren (CMP) der Isolationsstruktur und wird durch Abscheidung eines Nitrides wie Si3N4 in einem Che­ mical Vapour Deposition (CVD)-Verfahren gebildet. Die Dicke der Hartmaske beträgt vorzugsweise 50 nm bis 200 nm.The hard mask 6 serves as a stop layer for a chemical mechanical planarization (CMP) of the insulation structure and is formed by deposition of a nitride such as Si 3 N 4 in a chemical vapor deposition (CVD) process. The thickness of the hard mask is preferably 50 nm to 200 nm.

Mittels einer nicht dargestellten n-Wannenmaske werden durch Implantation von Ionen nacheinander ein n-dotierter Wannen- und Kanalbereich 2 und eine p⁺-Dotierung der Halbleiter­ schicht 5, das heißt, des unteren Bereichs einer Gateelektro­ de eines PMOS Transistors gebildet. Während mittels Implanta­ tion von Phosphor mit Energien im Bereich von 100 keV bis 500 keV und bevorzugt 200 keV bis 250 keV und einer Dosis in der Größenordnung von 1012 at/cm2 bis 1013 at/cm2 die Dotierungen des n-dotierten Wannen- und Kanalbereichs 2 erfolgen, wird die p⁺-Dotierung durch Implantation von Bor mit geringen Energien, vorzugsweise im Bereich von 5 keV und einer Dosis in der Größenordnung von 5 × 1015 at/cm2 genau in die Halblei­ terschicht 5, das heißt vorzugsweise in das Gate-Silizium, durchgeführt.By means of an n-well mask, not shown, an n-doped well and channel region 2 and a p⁺-doping of the semiconductor layer 5 , that is, the lower region of a gate electrode of a PMOS transistor, are formed by implanting ions in succession. During the implantation of phosphorus with energies in the range from 100 keV to 500 keV and preferably 200 keV to 250 keV and a dose in the order of 10 12 at / cm 2 to 10 13 at / cm 2, the doping of the n-doped wells - And channel area 2 take place, the pierung-doping by implantation of boron with low energies, preferably in the range of 5 keV and a dose of the order of 5 × 10 15 at / cm 2 exactly in the semiconductor layer 5 , that is preferably carried out in the gate silicon.

Nach dem Entfernen der n-Wannenmaske ("Lackstrippen") wird der Vorgang für den NMOS-Transistor wiederholt. Dazu werden nun mittels einer nicht dargestellten p-Wannenmaske durch Im­ plantation von Ionen nacheinander ein p-dotierter Wannen- und Kanalbereich 3 und eine n⁺-Dotierung eines n⁺-dotierten Be­ reichs der Halbleiterschicht 5, das heißt des unteren Be­ reichs einer Gateelektrode eines NMOS Transistors (sogenannte Gate-PolySi-Dotierung), gebildet. Während mittels Implantati­ on von Bor mit Energien im Bereich von 250 keV und einer Do­ sis in der Größenordnung von 1 × 1013 at/cm2 der p-dotierte Wannen- und Kanalbereich 3 gebildet wird, erfolgt die Ka­ nalimplantation mit Bor bei einer Energie von 100 keV und ei­ ner Dosis von 3 × 1012 at/cm2. Durch Implantation von Arsen bei einer Energie von 60 keV bis 100 keV und einer Dosis in der Größenordnung von 5 × 1015 at/cm2 wird die Halbleiter­ schicht 5 im Bereich des NMOS-Transistors n⁺-dotiert.After removing the n-well mask ("lacquer stripping"), the process is repeated for the NMOS transistor. For this purpose, a p-doped well and channel region 3 and an n⁺-doping of an n⁺-doped region of the semiconductor layer 5 , that is to say the lower region of a gate electrode, are now in succession by means of a p-well mask (not shown) by implantation of ions an NMOS transistor (so-called Gate-PolySi doping). While the p-doped well and channel region 3 is formed by implantation of boron with energies in the range of 250 keV and a dose in the order of magnitude of 1 × 10 13 at / cm 2, the channel implantation with boron takes place at one energy of 100 keV and a dose of 3 × 10 12 at / cm 2 . By implanting arsenic at an energy of 60 keV to 100 keV and a dose of the order of 5 × 10 15 at / cm 2 , the semiconductor layer 5 is n⁺-doped in the region of the NMOS transistor.

Nachfolgend wird mittels konventioneller photolithographi­ scher Prozeßschritte (nicht explizit gezeigt) eine Hartmaske 6 definiert (siehe Fig. 2). Mit Hilfe eines anisotropen Mehrstufen-Trockenätzprozesses, beispielsweise zunächst mit Cl2 und danach mit einem Gasgemisch aus HBr, Cl2, O2 und He, werden die Hartmaske 6 und nachfolgend die Halbleiterschicht 5, die dielektrische Schicht 4 sowie das Substrat 1 geätzt (Fig. 2). Entsprechend dem Masken-Layout bilden sich flache Isolationsgräben 7a, 7b, 7c mit unterschiedlichen Weiten wa, wb, wc und aktive Gebiete 8 der zukünftigen MOS Transistoren aus.A hard mask 6 is subsequently defined using conventional photolithographic process steps (not explicitly shown) (see FIG. 2). With the help of an anisotropic multi-stage dry etching process, for example first with Cl 2 and then with a gas mixture of HBr, Cl 2 , O 2 and He, the hard mask 6 and subsequently the semiconductor layer 5 , the dielectric layer 4 and the substrate 1 are etched ( FIG . 2). In accordance with the mask layout, flat isolation trenches 7 a, 7 b, 7 c with different widths w a , w b , w c and active regions 8 of the future MOS transistors are formed.

Danach werden die Seitenwände des Substrats 1 und die Seiten­ wände der Halbleiterschicht 5 thermisch oxidiert (nicht ge­ zeigt), wobei sich zwischen der Halbleiterschicht 5 und der dielektrischen Schicht 4 ein breiter Übergangsbereich ausbil­ det. Dieser übergangsbereich wird wegen seines typischen Pro­ fils als Vogelschnabel (Bird's Beak) bezeichnet.The side walls of the substrate 1 and the side walls of the semiconductor layer 5 are then thermally oxidized (not shown), a wide transition region being formed between the semiconductor layer 5 and the dielectric layer 4 . Because of its typical profile, this transition area is referred to as a bird's beak.

Anschließend wird auf die Struktur ein Isolationsmaterial 9 in einer Dicke von 200 nm bis 800 nm abgeschieden (Fig. 3). Das Abscheiden des Isolationsmaterials 9, beispielsweise von SiO2, erfolgt vorzugsweise durch ein CVD (Chemical Vapour De­ position)-Verfahren.An insulation material 9 is then deposited on the structure in a thickness of 200 nm to 800 nm ( FIG. 3). The insulation material 9 , for example SiO 2 , is preferably deposited by a CVD (Chemical Vapor De position) method.

Die weiten Isolationsgebiete 7a und sonstige nicht zu ätzende Oxidbereiche (nicht gezeigt) werden mit einer Lackmaske 10 abgedeckt (Fig. 4). Der Abstand 11 der Lackmaske zu der ge­ ätzten Kante des Substrats 1 wird dabei so gewählt, daß sich ein ausreichender Abstand 12a zwischen der Lackmaske 10 und der der Lackmaske 10 zugewandten Flanke des Isolationsmateri­ als 9 ausbildet. Der so definierte Abstand 12a entspricht vorzugsweise etwa einem Abstand 12b zwischen einander benach­ barten Flanken des Isolationsmaterials. Dadurch wird er­ reicht, daß nach Anwendung einer anisotropen Oxidätzung (Fig. 5) beispielsweise mit NH4 und HF die Oberflächen 13 des Substrats 1 freigelegt werden. Danach werden mittels ani­ sotroper Si-Ätzung - beispielsweise mit einem Gasgemisch aus HBr, Cl2, O2 und He - selektiv zu Oxid die zweiten, tiefen Isolationsgräben 14 geätzt (Fig. 6).The wide isolation areas 7 a and other non-etching oxide areas (not shown) are covered with a resist mask 10 ( FIG. 4). The distance 11 of the resist mask to the etched edge of the substrate 1 is chosen so that a sufficient distance 12 a between the resist mask 10 and the face mask 10 facing flank of the insulating material is formed as 9 . The distance 12 a thus defined preferably corresponds approximately to a distance 12 b between adjacent edges of the insulation material. As a result, it is sufficient that after application of an anisotropic oxide etching ( FIG. 5), for example with NH4 and HF, the surfaces 13 of the substrate 1 are exposed. Then the second, deep isolation trenches 14 are etched selectively to oxide using anisotropic Si etching — for example with a gas mixture of HBr, Cl 2 , O 2 and He ( FIG. 6).

Die Seitenwände der Isolationsgräben 14 können thermisch oxi­ diert (nicht gezeigt) und/oder gegebenenfalls auch dotiert werden. Auf diese Struktur wird nun, soweit es wegen des Aspektverhältnisses zweckmäßig ist, ein verfließbares Plana­ risierungsoxid (zum Beispiel Flowable Oxide, FOX, des Her­ stellers Dow Corning) abgeschieden und verflossen (Fig. 7). Das Planarisierungsoxid bildet gleichermaßen das zum Isolie­ ren und zum Füllen der zweiten Isolationsgräben 14 eingesetz­ te elektrisch isolierende Material 15. Selbstverständlich kann auch ein anderes elektrisch isolierendes Material 15 eingesetzt werden. Der Einsatz eines verfließbaren Planari­ sierungsoxids ist jedoch mit dem besonderen Vorteil verbun­ den, daß es auf ebenso einfache wie zuverlässige Weise die zweiten Isolationsgräben 14 füllt.The side walls of the isolation trenches 14 can be thermally oxidized (not shown) and / or optionally also doped. On this structure, as far as it is expedient because of the aspect ratio, a flowable planarization oxide (for example Flowable Oxide, FOX, from the manufacturer Dow Corning) is deposited and flowed ( FIG. 7). The planarization oxide is equal to the ren Isolie and is translated to fill the second isolation trenches 14 te electrically insulating material 15. Of course, other electrically-insulating material 15 may be used. However, the use of a flowable Planari sierungsoxids with the particular advantage that it fills the second isolation trenches 14 in a simple and reliable manner.

Es ist besonders vorteilhaft, das elektrisch isolierende Ma­ terial 15 in einer Dicke aufzutragen, die so groß ist, daß sich eine zusammenhängende Oberfläche ergibt, die oberhalb der aktiven Gebiete 8 der MOS-Transistoren liegt. Somit wer­ den die aktiven Gebiete 8 der MOS-Transistoren bedeckt. In diesem Fall ist eine anschließende Planarisierung besonders einfach durchzuführen. So ist es auch möglich, gegebenenfalls auf ein chemisch-mechanisches Polieren zu verzichten.It is particularly advantageous to apply the electrically insulating material 15 in a thickness which is so large that there is a coherent surface which lies above the active regions 8 of the MOS transistors. Thus, who covered the active areas 8 of the MOS transistors. In this case, subsequent planarization is particularly easy to carry out. So it is also possible to do without chemical-mechanical polishing.

Zur weiteren Verbesserung der Planarisierung wird ein Plana­ risierungslack 16 mit einer großen Planarisierungslänge von vorzugsweise mindestens 200 µm (zum Beispiel Accuflo des Her­ stellers Allied Signal) abgeschieden. Die so erzeugte planare Oberfläche wird nun mit einem konventionellen anisotropen Trockenätzprozeß, beispielsweise mit einem aus CHF3, NF3 und Ar bestehenden Ätzgas, bis auf die obere Oberfläche der Halb­ leiterschicht 5 rückgeätzt, wobei der Prozeß Oxid und Lack mit gleicher Ätzrate ätzt (Fig. 8).To further improve the planarization, a planarizing varnish 16 is deposited with a long planarization length of preferably at least 200 μm (for example Accuflo from the manufacturer Allied Signal). The planar surface thus produced is then etched back to the upper surface of the semiconductor layer 5 using a conventional anisotropic dry etching process, for example using an etching gas consisting of CHF 3 , NF 3 and Ar, the process etching oxide and lacquer with the same etching rate ( FIG . 8).

Danach wird ganz flächig ein oberer Bereich 17 der Gateelek­ trode abgeschieden (siehe Fig. 9), was beispielsweise durch einen CVD-Prozeß oder durch Sputtern erfolgen kann. Der obere Bereich 17 der Gateelektrode wird auch als Top-Gateelektrode bezeichnet.Then an entire area 17 of the gate electrode is deposited over the entire surface (see FIG. 9), which can be done, for example, by a CVD process or by sputtering. The upper region 17 of the gate electrode is also referred to as the top gate electrode.

Danach werden der untere Bereich 5 und der obere Bereich 17 der Gateelektrode gemeinsam mittels konventioneller photoli­ thographischer Prozeßschritte der Gate-Phototechnik struktu­ riert, so daß überschüssiges Silizium der Halbleiterschicht 5 auf den aktiven Gebieten 8 entfernt wird. Fig. 10 zeigt eine Aufsicht der sich daraus ergebenden Struktur.Then the lower region 5 and the upper region 17 of the gate electrode are structured together by means of conventional photolithographic process steps of the gate phototechnology, so that excess silicon of the semiconductor layer 5 on the active regions 8 is removed. Fig. 10 shows a plan view of the resulting structure.

Anschließend wird die integrierte elektrische Schaltung auf bekannte Weise durch Endbearbeitungsschritte (Back-End- Prozeß) wie die Bildung eines Zwischenoxids, beispielsweise BPSG, Kontaktlochätzung, und Auftragen einer Metallisierung vervollständigt. Hierzu können die bekannten Verfahrens­ schritte eingesetzt werden.Then the integrated electrical circuit is on known way through finishing steps (back-end Process) such as the formation of an intermediate oxide, for example BPSG, contact hole etching, and application of a metallization completed. For this purpose, the known methods steps are used.

Fig. 11 zeigt ein Ausführungsbeispiel einer auf erfindungs­ gemäße Weise hergestellten elektrischen Schaltung, bei der ein SOI (SILICON ON ISOLATOR)-Substrat eingesetzt wird. Das SOI-Material besteht aus einem Halbleiterwafer (Support- Wafer) 1a, einer Siliziumschicht 1c, die wegen ihrer Lage auf einem Isolator auch als SOI-Schicht bezeichnet wird, und ei­ ner Oxidschicht 1b, die auch als vergrabenes Oxid bezeichnet wird. Die Prozeßführung ist weitgehend identisch zu der an­ hand der Abb. 1 bis 10 beschriebenen Verfahrensweise bei einem konventionellen Halbleitersubstrat, allerdings stoppt der Ätzprozeß für die zweiten, tiefen Gräben 14 auf der Oxidschicht 1b. Die Seitenwand des Grabens kann zum Bei­ spiel durch Ausdiffusion aus in situ dotiertem polykristalli­ nem Silizium dotiert werden. Der durch p⁺-Diffusion hochdo­ tierte Bereich 3b liegt zwischen der p-dotierten Wanne 3 und dem elektrisch isolierenden Material 15. Eine elektrische Kontaktierung kann über die Wanne 3 erfolgen. Fig. 11 shows an embodiment in which an SOI (Silicon On Insulator) substrate is used an electric circuit produced in fiction, modern way. The SOI material consists of a semiconductor wafer (support wafer) 1 a, a silicon layer 1 c, which is also referred to as an SOI layer because of its location on an insulator, and an oxide layer 1 b, which is also referred to as a buried oxide . The process control is largely identical to the procedure described with reference to FIGS. 1 to 10 for a conventional semiconductor substrate, but the etching process for the second, deep trenches 14 on the oxide layer 1 b stops. The side wall of the trench can be doped, for example, by diffusion out of in situ doped polycrystalline silicon. The region 3 b highly doped by p⁺ diffusion lies between the p-doped well 3 and the electrically insulating material 15. Electrical contact can be made via the well 3 .

Auch bei dieser Struktur kann die Endbearbeitung auf bekannte Weise durch Endbearbeitungsschritte (Back-End-Prozeß) wie die Bildung eines Zwischenoxids, beispielsweise BPSG, Kontakt­ lochätzung, und Auftragen einer Metallisierung erfolgen. Auch hierbei können die bekannten Verfahrensschritte eingesetzt werden.Even with this structure, the finishing can be done on known Way through finishing steps (back-end process) like that Formation of an intermediate oxide, for example BPSG, contact hole etching, and applying a metallization. Also the known method steps can be used here become.

Mit dem vorgeschlagenen Verfahren wird eine selbstjustierte zweite, tiefe Isolationsstruktur auf einfache Weise reali­ siert. Diese wird dadurch erreicht, daß bei der zur globalen Planarisierung notwendigen Oxidätzung Silizium- Substratgebiete freigelegt werden. In diese freigelegten Substratgebiete werden tiefe Gräben geätzt. Das verbleibende Oxid dient dabei gleichzeitig als Hartmaske für die anisotro­ pe Silizium-Trockenätzung der tiefen Gräben. Außerdem werden die elektrischen Eigenschaften der aktiven Bauelemente im Vergleich zu einer konventionellen Shallow-Trench-Isolation (STI) wesentlich verbessert.The proposed method is self-adjusted second, deep isolation structure in a simple way reali siert. This is achieved in that the global Planarization necessary oxide etching silicon Substrate areas are exposed. In this exposed Deep trenches are etched into substrate areas. The rest Oxide also serves as a hard mask for the anisotrop  pe Silicon dry etching of the deep trenches. Also be the electrical properties of the active components in the Compared to conventional shallow trench isolation (STI) significantly improved.

Das Verfahren kann prinzipiell auch bei einem konventionellen Shallow-Trench-Isolation (STI) -Prozeß angewendet werden.In principle, the method can also be used with a conventional one Shallow trench isolation (STI) process can be applied.

Claims (13)

1. Verfahren zur Herstellung einer integrierten elektri­ schen Schaltung,
  • - bei dem im Bereich einer Hauptfläche eines Substrats (1) erste Isolationsgräben (7a, 7b, 7c) erzeugt wer­ den, die benachbarte aktive Elemente im Substrat iso­ lieren,
  • - bei dem ein Isolationsmaterial (9) aufgetragen wird,
  • - bei dem anschließend das Isolationsmaterial (9) in wenigstens einem Gebiet (13) von wenigstens einem er­ sten Isolationsgraben (7a, 7b) bis zu dem Substrat (1) weggeätzt wird,
  • - bei dem danach in Gebieten (13), in denen das Isola­ tionsmaterial (9) weggeätzt wurde, zweite Isolations­ gräben (14) durch selektives Wegätzen des Substrats (1) gebildet werden, und
  • - bei dem anschließend die zweiten Isolationsgräben (14) mit einem elektrisch isolierenden Material (15) gefüllt werden.
1. Method for producing an integrated electrical circuit,
  • - In the area of a main surface of a substrate ( 1 ) first isolation trenches ( 7 a, 7 b, 7 c) who who isolate the adjacent active elements in the substrate,
  • - in which an insulation material ( 9 ) is applied,
  • - in which the insulation material ( 9 ) is etched away in at least one region ( 13 ) from at least one of the first isolation trenches ( 7 a, 7 b) to the substrate ( 1 ),
  • - Thereafter in areas ( 13 ) in which the insulation material ( 9 ) has been etched away, second isolation trenches ( 14 ) are formed by selective etching away of the substrate ( 1 ), and
  • - In which the second isolation trenches ( 14 ) are then filled with an electrically insulating material ( 15 ).
2. Verfahren nach Anspruch 1,
  • - bei dem auf der Hauptfläche des Substrats (1) eine dielektrische Schicht (4) und eine Halbleiterschicht (5) aufgetragen werden,
  • - bei dem die Halbleiterschicht (5) bei der Bildung der ersten Isolationsgräben (7a, 7b, 7c) so strukturiert wird, daß die strukturierte Halbleiterschicht (5) mehrere-Teilgebiete umfaßt, die durch die ersten Iso­ lationsgräben (7a, 7b, 7c) voneinander getrennt sind,
  • - bei dem die strukturierte Halbleiterschicht (5) in Gebieten, in denen MOS-Transistoren mit Source, einer Gateelektrode, Drain sowie mit einem Kanal gebildet werden, dotiert wird,
  • - bei dem das Isolationsmaterial (9) in einer Dicke aufgetragen wird, die so groß ist, daß die Gateelek­ troden der MOS-Transistoren von dem Isolationsmateri­ al (9) bedeckt sind.
2. The method according to claim 1,
  • - In which a dielectric layer ( 4 ) and a semiconductor layer ( 5 ) are applied to the main surface of the substrate ( 1 ),
  • - In which the semiconductor layer ( 5 ) in the formation of the first isolation trenches ( 7 a, 7 b, 7 c) is structured in such a way that the structured semiconductor layer ( 5 ) comprises a plurality of subregions formed by the first isolation trenches ( 7 a, 7 b, 7 c) are separated from one another,
  • - in which the structured semiconductor layer ( 5 ) is doped in areas in which MOS transistors are formed with source, a gate electrode, drain and with a channel,
  • - In which the insulation material ( 9 ) is applied in a thickness which is so large that the gate electrodes of the MOS transistors are covered by the insulation material ( 9 ).
3. Verfahren nach Anspruch 2, dadurch gekennzeichnet, daß die Dicke in der das Isolationsmaterial (9) aufgetragen wird, so groß ist, daß die Isolationsgräben (7a, 7b, 7c) im Bereich der Gateelektroden wenigstens bis zu der Höhe der Oberkante der Halbleiterschicht (5) mit dem Isolationsmateri­ al gefüllt sind.3. The method according to claim 2, characterized in that the thickness in which the insulation material ( 9 ) is applied is so large that the insulation trenches ( 7 a, 7 b, 7 c) in the region of the gate electrodes at least up to the height of Top edge of the semiconductor layer ( 5 ) are filled with the Isolationsmateri al. 4. Verfahren nach einem der Ansprüche 2 oder 3, dadurch gekennzeichnet, daß, nachdem die zweiten Isolationsgräben (14) mit dem elek­ trisch isolierenden Material (15) gefüllt und gegebenenfalls planarisiert wurden, ein oberer Bereich (17) der Gateelektro­ de aufgebracht wird.4. The method according to any one of claims 2 or 3, characterized in that after the second isolation trenches ( 14 ) with the elec trically insulating material ( 15 ) have been filled and optionally planarized, an upper region ( 17 ) of the gate electrode is applied. 5. Verfahren nach Anspruch 4, dadurch gekennzeichnet, daß der obere Bereich (17) der Gateelektrode gemeinsam mit der Halbleiterschicht (5) strukturiert wird.5. The method according to claim 4, characterized in that the upper region ( 17 ) of the gate electrode is structured together with the semiconductor layer ( 5 ). 6. Verfahren nach einem der Ansprüche 1 bis 5, da­ durch gekennzeichnet, daß die zweiten Isolationsgräben (14) dadurch gebildet werden, daß zunächst eine Maske (10) aufgetragen und anschließend ein Ätzprozeß durchgeführt wird.6. The method according to any one of claims 1 to 5, characterized in that the second isolation trenches ( 14 ) are formed by first applying a mask ( 10 ) and then performing an etching process. 7. Verfahren nach Anspruch 6, dadurch gekennzeichnet, daß die Maske (10) an einer seitlichen Flanke des Isolations­ materials (9) ausgerichtet wird.7. The method according to claim 6, characterized in that the mask ( 10 ) on a side flank of the insulation material ( 9 ) is aligned. 8. Verfahren nach Anspruch 7, dadurch gekennzeichnet, daß der Abstand (12a) zwischen der Maske (10) und der seitli­ chen Flanke des Isolationsmaterials (9) im wesentlichen einem Abstand (12b) zwischen zwei benachbarten seitlichen Flanken des Isolationsmaterials (9) entspricht.8. The method according to claim 7, characterized in that the distance ( 12 a) between the mask ( 10 ) and the side flank of the insulation material ( 9 ) substantially a distance ( 12 b) between two adjacent side flanks of the insulation material ( 9 ) corresponds. 9. Verfahren nach einem der Ansprüche 7 oder 8, dadurch gekennzeichnet, daß der Abstand (12a) zwischen der Maske (10) und der seitli­ chen Flanke des Isolationsmaterials (9) 0,2 µm bis 3 µm be­ trägt.9. The method according to any one of claims 7 or 8, characterized in that the distance ( 12 a) between the mask ( 10 ) and the side flank of the insulation material ( 9 ) carries 0.2 µm to 3 µm. 10. Verfahren nach einem der Ansprüche 1 bis 9, dadurch gekennzeichnet, daß, nachdem die zweiten Isolationsgräben (14) mit einem elektrisch isolierenden Material (15) gefüllt wurden, ein Planarisierungslack (16) abgeschieden wird.10. The method according to any one of claims 1 to 9, characterized in that after the second isolation trenches ( 14 ) with an electrically insulating material ( 15 ) have been filled, a planarization varnish ( 16 ) is deposited. 11. Verfahren nach einem der Ansprüche 1 bis 10, dadurch gekennzeichnet, daß das selektive Wegätzen des Substrats (1) so lange er­ folgt, bis die zweiten Isolationsgräben (14) bis zu einer in dem Substrat (1) befindlichen dotierten Schicht reichen.11. The method according to any one of claims 1 to 10, characterized in that the selective etching away of the substrate ( 1 ) until it follows until the second isolation trenches ( 14 ) extend to a doped layer located in the substrate ( 1 ). 12. Verfahren nach einem der Ansprüche 1 bis 10, dadurch gekennzeichnet, daß das selektive Wegätzen des Substrats (1) so lange er­ folgt, bis die zweiten Isolationsgräben (14) bis zu einer in dem Substrat (1) befindlichen Isolationsschicht (1b) reichen.12. The method according to any one of claims 1 to 10, characterized in that the selective etching away of the substrate ( 1 ) until it follows until the second isolation trenches ( 14 ) up to an insulating layer ( 1 b) located in the substrate ( 1 ) pass. 13. Verfahren nach einem der Ansprüche 1 bis 12, dadurch gekennzeichnet, daß das elektrisch isolierende Material (15) in einer Dicke aufgetragen wird, die so groß ist, daß sich eine zusammenhän­ gende Oberfläche ergibt, die oberhalb von aktiven Gebieten (8) der MOS-Transistoren liegt.13. The method according to any one of claims 1 to 12, characterized in that the electrically insulating material ( 15 ) is applied in a thickness which is so large that there is a coherent surface which above the active areas ( 8 ) MOS transistors.
DE1997151740 1997-11-21 1997-11-21 Process for the production of an integrated circuit with different deep isolation trenches Expired - Fee Related DE19751740B4 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
DE1997151740 DE19751740B4 (en) 1997-11-21 1997-11-21 Process for the production of an integrated circuit with different deep isolation trenches

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE1997151740 DE19751740B4 (en) 1997-11-21 1997-11-21 Process for the production of an integrated circuit with different deep isolation trenches

Publications (2)

Publication Number Publication Date
DE19751740A1 true DE19751740A1 (en) 1999-06-02
DE19751740B4 DE19751740B4 (en) 2005-03-10

Family

ID=7849492

Family Applications (1)

Application Number Title Priority Date Filing Date
DE1997151740 Expired - Fee Related DE19751740B4 (en) 1997-11-21 1997-11-21 Process for the production of an integrated circuit with different deep isolation trenches

Country Status (1)

Country Link
DE (1) DE19751740B4 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005112109A1 (en) * 2004-05-08 2005-11-24 X-Fab Semiconductor Foundries Ag Soi disks comprising mems structures and filled isolating trenches having a defined cross-section

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5411913A (en) * 1994-04-29 1995-05-02 National Semiconductor Corporation Simple planarized trench isolation and field oxide formation using poly-silicon
US5504033A (en) * 1992-08-26 1996-04-02 Harris Corporation Method for forming recessed oxide isolation containing deep and shallow trenches
US5536675A (en) * 1993-12-30 1996-07-16 Intel Corporation Isolation structure formation for semiconductor circuit fabrication
US5683932A (en) * 1994-03-15 1997-11-04 National Semiconductor Corporation Method of fabricating a planarized trench and field oxide isolation structure

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5504033A (en) * 1992-08-26 1996-04-02 Harris Corporation Method for forming recessed oxide isolation containing deep and shallow trenches
US5536675A (en) * 1993-12-30 1996-07-16 Intel Corporation Isolation structure formation for semiconductor circuit fabrication
US5683932A (en) * 1994-03-15 1997-11-04 National Semiconductor Corporation Method of fabricating a planarized trench and field oxide isolation structure
US5411913A (en) * 1994-04-29 1995-05-02 National Semiconductor Corporation Simple planarized trench isolation and field oxide formation using poly-silicon

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005112109A1 (en) * 2004-05-08 2005-11-24 X-Fab Semiconductor Foundries Ag Soi disks comprising mems structures and filled isolating trenches having a defined cross-section
US8742536B2 (en) 2004-05-08 2014-06-03 X-Fab Semiconductor Foundries Ag SOI disks comprising MEMS structures and filled isolating trenches having a defined cross-section

Also Published As

Publication number Publication date
DE19751740B4 (en) 2005-03-10

Similar Documents

Publication Publication Date Title
DE102005046624B3 (en) Production of semiconductor arrangement with formation of conductive substrate, structural element region (SER) layer for insulating SER from substrate useful in semiconductor technology, e.g. in production of DMOS-field effect transistors
DE102007052097B4 (en) Method for producing an SOI device with a substrate diode
DE69824481T2 (en) Process for producing FET devices with flat, maskless trench isolation
DE4420365C2 (en) Semiconductor device isolation method and integrated circuits for a memory device
DE102013108147B4 (en) Method and structure for vertical tunnel field effect transistor and planar devices
DE102011088584B4 (en) Semiconductor component and method for its production
DE4220497A1 (en) SEMICONDUCTOR MEMORY COMPONENT AND METHOD FOR THE PRODUCTION THEREOF
DE19860505A1 (en) Electrostatic discharge protection circuit
DE19837395A1 (en) Method for producing a semiconductor insulation layer and a semiconductor component containing this semiconductor insulation layer
EP1419525A2 (en) Strip conductor arrangement and method for producing a strip conductor arrangement
DE10324433B4 (en) A method of making a substrate contact for an SOI semiconductor device
DE19535629C1 (en) Integrated CMOS switch prodn. eliminating lateral dopant diffusion between gate electrodes
DE102007030058B3 (en) A technique for making an interlayer dielectric material with increased reliability over a structure having leaky leads
WO2004017401A1 (en) Semiconductor component with trench insulation and corresponding production method
EP1415339B1 (en) Method for the parallel production of an mos transistor and a bipolar transistor
DE10233195A1 (en) Trench isolation semiconductor device and method of manufacturing the same
DE102015121913A1 (en) Method for local isolation between transistors, which are implemented on a substrate SOI, in particular FDSOI, and corresponding integrated circuit
DE102011004672B4 (en) SOI semiconductor component with a substrate diode with reduced metal silicide leakage
DE10242145B4 (en) Semiconductor device with local interconnect layer and manufacturing method
DE19751740A1 (en) Integrated electronic circuit manufacturing method, e.g. for CMOS BiCMOS
DE19931916A1 (en) Single chip semiconductor component with different gate oxide thicknesses, useful for multimedia systems, has vertical channel regions, impurity regions overlapping at a trench bottom and transistor gates buried in trenches
DE19940758A1 (en) Method of manufacturing an HF-FET and HF-FET
EP0849787A1 (en) Method for fabricating an integrated circuit
DE10030391C2 (en) Method for producing a connection area for vertical sublithographic semiconductor structures
WO2002095820A2 (en) Hollow structure in an integrated circuit

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8127 New person/name/address of the applicant

Owner name: INFINEON TECHNOLOGIES AG, 81669 MUENCHEN, DE

8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee