DE112010001895T5 - High-quality contact structure of a TCO silicon interface for highly efficient thin-film silicon solar cells - Google Patents

High-quality contact structure of a TCO silicon interface for highly efficient thin-film silicon solar cells Download PDF

Info

Publication number
DE112010001895T5
DE112010001895T5 DE112010001895T DE112010001895T DE112010001895T5 DE 112010001895 T5 DE112010001895 T5 DE 112010001895T5 DE 112010001895 T DE112010001895 T DE 112010001895T DE 112010001895 T DE112010001895 T DE 112010001895T DE 112010001895 T5 DE112010001895 T5 DE 112010001895T5
Authority
DE
Germany
Prior art keywords
layer
silicon
tco
type silicon
sccm
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE112010001895T
Other languages
German (de)
Inventor
Shuran Sheng
Yong Kee Chae
Stefan Klein
Amir Al-Bayati
Bhaskar Kumar
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/481,175 external-priority patent/US8895842B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of DE112010001895T5 publication Critical patent/DE112010001895T5/en
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0224Electrodes
    • H01L31/022466Electrodes made of transparent conductive layers, e.g. TCO, ITO layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0224Electrodes
    • H01L31/022466Electrodes made of transparent conductive layers, e.g. TCO, ITO layers
    • H01L31/022483Electrodes made of transparent conductive layers, e.g. TCO, ITO layers composed of zinc oxide [ZnO]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/20Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof such devices or parts thereof comprising amorphous semiconductor materials
    • H01L31/202Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof such devices or parts thereof comprising amorphous semiconductor materials including only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0216Coatings
    • H01L31/02161Coatings for devices characterised by at least one potential jump barrier or surface barrier
    • H01L31/02167Coatings for devices characterised by at least one potential jump barrier or surface barrier for solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0236Special surface textures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/028Inorganic materials including, apart from doping material or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0352Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their shape or by the shapes, relative sizes or disposition of the semiconductor regions
    • H01L31/035272Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their shape or by the shapes, relative sizes or disposition of the semiconductor regions characterised by at least one potential jump barrier or surface barrier
    • H01L31/035281Shape of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/036Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes
    • H01L31/0368Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including polycrystalline semiconductors
    • H01L31/03682Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including polycrystalline semiconductors including only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/036Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes
    • H01L31/0368Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including polycrystalline semiconductors
    • H01L31/03682Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including polycrystalline semiconductors including only elements of Group IV of the Periodic Table
    • H01L31/03685Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including polycrystalline semiconductors including only elements of Group IV of the Periodic Table including microcrystalline silicon, uc-Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/036Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes
    • H01L31/0376Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including amorphous semiconductors
    • H01L31/03762Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including amorphous semiconductors including only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/054Optical elements directly associated or integrated with the PV cell, e.g. light-reflecting means or light-concentrating means
    • H01L31/056Optical elements directly associated or integrated with the PV cell, e.g. light-reflecting means or light-concentrating means the light-reflecting means being of the back surface reflector [BSR] type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/075Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PIN type, e.g. amorphous silicon PIN solar cells
    • H01L31/076Multiple junction or tandem solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1884Manufacture of transparent electrodes, e.g. TCO, ITO
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Photovoltaic Devices (AREA)

Abstract

Es werden ein Verfahren und eine Vorrichtung zum Bilden von Solarzellen bereitgestellt. Bei einer Ausführungsform enthält eine photovoltaische Vorrichtung eine erste TCO-Schicht, die auf einem Substrat angeordnet ist, eine zweite TCO-Schicht, die auf der ersten TCO-Schicht angeordnet ist, und eine p-Silizium enthaltende Schicht, die auf der zweiten TCO-Schicht ausgebildet ist. Bei einer anderen Ausführungsform umfasst ein Verfahren zum Bilden einer photovoltaischen Vorrichtung das Bilden einer ersten TCO-Schicht auf einem Substrat, das Bilden einer zweiten TCO-Schicht auf der ersten TCO-Schicht und das Bilden eines ersten p-i-n-Übergangs auf der zweiten TCO-Schicht.There is provided a method and apparatus for forming solar cells. In one embodiment, a photovoltaic device includes a first TCO layer disposed on a substrate, a second TCO layer disposed on the first TCO layer, and a p-type silicon-containing layer disposed on the second TCO layer. Layer is formed. In another embodiment, a method of forming a photovoltaic device includes forming a first TCO layer on a substrate, forming a second TCO layer on the first TCO layer, and forming a first pin junction on the second TCO layer ,

Description

HINTERGRUND DER ERFINDUNGBACKGROUND OF THE INVENTION

Gebiet der ErfindungField of the invention

Ausführungsformen der vorliegenden Erfindung betreffen allgemein Solarzellen und Verfahren zur Herstellung derselben. Insbesondere betreffen Ausführungsformen der vorliegenden Erfindung eine Schnittstellenschicht (Interface-Schicht), die in Dünnschicht- und kristallinen Solarzellen ausgebildet ist.Embodiments of the present invention generally relate to solar cells and methods of making the same. In particular, embodiments of the present invention relate to an interface layer (interface layer) formed in thin-film and crystalline solar cells.

Beschreibung des Standes der TechnikDescription of the Prior Art

Kristalline Silizium-Solarzellen und Dünnfilm-Solarzellen sind zwei Typen von Solarzellen. Kristalline Silizium-Solarzellen verwenden typischerweise entweder monokristalline Substrate (d. h. Einkristallsubstrate aus reinem Silizium) oder multikristalline Siliziumsubstrate (d. h. polykristalline Substrate oder Polysilizium). Zusätzliche Filmschichten werden auf den Siliziumsubstraten abgeschieden, um die Lichterfassung zu verbessern, die elektrischen Schaltungen zu bilden und die Vorrichtungen zu schützen. Dünnfilm-Solarzellen verwenden dünne Schichten aus Materialen, die auf geeigneten Substraten abgeschieden werden, um einen oder mehrere p-n-Übergänge zu bilden. Zu den geeigneten Substraten gehören Glas-, Metall- und Polymersubstrate.Crystalline silicon solar cells and thin-film solar cells are two types of solar cells. Crystalline silicon solar cells typically use either monocrystalline substrates (i.e., single crystal single crystal substrates) or multicrystalline silicon substrates (i.e., polycrystalline substrates or polysilicon). Additional film layers are deposited on the silicon substrates to improve light detection, to form the electrical circuits, and to protect the devices. Thin-film solar cells use thin layers of material deposited on suitable substrates to form one or more p-n junctions. Suitable substrates include glass, metal and polymer substrates.

Um den wirtschaftlichen Nutzen von Solarzellen zu steigern, muss der Wirkungsgrad verbessert werden. Der Wirkungsgrad von Solarzellen hängt mit dem Anteil der einfallenden Strahlung zusammen, der in nutzbare Elektrizität umgewandelt wird. Um für mehrere Anwendungen von Nutzen zu sein, muss der Wirkungsgrad von Solarzellen über die gegenwärtige beste Leistung von ungefähr 15% hinaus verbessert werden. In Anbetracht steigender Energiekosten besteht ein Bedarf an verbesserten Dünnschicht-Solarzellen und an Verfahren und Vorrichtungen zur Herstellung derselben in einem industriellen Umfeld.To increase the economic benefits of solar cells, the efficiency must be improved. The efficiency of solar cells is related to the proportion of incident radiation that is converted into usable electricity. To be useful for multiple applications, the efficiency of solar cells must be improved beyond the current best performance of about 15%. In view of increasing energy costs, there is a need for improved thin film solar cells and methods and apparatus for making same in an industrial environment.

Die oben oben stehenden Probleme des Standes der Technik werden gelöst durch erfindungsgemäße Vorrichtungen nach den Ansprüchen 1 und 8 sowie einem erfindungsgemäßen Verfahren nach Anspruch 12.The above-mentioned problems of the prior art are solved by devices according to the invention according to claims 1 and 8 and a method according to the invention according to claim 12.

KURZDARSTELLUNG DER ERFINDUNGBRIEF SUMMARY OF THE INVENTION

Ausführungsformen der Erfindung stellen Verfahren zur Herstellung von Solarzellen bereit. Einige Ausführungsformen stellen ein Verfahren zur Herstellung einer Schnittstellenschicht zwischen einer transparenten leitfähigen Oxidschicht (transparent conductive Oxide layer, TCO-Schicht) und einem Solarzellenübergang bereit. Bei einer Ausführungsform enthält eine photovoltaische Vorrichtung eine erste TCO-Schicht, die auf einem Substrat angeordnet ist, eine zweite TCO-Schicht, die auf der ersten TCO-Schicht angeordnet ist, und eine p-Silizium enthaltende Schicht, die auf der zweiten TCO-Schicht ausgebildet ist.Embodiments of the invention provide methods of manufacturing solar cells. Some embodiments provide a method for making an interface layer between a transparent conductive oxide (TCO) layer and a solar cell junction. In one embodiment, a photovoltaic device includes a first TCO layer disposed on a substrate, a second TCO layer disposed on the first TCO layer, and a p-type silicon-containing layer disposed on the second TCO layer. Layer is formed.

Bei einer anderen Ausführungsform enthält eine photovoltaische Vorrichtung eine TCO-Schicht, die auf einem Substrat angeordnet ist, eine Schnittstellenschicht, die auf der TCO-Schicht angeordnet ist, wobei die Schnittstellenschicht eine p-Silizium enthaltende Schicht ist, die Kohlenstoff umfasst, und eine p-Silizium enthaltende Schicht, die auf der Schnittstellenschicht angeordnet ist.In another embodiment, a photovoltaic device includes a TCO layer disposed on a substrate, an interface layer disposed on the TCO layer, the interface layer being a p-type silicon-containing layer comprising carbon, and a p Silicon-containing layer disposed on the interface layer.

Bei noch einer anderen Ausführungsform beinhaltet ein Verfahren zum Bilden einer photovoltaischen Vorrichtung das Bilden einer ersten TCO-Schicht auf einem Substrat, das Bilden einer zweiten TCO-Schicht auf der ersten TCO-Schicht und das Bilden eines ersten p-i-n-Übergangs auf der zweiten TCO-Schicht.In yet another embodiment, a method of forming a photovoltaic device includes forming a first TCO layer on a substrate, forming a second TCO layer on the first TCO layer, and forming a first pin junction on the second TCO layer. Layer.

Bevorzugte Ausführungen und besondere Aspekte der Erfindung ergeben sich aus den Unteransprüchen.Preferred embodiments and particular aspects of the invention will become apparent from the dependent claims.

KURZBESCHREIBUNG DER ZEICHNUNGENBRIEF DESCRIPTION OF THE DRAWINGS

Damit die Art und Weise, wie die oben aufgeführten Merkmale der vorliegenden Erfindung erzielt werden, im Einzelnen verständlich wird, wird eine ausführliche Beschreibung der oben kurz dargestellten Erfindung unter Bezugnahme auf die Ausführungsformen derselben gegeben, welche in den beigefügten Zeichnungen dargestellt sind.In order that the manner in which the above-mentioned features of the present invention are achieved will be understood in detail, a detailed description of the invention briefly presented above will be given with reference to the embodiments thereof shown in the accompanying drawings.

1 zeigt eine schematische Seitenansicht einer Tandem-Übergang-Dünnschicht-Solarzelle gemäß einer Ausführungsform der Erfindung; 1 shows a schematic side view of a tandem junction thin-film solar cell according to an embodiment of the invention;

2 zeigt eine schematische Seitenansicht einer Tandem-Übergang-Dünnschicht-Solarzelle mit einer Schnittstellenschicht, die zwischen einer TCO-Schicht und einem Zellübergang angeordnet ist, gemäß einer Ausführungsform der Erfindung; 2 shows a schematic side view of a tandem junction thin-film solar cell with an interface layer disposed between a TCO layer and a cell junction, according to an embodiment of the invention;

310 zeigen schematische Seitenansichten einer Tandem-Übergang-Dünnschicht-Solarzelle mit einer Schnittstellenschicht, die zwischen einer TCO-Schicht und einem Zellübergang angeordnet ist, gemäß einer Ausführungsform der Erfindung; 3 - 10 show schematic side views of a tandem junction thin film solar cell with an interface layer disposed between a TCO layer and a cell junction, according to an embodiment of the invention;

11 zeigt eine Schnittdarstellung einer Vorrichtung gemäß einer Ausführungsform der Erfindung; 11 shows a sectional view of a device according to an embodiment of the invention;

12 ist eine Draufsicht einer Vorrichtung gemäß einer anderen Ausführungsform der Erfindung; und 12 is a plan view of a device according to another embodiment of the invention; and

13 ist eine Draufsicht eines Abschnitts einer Fertigungsstraße mit darin integrierten Vorrichtungen der 11 und 12 gemäß einer Ausführungsform der Erfindung. 13 FIG. 10 is a plan view of a portion of a production line with integrated devices thereof. FIG 11 and 12 according to an embodiment of the invention.

Um das Verständnis zu erleichtern, wurden nach Möglichkeit zur Bezeichnung identischer Elemente, welche den Figuren gemeinsam sind, identische Bezugszeichen verwendet. Es ist denkbar, dass Elemente und Merkmale einer Ausführungsform vorteilhaft in andere Ausführungsformen integriert sein können, ohne nochmals erwähnt zu werden.To facilitate understanding, identical reference numerals have been used as far as possible to designate identical elements that are common to the figures. It is conceivable that elements and features of one embodiment may be advantageously incorporated into other embodiments without being mentioned again.

Es ist jedoch anzumerken, dass die beigefügten Zeichnungen nur beispielhafte Ausführungsformen dieser Erfindung zeigen und daher nicht als deren Umfang einschränkend angesehen werden dürfen, da die Erfindung andere, ebenso wirksame Ausführungsformen zulassen kann.It is to be noted, however, that the appended drawings illustrate only exemplary embodiments of this invention and, therefore, are not to be considered as limiting the scope thereof, for the invention may admit to other equally effective embodiments.

AUSFÜHRLICHE BESCHREIBUNGDETAILED DESCRIPTION

Dünnschicht-Solarzellen werden im Allgemeinen aus zahlreichen Arten von Filmen oder Schichten gebildet, die auf viele verschiedene Weisen zusammengefügt werden. Die meisten Filme, die in solchen Vorrichtungen verwendet werden, enthalten ein Halbleiterelement, welches Silizium, Germanium, Kohlenstoff, Bor, Phosphor, Stickstoff, Sauerstoff, Wasserstoff und Ähnliches umfassen kann. Zu den Kenngrößen der verschiedenen Filme gehören Kristallinitätsgrade, Dotierstoffart, Dotierstoffkonzentration, Brechungsindex des Films, Extinktionskoeffizient des Films, Filmtransparenz, Filmabsorption und Leitfähigkeit. Die meisten dieser Filme können durch Anwendung eines Verfahrens der chemischen Dampfphasenabscheidung hergestellt werden, welches einen gewissen Grad an Ionisierung oder Plasmabildung aufweisen kann.Thin-film solar cells are generally made up of numerous types of films or layers that are joined together in many different ways. Most of the films used in such devices contain a semiconductor element which may include silicon, germanium, carbon, boron, phosphorus, nitrogen, oxygen, hydrogen, and the like. The characteristics of the various films include degrees of crystallinity, type of dopant, dopant concentration, refractive index of the film, extinction coefficient of the film, film transparency, film absorption, and conductivity. Most of these films can be made by employing a chemical vapor deposition method which may have some degree of ionization or plasma formation.

Die Ladungserzeugung während eines photovoltaischen Prozesses wird im Allgemeinen durch eine Volumenhalbleiterschicht, wie etwa eine Silizium enthaltende Schicht, bereit gestellt. Die Volumenschicht wird manchmal auch ”intrinsische Schicht” genannt, um sie von den verschiedenen dotierten Schichten zu unterscheiden, die in der Solarzelle vorhanden sind. Die intrinsische Schicht kann einen beliebigen gewünschten Kristallinitätsgrad aufweisen, welcher ihre lichtabsorbierenden Eigenschaften beeinflusst. Zum Beispiel absorbiert eine amorphe intrinsische Schicht, wie etwa amorphes Silizium, Licht im Allgemeinen bei anderen Wellenlängen wie intrinsische Schichten mit anderen Kristallinitätsgraden, wie mikrokristallines oder nanokristallines Silizium. Aus diesem Grunde ist es vorteilhaft, beide Typen von Schichten zu verwenden, um ein möglichst breites Spektrum von Absorptionseigenschaften zu erzeugen.Charge generation during a photovoltaic process is generally provided by a bulk semiconductor layer, such as a silicon-containing layer. The bulk layer is sometimes called an "intrinsic layer" to distinguish it from the various doped layers present in the solar cell. The intrinsic layer may have any desired degree of crystallinity which influences its light absorbing properties. For example, an amorphous intrinsic layer, such as amorphous silicon, generally absorbs light at other wavelengths, such as intrinsic layers with other degrees of crystallinity, such as microcrystalline or nanocrystalline silicon. For this reason, it is advantageous to use both types of layers in order to produce the broadest possible spectrum of absorption properties.

Silizium und andere Halbleiter können zu Feststoffen geformt werden, die unterschiedliche Kristallinitätsgrade aufweisen. Feststoffe, die im Wesentlichen keine Kristallinität aufweisen, sind amorph, und Silizium mit vernachlässigbarer Kristallinität wird als amorphes Silizium bezeichnet. Vollständig kristallines Silizium wird als kristallines, polykristallines oder monokristallines Silizium bezeichnet. Polykristallines Silizium ist kristallines Silizium, welches zahlreiche Kristallkörner aufweist, die durch Korngrenzen getrennt sind. Monokristallines Silizium ist ein Einkristall von Silizium. Feststoffe, die eine partielle Kristallinität aufweisen, das heißt einen Kristallanteil im Bereich von etwa 5% bis etwa 95%, werden als nanokristallin oder mikrokristallin bezeichnet, was sich im Allgemeinen auf die Größe der Kristallkörner bezieht, die in einer amorphen Phase suspendiert sind. Feststoffe mit größeren Kristallkörnern werden als mikrokristallin bezeichnet, während diejenigen mit kleineren Kristallkörnern nanokristallin sind. Es ist anzumerken, dass der Begriff ”kristallines Silizium sich auf eine beliebige Form von Silizium beziehen kann, das eine Kristallphase aufweist, einschließlich von mikrokristallinem und nanokristallinem Silizium.Silicon and other semiconductors can be formed into solids having different degrees of crystallinity. Solids that have substantially no crystallinity are amorphous and silicon of negligible crystallinity is called amorphous silicon. Fully crystalline silicon is referred to as crystalline, polycrystalline or monocrystalline silicon. Polycrystalline silicon is crystalline silicon which has numerous crystal grains separated by grain boundaries. Monocrystalline silicon is a single crystal of silicon. Solids having a partial crystallinity, that is, a crystal content ranging from about 5% to about 95%, are referred to as nanocrystalline or microcrystalline, which generally refers to the size of the crystal grains suspended in an amorphous phase. Solids with larger crystal grains are called microcrystalline, while those with smaller crystal grains are nanocrystalline. It should be noted that the term "crystalline silicon " may refer to any form of silicon having a crystal phase, including microcrystalline and nanocrystalline silicon.

1 ist eine schematische Darstellung einer Ausführungsform einer Solarzelle mit mehreren Übergängen (Multi-Junction-Solarzelle) 100, die auf eine Licht- oder Sonnenstrahlung 101 ausgerichtet ist. Die Solarzelle 100 enthält ein Substrat 102. Typischerweise ist eine erste transparente leitfähige Oxidschicht (TCO-Schicht) 104 ist über dem Substrat 102 ausgebildet, ein erster p-i-n-Übergang 122 ist über der ersten TCO-Schicht 104 ausgebildet. Ein zweiter p-i-n-Übergang 124 ist über dem ersten p-i-n-Übergang 122 ausgebildet, eine zweite TCO-Schicht 118 ist über dem zweiten p-i-n-Übergang 124 ausgebildet, und eine metallische Rückschicht 120 ist über der zweiten TCO-Schicht 118 ausgebildet. Das Substrat 102 kann ein Glassubstrat, Polymersubstrat, Metallsubstrat oder anderes geeignetes Substrat sein, mit darüber ausgebildeten Dünnschichten. 1 is a schematic representation of an embodiment of a solar cell with multiple transitions (multi-junction solar cell) 100 on a light or solar radiation 101 is aligned. The solar cell 100 contains a substrate 102 , Typically, a first transparent conductive oxide layer (TCO layer) 104 is above the substrate 102 formed, a first pin transition 122 is above the first TCO layer 104 educated. A second pin transition 124 is over the first pin transition 122 formed, a second TCO layer 118 is over the second pin transition 124 formed, and a metallic backing layer 120 is above the second TCO layer 118 educated. The substrate 102 may be a glass substrate, polymer substrate, metal substrate, or other suitable substrate with thin films formed thereover.

Die erste TCO-Schicht 104 und die zweite TCO-Schicht 118 können jeweils Zinnoxid, Zinkoxid, Indiumzinnoxid, Cadmiumstannat, Kombinationen davon oder andere geeignete Materialien umfassen. Selbstverständlich können die TCO-Materialien auch zusätzlich Dotierstoffe und Komponenten enthalten. Zum Beispiel kann Zinkoxid ferner Dotierstoffe enthalten, wie etwa Zinn, Aluminium, Gallium, Bor und andere geeignete Dotierstoffe. Bei einer Ausführungsform umfasst Zinkoxid 5 Atom-% oder weniger Dotierstoffe, und umfasst insbesondere 2,5 Atom-% oder weniger Aluminium. In manchen Fällen kann das Substrat 102 von den Glasherstellern mit der bereits darauf abgeschiedenen ersten TCO-Schicht 104 geliefert werden.The first TCO layer 104 and the second TCO layer 118 may each comprise tin oxide, zinc oxide, indium tin oxide, cadmium stannate, combinations thereof, or other suitable materials. Of course, the TCO materials may also contain additional dopants and components. For example, zinc oxide may further contain dopants, such as tin, aluminum, gallium, boron, and other suitable dopants. In one embodiment, zinc oxide comprises 5 atomic% or less dopants, and more preferably comprises 2.5 atomic% or less of aluminum. In some cases, the substrate may 102 from the glass manufacturers with the first TCO coating already deposited on them 104 to be delivered.

Um die Lichtabsorption durch Verbesserung des Lichteinfang (Light-Trapping) zu verbessern, können das Substrat 102 und/oder eine oder mehrere. der darüber ausgebildeten Dünnschichten optional durch Nass-, Plasma-, Ionen- und/oder andere mechanische Verfahren strukturiert sein. Zum Beispiel ist bei der in 1 dargestellten Ausführungsform die erste TCO-Schicht 104 ausreichend strukturiert, so dass die Topographie der Oberfläche im Wesentlichen auf die nachfolgenden Dünnschichten, die darüber abgeschieden werden, übertragen wird. In order to improve the light absorption by improving the light trapping (light trapping), the substrate can 102 and / or one or more. the thin films formed above may optionally be structured by wet, plasma, ion and / or other mechanical methods. For example, at the in 1 illustrated embodiment, the first TCO layer 104 sufficiently structured so that the topography of the surface is essentially transferred to the subsequent thin films deposited over it.

Gemäß Ausführungsformen kann der erste p-i-n-Übergang 122 eine p-Silizium enthaltende Schicht 106, eine intrinsisches Silizium enthaltende Schicht 108, die über der p-Silizium enthaltenden Schicht 106 ausgebildet ist, und eine n-Silizium enthaltende Schicht 110, die über der intrinsisches Silizium enthaltenden Schicht 108 ausgebildet ist, umfassen. Bei gewissen Ausführungsformen ist die p-Silizium enthaltende Schicht eine amorphe p-Siliziumschicht 106 mit einer Dicke zwischen ungefähr 60 Å und ungefähr 300 Å. Bei gewissen Ausführungsformen ist die intrinsisches Silizium enthaltende Schicht 108 eine amorphe intrinsische Siliziumschicht mit einer Dicke zwischen ungefähr 1.500 Å und ungefähr 3.500 Å. Bei gewissen Ausführungsformen ist die n-Silizium enthaltende Schicht eine mikrokristalline n-Siliziumschicht, die mit einer Dicke zwischen ungefähr 100 Å und ungefähr 400 Å ausgebildet sein kann.According to embodiments, the first pin transition 122 a p-silicon-containing layer 106 , an intrinsic silicon-containing layer 108 that over the p-silicon-containing layer 106 is formed, and a n-silicon-containing layer 110 that over the intrinsic silicon-containing layer 108 is formed include. In certain embodiments, the p-type silicon-containing layer is an amorphous p-type silicon layer 106 with a thickness between about 60 Å and about 300 Å. In certain embodiments, the intrinsic silicon-containing layer is 108 an amorphous intrinsic silicon layer having a thickness of between about 1,500 Å and about 3,500 Å. In certain embodiments, the n-type silicon-containing layer is a n-type microcrystalline silicon layer that may be formed to a thickness of between about 100 Å and about 400 Å.

Gemäß Ausführungsformen kann der zweite p-i-n-Übergang 124 eine p-Silizium enthaltende Schicht 112, eine intrinsisches Silizium enthaltende Schicht 114, die über der p-Silizium enthaltenden Schicht 112 ausgebildet ist, und eine n-Silizium enthaltende Schicht 116, die über der intrinsisches Silizium enthaltenden Schicht 114 ausgebildet ist, umfassen. Bei gewissen Ausführungsformen kann die p-Silizium enthaltende Schicht 112 eine mikrokristalline p-Siliziumschicht 112 mit einer Dicke zwischen ungefähr 100 Å und ungefähr 400 Å sein. Bei gewissen Ausführungsformen ist die intrinsisches Silizium enthaltende Schicht 114 eine mikrokristalline intrinsische Siliziumschicht mit einer Dicke zwischen ungefähr 10.000 Å und ungefähr 30.000 Å. Bei gewissen Ausführungsformen ist die n-Silizium enthaltende Schicht 116 eine amorphe Siliziumschicht mit einer Dicke zwischen ungefähr 100 Å und ungefähr 500 Å.According to embodiments, the second pin transition 124 a p-silicon-containing layer 112 , an intrinsic silicon-containing layer 114 that over the p-silicon-containing layer 112 is formed, and a n-silicon-containing layer 116 that over the intrinsic silicon-containing layer 114 is formed include. In certain embodiments, the p-type silicon containing layer 112 a microcrystalline p-type silicon layer 112 with a thickness between about 100 Å and about 400 Å. In certain embodiments, the intrinsic silicon-containing layer is 114 a microcrystalline intrinsic silicon layer having a thickness between about 10,000 Å and about 30,000 Å. In certain embodiments, the n-silicon containing layer is 116 an amorphous silicon layer having a thickness of between about 100 Å and about 500 Å.

Die metallische Rückschicht 120 kann unter anderem ein Material enthalten, das aus der Gruppe ausgewählt ist, welche aus Al, Ag, Ti, Cr, Au, Cu, Pt, Legierungen davon und Kombinationen davon besteht. Es können auch andere Prozesse ausgeführt werden, um die Solarzelle auszubilden, wie etwa Laserstrukturierungsprozesse (Laser-Scribing-Prozesse). Es können weitere Filme, Materialien, Substrate und/oder eine Verpackung über der metallischen Rückschicht 120 vorgesehen sein, um die Solarzellenvorrichtung zu vervollständigen. Die gebildeten Solarzellen können miteinander verbunden werden, um Module zu bilden, welche wiederum verbunden werden können, um Arrays zu bilden.The metallic backing layer 120 may include, among others, a material selected from the group consisting of Al, Ag, Ti, Cr, Au, Cu, Pt, alloys thereof, and combinations thereof. Other processes may be performed to form the solar cell, such as laser scribing processes. There may be other films, materials, substrates, and / or packaging over the metallic backing layer 120 be provided to complete the solar cell device. The formed solar cells can be connected together to form modules, which in turn can be connected to form arrays.

Sonnenstrahlung 101 wird in erster Linie von den intrinsischen Schichten 108, 114 der p-i-n-Übergänge 122, 124 absorbiert und wird in Elektron-Loch-Paare umgewandelt. Das zwischen der p-Schicht 106, 112 und der n-Schicht 110, 116 erzeugte elektrische Feld, welches sich über die intrinsische Schicht 108, 114 hinweg erstreckt, bewirkt, dass Elektronen in Richtung der n-Schichten 110, 116 fließen und Löcher in Richtung der p-Schichten 106, 112 fließen, wodurch ein Strom erzeugt wird. Der erste p-i-n-Übergang 122 kann eine intrinsische amorphe Siliziumschicht 108 umfassen, und der zweite p-i-n-Übergang 124 kann eine intrinsische mikrokristalline Siliziumschicht 114 umfassen, um aus den Eigenschaften von amorphem Silizium und mikrokristallinem Silizium Nutzen zu ziehen, welche unterschiedliche Wellenlängen der Sonnenstrahlung 101 absorbieren. Daher ist die gebildete Solarzelle 100 effizienter, da sie einen größeren Anteil des Spektrums der Sonnenstrahlung einfängt. Die intrinsische Schicht 108, 114 aus amorphem Silizium und die intrinsische Schicht aus mikrokristallinem Silizium sind auf eine solche Weise gestapelt, dass die Sonnenstrahlung 101 zuerst auf die intrinsische amorphe Siliziumschicht 108 trifft und danach auf die intrinsische mikrokristalline Siliziumschicht 114 trifft, da amorphes Silizium eine größere Bandlücke aufweist als mikrokristallines Silizium. Sonnenstrahlung, welche nicht von dem ersten p-i-n-Übergang 122 absorbiert wird, wird zu dem zweiten p-i-n-Übergang 124 übertragen.solar radiation 101 is primarily of the intrinsic layers 108 . 114 the pin transitions 122 . 124 is absorbed and converted into electron-hole pairs. That between the p-layer 106 . 112 and the n-layer 110 . 116 generated electric field which spreads over the intrinsic layer 108 . 114 extends, causing electrons in the direction of the n-layers 110 . 116 flow and holes in the direction of the p-layers 106 . 112 flow, creating a current. The first pin transition 122 can be an intrinsic amorphous silicon layer 108 include, and the second pin transition 124 can be an intrinsic microcrystalline silicon layer 114 to take advantage of the properties of amorphous silicon and microcrystalline silicon, which have different wavelengths of solar radiation 101 absorb. Therefore, the formed solar cell 100 more efficient as it captures a larger fraction of the spectrum of solar radiation. The intrinsic layer 108 . 114 of amorphous silicon and the intrinsic layer of microcrystalline silicon are stacked in such a way that the solar radiation 101 first on the intrinsic amorphous silicon layer 108 and then the intrinsic microcrystalline silicon layer 114 because amorphous silicon has a larger bandgap than microcrystalline silicon. Solar radiation, which is not from the first pin junction 122 is absorbed, becomes the second pin junction 124 transfer.

Bei einer Ausführungsform, bei der die intrinsisches Silizium enthaltende Schicht 108 eine intrinsische amorphe Siliziumschicht ist, kann die intrinsische amorphe Siliziumschicht 108 abgeschieden werden, indem ein Gasgemisch aus Wasserstoffgas und Silangas mit einem Volumenstromverhältnis von etwa 20:1 oder weniger zugeführt wird. Silangas kann mit einer Durchflussgeschwindigkeit zwischen etwa 0,5 sccm/l und etwa 7 sccm/l zugeführt werden. Wasserstoffgas kann mit einer Durchflussgeschwindigkeit zwischen etwa 5 sccm/l und 60 sccm/l zugeführt werden. Dem Showerhead kann beispielsweise HF-Energie zwischen 15 mW/cm2 und etwa 250 mW/cm2 zugeführt werden. Der Druck der Kammer kann zwischen etwa 0,1 Torr und 20 Torr gehalten werden, wie zum Beispiel zwischen etwa 0,5 Torr und etwa 5 Torr. Die Abscheidungsgeschwindigkeit der intrinsischen amorphen Siliziumschicht 108 beträgt dann etwa 100 Å/min oder mehr. Bei einer beispielhaften Ausführungsform wird die intrinsische amorphe Siliziumschicht 108 bei einem Volumenstromverhältnis von Wasserstoff zu Silan von etwa 12,5:1 aufgebracht.In an embodiment wherein the intrinsic silicon-containing layer 108 is an intrinsic amorphous silicon layer, the intrinsic amorphous silicon layer may be 108 are deposited by supplying a gas mixture of hydrogen gas and silane gas having a volume flow ratio of about 20: 1 or less. Silane gas can be supplied at a flow rate between about 0.5 sccm / l and about 7 sccm / l. Hydrogen gas may be supplied at a flow rate between about 5 sccm / l and 60 sccm / l. The showerhead, for example, RF energy between 15 mW / cm 2 and about 250 mW / cm 2 are supplied. The pressure of the chamber may be maintained between about 0.1 Torr and 20 Torr, such as between about 0.5 Torr and about 5 Torr. The deposition rate of the intrinsic amorphous silicon layer 108 is then about 100 Å / min or more. In an exemplary embodiment, the intrinsic amorphous silicon layer becomes 108 at a volumetric flow ratio of hydrogen to silane of about 12.5: 1.

Bei Ausführungsformen, bei der die intrinsisches Silizium enthaltende Schicht 114 eine intrinsische mikrokristalline Siliziumschicht ist, kann die intrinsische amorphe Siliziumschicht 114 abgeschieden werden, indem ein Gasgemisch von Silangas und Wasserstoffgas in einem Volumenstromverhältnis von Wasserstoff zu Silan zwischen etwa 20:1 und etwa 200:1 zugeführt wird. Silangas kann mit einer Durchflussgeschwindigkeit zwischen etwa 0,5 sccm/l und etwa 5 sccm/l zugeführt werden. Wasserstoffgas kann mit einer Durchflussgeschwindigkeit zwischen etwa 40 sccm/l und etwa 400 sccm/l zugeführt werden. Bei gewissen Ausführungsformen kann die Durchflussgeschwindigkeit von Silan während der Abscheidung rampenartig von einer ersten Durchflussgeschwindigkeit auf eine zweite Durchflussgeschwindigkeit erhöht werden. Bei gewissen Ausführungsformen kann die Durchflussgeschwindigkeit von Wasserstoff während der Abscheidung rampenartig von einer ersten Durchflussgeschwindigkeit auf eine zweite Durchflussgeschwindigkeit verringert werden. Die Zuführung von HF-Energie von etwa 300 mW/cm2 oder mehr, wie etwa 600 mW/cm2 oder mehr, bei einem Kammerdruck zwischen etwa 1 Torr und etwa 100 Torr, wie zum Beispiel zwischen etwa 3 Torr und etwa 20 Torr oder zwischen etwa 4 Torr und etwa 12 Torr, bewirkt im Allgemeinen die Abscheidung einer intrinsischen mikrokristallinen Siliziumschicht, die einen kristallinen Anteil zwischen etwa 20 Prozent und etwa 80 Prozent, wie etwa zwischen 55 Prozent und etwa 75 Prozent, aufweist, mit einer Geschwindigkeit von etwa 200 Å/min oder mehr, wie etwa von ungefähr 500 Å/min. Bei einigen Ausführungsformen kann es vorteilhaft sein, die Leistungsdichte der zugeführten HF-Energie während der Abscheidung rampenartig von einer ersten Leistungsdichte auf eine zweite Leistungsdichte zu ändern. In embodiments where the intrinsic silicon-containing layer 114 is an intrinsic microcrystalline silicon layer, the intrinsic amorphous silicon layer can 114 depositing a gas mixture of silane gas and hydrogen gas in a volume flow ratio of hydrogen to silane between about 20: 1 and about 200: 1. Silane gas can be supplied at a flow rate between about 0.5 sccm / l and about 5 sccm / l. Hydrogen gas may be supplied at a flow rate between about 40 sccm / l and about 400 sccm / l. In certain embodiments, the flow rate of silane during deposition may be ramped from a first flow rate to a second flow rate. In certain embodiments, the flow rate of hydrogen during deposition may be ramped down from a first flow rate to a second flow rate. The supply of RF energy of about 300 mW / cm 2 or more, such as 600 mW / cm 2 or more, at a chamber pressure between about 1 Torr and about 100 Torr, such as between about 3 Torr and about 20 Torr or between about 4 Torr and about 12 Torr, generally causes the deposition of an intrinsic microcrystalline silicon layer having a crystalline fraction between about 20 percent and about 80 percent, such as between 55 percent and about 75 percent, at a rate of about 200 Å / min or more, such as about 500 Å / min. In some embodiments, it may be advantageous to ramp the power density of the supplied RF energy during deposition from a first power density to a second power density.

Bei einer anderen Ausführungsform kann die intrinsische mikrokristalline Siliziumschicht 114 in mehreren Schritten abgeschieden werden, wobei die während der einzelnen Schritte abgeschiedenen Anteile der Schicht unterschiedliche Wasserstoff-Verdünnungsverhältnisse aufweisen, welche unterschiedliche Kristallanteile der abgeschiedenen dünnen Schichten liefern können. Bei einer Ausführungsform kann zum Beispiel das Volumenstromverhältnis von Wasserstoff zu Silan in vier Schritten von 100:1 auf 95:1, auf 90:1 und dann auf 85:1 reduziert werden. Bei einer Ausführungsform kann Silangas mit einer Durchflussgeschwindigkeit zwischen etwa 0,1 sccm/l und etwa 5 sccm/l, wie von etwa 0,97 sccm/l, zugeführt werden. Wasserstoffgas kann mit einer Durchflussgeschwindigkeit zwischen etwa 10 sccm/l und etwa 200 sccm/l, wie zum Beispiel zwischen etwa 80 sccm/l und etwa 105 sccm/l, zugeführt werden. Bei einer beispielhaften Ausführungsform, bei welcher der Abscheidungsvorgang mehrere Schritte aufweist, wie etwa vier Schritte, kann die Durchflussgeschwindigkeit des Wasserstoffgases bei etwa 97 sccm/l im ersten Schritt beginnen und in den nachfolgenden Prozessschritten schrittweise auf etwa 92 sccm/l, 88 sccm/l bzw. 83 sccm/l reduziert werden. Die Zuführung von HF-Energie von etwa 300 mW/cm2 oder mehr, wie zum Beispiel von etwa 490 mW/cm2, bei einem Kammerdruck zwischen etwa 1 Torr und etwa 100 Torr, wie zum Beispiel zwischen etwa 3 Torr und etwa 20 Torr, wie zum Beispiel zwischen etwa 4 Torr und etwa 12 Torr, wie zum Beispiel von etwa 9 Torr, wird die Abscheidung einer intrinsischen mikrokristallinen Siliziumschicht mit einer Geschwindigkeit von etwa 200 Åmin oder mehr, wie von 400 Åmin bewirken.In another embodiment, the intrinsic microcrystalline silicon layer 114 are deposited in several steps, wherein the deposited during the individual steps portions of the layer have different hydrogen dilution ratios, which can provide different crystal fractions of the deposited thin films. For example, in one embodiment, the volume flow ratio of hydrogen to silane may be reduced in four steps from 100: 1 to 95: 1, to 90: 1, and then to 85: 1. In one embodiment, silane gas may be supplied at a flow rate between about 0.1 sccm / l and about 5 sccm / l, such as about 0.97 sccm / l. Hydrogen gas may be supplied at a flow rate between about 10 sccm / l and about 200 sccm / l, such as between about 80 sccm / l and about 105 sccm / l. In an exemplary embodiment in which the deposition process has multiple steps, such as four steps, the flow rate of the hydrogen gas may begin at about 97 sccm / l in the first step and gradually in the subsequent process steps to about 92 sccm / l, 88 sccm / l or 83 sccm / l can be reduced. The supply of RF energy of about 300 mW / cm 2 or more, such as about 490 mW / cm 2 , at a chamber pressure between about 1 Torr and about 100 Torr, such as between about 3 Torr and about 20 Torr For example, such as between about 4 Torr and about 12 Torr, such as about 9 Torr, deposition of an intrinsic microcrystalline silicon layer will occur at a rate of about 200 Åmin or greater, such as 400 Åmin.

Die Ladungssammlung erfolgt im Allgemeinen durch dotierte Hableiterschichten, wie etwa Siliziumschichten, die mit p- oder n-Dotierstoffen dotiert sind. P-Dotierstoffe sind im Allgemeinen Elemente der Gruppe III, wie zum Beispiel Bor oder Aluminium. N-Dotierstoffe sind im Allgemeinen Elemente der Gruppe V, wie Phosphor, Arsen oder Antimon. Bei den meisten Ausführungsformen wird Bor als der p-Dotierstoff und Phosphor als der n-Dotierstoff verwendet. Diese Dotierstoffe können zu den oben beschriebenen p- und n-Schichten 106, 110, 112, 116 hinzugefügt werden, indem borhaltige bzw. phosphorhaltige Verbindungen dem Reaktionsgemisch zugegeben werden. Geeignete Bor- und Phosphorverbindungen umfassen im Allgemeinen substituierte und unsubstituierte niedere Boran- und Phosphin-Oligomere. Einige geeignete Borverbindungen umfassen Trimethylbor (B(CH3)3 oder TMB), Diboran (B2H6), Bortrifluorid (BF3) und Triethylbor (B(C2H5)3 oder TEB). Phosphin ist die gebräuchlichste Phosphorverbindung. Die Dotierstoffe werden im Allgemeinen mit einem Trägergas zugeführt, wie Wasserstoff, Helium, Argon oder einem anderen geeigneten Gas. Wenn Wasserstoff als Trägergas verwendet wird, erhöht sich der Gesamtgehalt an Wasserstoff im Reaktionsgemisch. Die oben genannten Wasserstoffanteile enthalten daher auch die Wasserstoffmenge, die durch das für die Zuführung der Dotierstoffe verwendete Trägergas beigesteuert wird.Charge accumulation generally occurs through doped semiconductor layers, such as silicon layers doped with p or n dopants. P-type dopants are generally Group III elements, such as boron or aluminum. N-type dopants are generally Group V elements such as phosphorus, arsenic or antimony. In most embodiments, boron is used as the p-type dopant and phosphorus as the n-type dopant. These dopants can be added to the p and n layers described above 106 . 110 . 112 . 116 be added by boron-containing or phosphorus-containing compounds are added to the reaction mixture. Suitable boron and phosphorus compounds generally include substituted and unsubstituted lower borane and phosphine oligomers. Some suitable boron compounds include trimethylboron (B (CH 3 ) 3 or TMB), diborane (B 2 H 6 ), boron trifluoride (BF 3 ) and triethylboron (B (C 2 H 5 ) 3 or TEB). Phosphine is the most common phosphorus compound. The dopants are generally supplied with a carrier gas, such as hydrogen, helium, argon or other suitable gas. When hydrogen is used as the carrier gas, the total content of hydrogen in the reaction mixture increases. The abovementioned hydrogen components therefore also contain the amount of hydrogen which is contributed by the carrier gas used for the supply of the dopants.

Dotierstoffe werden im Allgemeinen als Dilatationsmittel in einem Inertgas oder Trägergas zugeführt. Zum Beispiel können Dotierstoffe mit molaren Konzentrationen oder Volumenkonzentrationen von etwa 0,5% in einem Trägergas zugeführt werden. Wenn ein Dotierstoff mit einer Volumenkonzentration von etwa 0,5% in einem Trägergas zugeführt wird, das mit 1,0 sccm/l fließt, beträgt die resultierende Durchflussgeschwindigkeit des Dotierstoffes 0,005 sccm/l. Dotierungsmittel können einer Reaktionskammer mit Durchflussgeschwindigkeiten zwischen etwa 0,0002 sccm/l und etwa 0,1 sccm/l zugeführt werden, in Abhängigkeit vom gewünschten Dotierungsgrad. Im Allgemeinen wird die Konzentration des Dotierstoffes zwischen etwa 1018 Atomen/cm3 und etwa 1020 Atomen/cm3 gehalten.Dopants are generally supplied as a dilating agent in an inert gas or carrier gas. For example, dopants having molar concentrations or volume concentrations of about 0.5% may be supplied in a carrier gas. When a dopant having a volume concentration of about 0.5% is supplied in a carrier gas flowing at 1.0 sccm / l, the resulting flow rate of the dopant is 0.005 sccm / l. Dopants may be fed to a reaction chamber at flow rates between about 0.0002 sccm / l and about 0.1 sccm / l, depending on the desired degree of doping. In general, the concentration of the dopant between about 1018 atoms / cm 3 and about 10 20 atoms / cm 3 held.

Bei einer Ausführungsform, bei der die p-Silizium enthaltende Schicht 112 eine mikrokristalline p-Siliziumschicht ist, kann die mikrokristalline p-Siliziumschicht 112 abgeschieden werden, indem ein Gasgemisch aus Wasserstoffgas und Silangas mit einem Volumenstromverhältnis von Wasserstoff zu Silan von etwa 200:1 oder größer, wie zum Beispiel 1000:1 oder weniger, zum Beispiel zwischen etwa 250:1 und etwa 800:1, und in einem weiteren Beispiel von etwa 601:1 oder etwa 401:1, zugeführt wird. Silangas kann mit einer Durchflussgeschwindigkeit zwischen etwa 0,1 sccm/l und etwa 0,8 sccm/l, wie zum Beispiel zwischen etwa 0,2 sccm/l und etwa 0,38 sccm/l, zugeführt werden. Wasserstoffgas kann mit einer Durchflussgeschwindigkeit zwischen etwa 60 sccm/l und etwa 500 sccm/l, wie zum Beispiel von etwa 143 sccm/l, zugeführt werden. TMB kann mit einer Durchflussgeschwindigkeit zwischen etwa 0,0002 sccm/l und etwa 0,0016 sccm/l, wie zum Beispiel von etwa 0,00115 sccm/l, zugeführt werden. Falls TMB in einer molaren Konzentration oder Volumenkonzentration von 0,5% in einem Trägergas zugeführt wird, kann das Dotierstoff-Trägergas-Gemisch mit einer Durchflussgeschwindigkeit zwischen etwa 0,04 sccm/l und etwa 0,32 sccm/l, wie etwa 0,23 sccm/l, zugeführt werden. Die Zuführung von HF-Energie zwischen etwa 50 mW/cm2 und etwa 700 mW/cm2, wie zum Beispiel zwischen etwa 290 mW/cm2 und etwa 440 mW/cm2, bei einem Kammerdruck zwischen etwa 1 Torr und etwa 100 Torr, wie zum Beispiel zwischen etwa 3 Torr und etwa 20 Torr, zwischen 4 Torr und etwa 12 Torr oder etwa 7 Torr oder etwa 9 Torr, wird die Abscheidung einer mikrokristallinen p-Schicht mit einem kristallinen Anteil zwischen etwa 20 Prozent und etwa 80 Prozent, wie zum Beispiel zwischen 50 Prozent und etwa 70 Prozent für eine mikrokristalline Schicht, mit einer Geschwindigkeit von etwa 10 Å/min oder mehr, wie von etwa 143 Åmin oder mehr, bewirken.In an embodiment wherein the p-type silicon containing layer 112 is a microcrystalline p-type silicon layer, the microcrystalline p-type silicon layer 112 by adding a gas mixture of hydrogen gas and silane gas having a volumetric flow ratio of hydrogen to silane of about 200: 1 or greater, such as 1000: 1 or less, for example between about 250: 1 and about 800: 1, and in one another example of about 601: 1 or about 401: 1. Silane gas may be supplied at a flow rate between about 0.1 sccm / l and about 0.8 sccm / l, such as between about 0.2 sccm / l and about 0.38 sccm / l. Hydrogen gas may be supplied at a flow rate between about 60 sccm / l and about 500 sccm / l, such as about 143 sccm / l. TMB may be supplied at a flow rate between about 0.0002 sccm / l and about 0.0016 sccm / l, such as about 0.00115 sccm / l. If TMB is supplied in a molar concentration or volume concentration of 0.5% in a carrier gas, the dopant-carrier gas mixture may flow at a flow rate between about 0.04 sccm / l and about 0.32 sccm / l, such as 0, 23 sccm / l. The supply of RF energy is between about 50 mW / cm 2 and about 700 mW / cm 2 , such as between about 290 mW / cm 2 and about 440 mW / cm 2 , at a chamber pressure between about 1 Torr and about 100 Torr such as between about 3 torr and about 20 torr, between 4 torr and about 12 torr or about 7 torr or about 9 torr, the deposition of a microcrystalline p-layer having a crystalline fraction between about 20 percent and about 80 percent, such as between 50 percent and about 70 percent for a microcrystalline layer, at a rate of about 10 Å / min or greater, such as about 143 Åmin or greater.

Bei einer Ausführungsform, bei der die p-Silizium enthaltende Schicht 106 eine amorphe p-Siliziumschicht ist, kann die amorphe p-Siliziumschicht 106 abgeschieden werden, indem ein Gasgemisch aus Wasserstoffgas und Silangas mit einem Volumenstromverhältnis von etwa 20:1 oder weniger zugeführt wird. Silangas kann mit einer Durchflussgeschwindigkeit zwischen etwa 1 sccm/l und etwa 10 sccm/l zugeführt werden. Wasserstoffgas kann mit einer Durchflussgeschwindigkeit zwischen etwa 5 sccm/l und etwa 60 sccm/l zugeführt werden. Trimethylbor kann mit einer Durchflussgeschwindigkeit zwischen etwa 0,005 sccm/l und etwa 0,05 sccm/l zugeführt werden. Falls Trimethylbor in einer molaren Konzentration oder Volumenkonzentration von 0,5% in einem Trägergas zugeführt wird, kann das Dotierstoff-Trägergas-Gemisch mit einer Durchflussgeschwindigkeit zwischen etwa 1 sccm/l und etwa 10 sccm/l zugeführt werden. Die Zuführung von HF-Energie zwischen etwa 15 mW/cm2 und etwa 200 mW/cm2 bei einem Kammerdruck zwischen etwa 0,1 Torr und 20 Torr, wie zum Beispiel zwischen etwa 1 Torr und etwa 4 Torr, wird die Abscheidung einer amorphen p-Siliziumschicht mit einer Geschwindigkeit von etwa 100 Åmin oder mehr bewirken. Die Zugabe von Methan oder anderen kohlenstoffhaltigen Verbindungen, wie CH4, C3H8, C4H10 oder C2H2, kann angewendet werden, um eine Kohlenstoff enthaltende amorphe p-Siliziumschicht 106 zu bilden, welche weniger Licht absorbiert, als andere Silizium enthaltende Materialien. Anders ausgedrückt, bei der Konfiguration, bei der die gebildete amorphe p-Siliziumschicht 106 Legierungselemente wie etwa Kohlenstoff enthält, weist die gebildete Schicht dann verbesserte Lichtdurchlässigkeitseigenschaften, oder Fenstereigenschaften, auf (z. B. um die Absorption von Sonnenstrahlung zu verringern). Die zusätzliche Menge an Sonnenstrahlung, die durch die amorphe p-Siliziumschicht 106 durchgelassen wird, kann von den intrinsischen Schichten absorbiert werden, wodurch sich der Wirkungsgrad der Solarzelle erhöht. Bei der Ausführungsform, bei welcher Trimethylbor verwendet wird, um Bor-Dotierstoffe in der amorphen p-Siliziumschicht 106 bereitzustellen, wird die Konzentration der Bor-Dotierstoffe zwischen etwa 1 × 1018 Atomen/cm2 und etwa 1 × 1020 Atomen/cm2 gehalten. Bei einer Ausführungsform, bei welcher Methangas zugegeben und verwendet wird, um eine Kohlenstoff enthaltende amorphe p-Siliziumschicht 106 zu bilden, wird die Kohlenstoffkonzentration in der Kohlenstoff enthaltenden amorphen p-Siliziumschicht so gesteuert, dass sie zwischen etwa 10 Atomprozent und etwa 20 Atomprozent liegt. Bei einer Ausführungsform weist die amorphe p-Siliziumschicht 106 eine Dicke zwischen etwa 20 Å und etwa 300 Å auf, wie zwischen etwa 80 Å und etwa 200 Å.In an embodiment wherein the p-type silicon containing layer 106 is an amorphous p-type silicon layer, the amorphous p-type silicon layer 106 may be deposited by supplying a mixed gas of hydrogen gas and silane gas at a volumetric flow ratio of about 20: 1 or less. Silane gas can be supplied at a flow rate between about 1 sccm / l and about 10 sccm / l. Hydrogen gas may be supplied at a flow rate between about 5 sccm / l and about 60 sccm / l. Trimethylboron may be supplied at a flow rate between about 0.005 sccm / l and about 0.05 sccm / l. If trimethylboron is supplied in a molar concentration or volume concentration of 0.5% in a carrier gas, the dopant-carrier gas mixture may be supplied at a flow rate between about 1 sccm / l and about 10 sccm / l. The application of RF energy between about 15 mW / cm 2 and about 200 mW / cm 2 at a chamber pressure between about 0.1 torr and 20 torr, such as between about 1 torr and about 4 torr, becomes the deposition of an amorphous p-type silicon layer at a rate of about 100 Åmin or more. The addition of methane or other carbon containing compounds such as CH 4 , C 3 H 8 , C 4 H 10 or C 2 H 2 can be used to form a carbon-containing amorphous p-type silicon layer 106 which absorbs less light than other silicon-containing materials. In other words, in the configuration where the formed amorphous p-type silicon layer 106 Containing alloying elements such as carbon, the formed layer then has improved light transmission properties, or windowing properties (eg, to reduce the absorption of solar radiation). The extra amount of solar radiation passing through the amorphous p-type silicon layer 106 is allowed to be absorbed by the intrinsic layers, thereby increasing the efficiency of the solar cell. In the embodiment wherein trimethylboron is used to form boron dopants in the amorphous p-type silicon layer 106 The concentration of boron dopants is maintained between about 1 × 10 18 atoms / cm 2 and about 1 × 10 20 atoms / cm 2 . In an embodiment wherein methane gas is added and used to form a carbon-containing amorphous p-type silicon layer 106 The carbon concentration in the carbon-containing amorphous p-type silicon layer is controlled to be between about 10 atomic% and about 20 atomic%. In one embodiment, the amorphous p-type silicon layer 106 a thickness between about 20 Å and about 300 Å, such as between about 80 Å and about 200 Å.

Bei einer Ausführungsform, bei der die n-Silizium enthaltende Schicht 110 eine mikrokristalline n-Siliziumschicht ist, kann die mikrokristalline n-Siliziumschicht 110 abgeschieden werden, indem ein Gasgemisch aus Wasserstoffgas und Silangas mit einem Volumenstromverhältnis von etwa 100:1 oder mehr, wie zum Beispiel etwa 500:1 oder weniger, wie zum Beispiel zwischen etwa 150:1 und etwa 400:1, zum Beispiel von etwa 304:1 oder etwa 203:1, zugeführt wird. Silangas kann mit einer Durchflussgeschwindigkeit zwischen etwa 0,1 sccm/l und etwa 0,8 sccm/l, wie zum Beispiel zwischen etwa 0,32 sccm/l und etwa 0,45 sccm/l, zum Beispiel von etwa 0,35 sccm/l, zugeführt werden. Wasserstoffgas kann mit einer Durchflussgeschwindigkeit zwischen etwa 30 sccm/l und etwa 250 sccm/l, wie zum Beispiel zwischen etwa 68 sccm/l und etwa 143 sccm/l, zum Beispiel von etwa 71,43 sccm/l, zugeführt werden. Phosphin kann mit einer Durchflussgeschwindigkeit zwischen etwa 0,0005 sccm/l und etwa 0,006 sccm/l, wie zum Beispiel zwischen etwa 0,0025 sccm/l und etwa 0,015 sccm/l, zum Beispiel von etwa 0,005 sccm/l, zugeführt werden. Anders ausgedrückt, falls Phosphin in einer molaren Konzentration oder Volumenkonzentration von 0,5% in einem Trägergas zugeführt wird, kann das Dotierstoff-Trägergas-Gemisch mit einer Durchflussgeschwindigkeit zwischen etwa 0,1 sccm/l und etwa 5 sccm/l, wie zum Beispiel zwischen etwa 0,5 sccm/l und etwa 3 sccm/l, zum Beispiel zwischen etwa 0,9 sccm/l und etwa 1,088 sccm/l, zugeführt werden. Eine Zuführung von HF-Energie zwischen etwa 100 mW/cm2 und etwa 900 mW/cm2, wie zum Beispiel von etwa 370 mW/cm2, bei einem Kammerdruck zwischen etwa 1 Torr und etwa 100 Torr, wie zum Beispiel zwischen etwa 3 Torr und etwa 20 Torr, stärker bevorzugt zwischen 4 Torr und etwa 12 Torr, zum Beispiel von etwa 6 Torr oder etwa 9 Torr, wird die Abscheidung einer mikrokristallinen n-Siliziumschicht mit einem kristallinen Anteil zwischen etwa 20 Prozent und etwa 80 Prozent, wie zum Beispiel zwischen 50 Prozent und etwa 70 Prozent, mit einer Geschwindigkeit von etwa 50 Amin oder mehr, wie zum Beispiel von etwa 150 Åmin oder mehr bewirken.In an embodiment in which the n-silicon-containing layer 110 is a microcrystalline n-type silicon layer, the microcrystalline n-type silicon layer 110 by adding a gas mixture of hydrogen gas and silane gas having a volumetric flow ratio of about 100: 1 or more, such as about 500: 1 or less, such as between about 150: 1 and about 400: 1, for example, about 304 : 1 or about 203: 1, is supplied. Silane gas may flow at a flow rate between about 0.1 sccm / l and about 0.8 sccm / l, such as between about 0.32 sccm / l and about 0.45 sccm / l, for example, about 0.35 sccm / l, are supplied. Hydrogen gas may be supplied at a flow rate between about 30 sccm / l and about 250 sccm / l, such as between about 68 sccm / l and about 143 sccm / l, for example, of about 71.43 sccm / l. Phosphine can be at a flow rate between about 0.0005 sccm / l and about 0.006 sccm / l, such as between about 0.0025 sccm / l and about 0.015 sccm / l, for example, of about 0.005 sccm / l. In other words, if phosphine is supplied in a molar concentration or volume concentration of 0.5% in a carrier gas, the dopant-carrier gas mixture may flow at a flow rate between about 0.1 sccm / l and about 5 sccm / l, such as between about 0.5 sccm / l and about 3 sccm / l, for example between about 0.9 sccm / l and about 1.088 sccm / l. A supply of RF energy between about 100 mW / cm 2 and about 900 mW / cm 2 , such as from about 370 mW / cm 2 , at a chamber pressure between about 1 Torr and about 100 Torr, such as between about 3 Torr and about 20 torr, more preferably between 4 torr and about 12 torr, for example about 6 torr or about 9 torr, the deposition of a n-type microcrystalline silicon layer having a crystalline fraction between about 20 percent and about 80 percent, as for Example between 50 percent and about 70 percent, at a rate of about 50 amine or more, such as effect of about 150 Åmin or more.

Bei einer Ausführungsform, bei der die n-Silizium enthaltende Schicht 116 eine amorphe n-Siliziumschicht ist, kann die amorphe n-Siliziumschicht 116 abgeschieden werden, indem ein Gasgemisch aus Wasserstoffgas und Silangas mit einem Volumenstromverhältnis von etwa 20:1 oder weniger, wie von zum Beispiel etwa 5,5:1 oder 7,8:1, zugeführt wird. Silangas kann mit einer Durchflussgeschwindigkeit zwischen etwa 0,1 sccm/l und etwa 10 sccm/l, wie zum Beispiel zwischen etwa 1 sccm/l und etwa 10 sccm/l, zwischen etwa 0,1 sccm/l und 5 sccm/l oder zwischen etwa 0,5 sccm/l und etwa 3 sccm/l, zum Beispiel von etwa 1,42 sccm/l oder 5,5 sccm/l, zugeführt werden. Wasserstoffgas kann mit einer Durchflussgeschwindigkeit zwischen etwa 1 sccm/l und etwa 40 sccm/l, wie zum Beispiel zwischen etwa 4 sccm/l und etwa 40 sccm/l oder zwischen etwa 1 sccm/l und etwa 10 sccm/l, zum Beispiel von etwa 6,42 sccm/l oder etwa 27 sccm/l, zugeführt werden. Phosphin kann mit einer Durchflussgeschwindigkeit zwischen etwa 0,0005 sccm/l und etwa 0,075 sccm/l, wie zum Beispiel zwischen etwa 0,0005 sccm/l und etwa 0,0015 sccm/l oder zwischen etwa 0,015 sccm/l und etwa 0,03 sccm/l, zum Beispiel von etwa 0,0095 sccm/l oder etwa 0,023 sccm/l, zugeführt werden. Falls Phosphin in einer molaren Konzentration oder Volumenkonzentration von 0,5% in einem Trägergas zugeführt wird, kann das Dotierstoff-Trägergas-Gemisch mit einer Durchflussgeschwindigkeit zwischen etwa 0,1 sccm/l und etwa 15 sccm/l, wie zum Beispiel zwischen etwa 0,1 sccm/l und etwa 3 sccm/l, zwischen etwa 2 sccm/l und etwa 15 sccm/l oder zwischen etwa 3 sccm/l und etwa 6 sccm/l, zum Beispiel von etwa 1,9 sccm/l oder etwa 4,71 sccm/l, zugeführt werden. Die Zuführung von HF-Energie zwischen etwa 25 mW/cm2 und etwa 250 mW/cm2, wie zum Beispiel von etwa 60 mW/cm2 oder etwa 80 mW/cm2, bei einem Kammerdruck zwischen etwa 0,1 Torr und etwa 20 Torr, wie zum Beispiel zwischen etwa 0,5 Torr und etwa 4 Torr, oder von etwa 1,5 Torr, wird die Abscheidung einer amorphen n-Siliziumschicht mit einer Geschwindigkeit von etwa 100 Å/min oder mehr, wie zum Beispiel von etwa 200 Å/min oder mehr, wie zum Beispiel von etwa 300 Å/min oder etwa 600 Å/min bewirken.In an embodiment in which the n-silicon-containing layer 116 is an amorphous n-type silicon layer, the amorphous n-type silicon layer 116 may be deposited by mixing a mixed gas of hydrogen gas and silane gas at a volumetric flow ratio of about 20: 1 or less, such as about 5.5: 1 or 7.8: 1, is supplied. Silane gas may be at a flow rate between about 0.1 sccm / l and about 10 sccm / l, such as between about 1 sccm / l and about 10 sccm / l, between about 0.1 sccm / l and 5 sccm / l or between about 0.5 sccm / l and about 3 sccm / l, for example, about 1.42 sccm / l or 5.5 sccm / l. Hydrogen gas may flow at a flow rate between about 1 sccm / l and about 40 sccm / l, such as between about 4 sccm / l and about 40 sccm / l, or between about 1 sccm / l and about 10 sccm / l, for example about 6.42 sccm / l or about 27 sccm / l. Phosphine can flow at a flow rate between about 0.0005 sccm / l and about 0.075 sccm / l, such as between about 0.0005 sccm / l and about 0.0015 sccm / l, or between about 0.015 sccm / l and about 0, 03 sccm / l, for example, from about 0.0095 sccm / l or about 0.023 sccm / l. If phosphine is supplied in a molar concentration or volume concentration of 0.5% in a carrier gas, the dopant-carrier gas mixture may flow at a flow rate between about 0.1 sccm / l and about 15 sccm / l, such as between about 0 , 1 sccm / l and about 3 sccm / l, between about 2 sccm / l and about 15 sccm / l or between about 3 sccm / l and about 6 sccm / l, for example about 1.9 sccm / l or about 4.71 sccm / l. The supply of RF energy between about 25 mW / cm 2 and about 250 mW / cm 2 , such as from about 60 mW / cm 2 or about 80 mW / cm 2 , at a chamber pressure between about 0.1 Torr and about 20 Torr, such as between about 0.5 Torr and about 4 Torr, or about 1.5 Torr, becomes the deposition of an amorphous n-type silicon layer at a rate of about 100 Å / min or more, such as about 200 Or more, such as from about 300 Å / min or about 600 Å / min.

Bei einigen Ausführungsformen können Legierungen von Silizium mit anderen Elementen, wie Sauerstoff, Kohlenstoff, Stickstoff, Wasserstoff und Germanium, von Nutzen sein. Diese anderen Elemente können zu Siliziumfilmen hinzugefügt werden, indem dem Gemisch der Reaktionsgase zusätzlich Quellen der jeweiligen Elemente zugeführt werden. Legierungen von Silizium können in Siliziumschichten von beliebigem Typ verwendet werden, darunter in Schnittstellenschichten, p-Schichten, n-Schichten, PIB-(p-i-Puffer-)Schichten, wellenlängenselektiv reflektierenden Schichten (wavelength selective reflector layers, WSR-Schichten) oder Siliziumschichten vom intrinsischen Typ. Zum Beispiel kann Kohlenstoff zu den Siliziumfilmen hinzugefügt werden, indem eine Kohlenstoffquelle wie etwa Methan (CH4) zu dem Gasgemisch hinzugefügt wird. Im Allgemeinen können die meisten C1-C4-Kohlenwasserstoffe als Kohlenstoffquellen verwendet werden. Alternativ dazu können Organosiliziumverbindungen, wie Organosilane, Organosiloxane, Organosilanole und Ähnliches, sowohl als Silizium- als auch als Kohlenstoffquellen dienen. Germaniumverbindungen, wie Germane und Organogermane, können neben Silizium und Germanium enthaltenden Verbindungen, wie Silylgermanen oder Germylsilanen, als Germaniumquellen dienen. Sauerstoffgas (O2) kann als Sauerstoffquelle dienen. Andere Sauerstoffquellen sind unter anderem Oxide von Stickstoff (Distickstoffmonoxid – N2O, Stickstoffmonoxid – NO, Distickstofftrioxid – N2O3, Stickstoffdioxid – NO2, Distickstofftetroxid – N2O4, Distickstoffpentoxid – N2O5 und Stickstofftrioxid – NO3), Wasserstoffperoxid (H2O2), Kohlenmonoxid oder -dioxid (CO oder CO2), Ozon (O3), Sauerstoffatome, Sauerstoffradikale und Alkohole (ROH, wobei R eine beliebige organische oder heteroorganische Radikalgruppe ist). Stickstoffquellen können etwa Stickstoffgas (N2), Ammoniak (NH3), Hydrazin (N2H2), Amine (RxNR'3-x, wobei x 0 bis 3 ist und R und R' unabhängig voneinander jeweils eine beliebige organische oder heteroorganische Radikalgruppe sind), Amide ((RCO)xNR'3-x, wobei x 0 bis 3 ist und R und R' unabhängig voneinander jeweils eine beliebige organische oder heteroorganische Radikalgruppe sind), Imide (RCONCOR', wobei R und R' unabhängig voneinander jeweils eine beliebige organische oder heteroorganische Radikalgruppe sind), Enamine (R1R2C=CR3NR4R5, wobei R1-R5 unabhängig voneinander jeweils eine beliebige organische oder heteroorganische Radikalgruppe sind) sowie Stickstoffatome und -radikale sein.In some embodiments, alloys of silicon with other elements such as oxygen, carbon, nitrogen, hydrogen, and germanium may be useful. These other elements can be added to silicon films by adding sources of the respective elements to the mixture of reaction gases. Alloys of silicon may be used in any type of silicon layers, including interfacial layers, p-type layers, n-type layers, PIB (pi-buffer) layers, wavelength selective reflector layers (WSR layers), or silicon layers of the intrinsic type. For example, carbon may be added to the silicon films by adding a carbon source such as methane (CH 4 ) to the gas mixture. In general, most C 1 -C 4 hydrocarbons can be used as carbon sources. Alternatively, organosilicon compounds such as organosilanes, organosiloxanes, organosilanols, and the like can serve as both silicon and carbon sources. Germanium compounds, such as germanium and organogermane, may serve as germanium sources in addition to silicon and germanium containing compounds, such as silyl germanes or germyl silanes. Oxygen gas (O 2 ) can serve as an oxygen source. Other oxygen sources include oxides of nitrogen (nitrous oxide - N 2 O, nitric oxide - NO, dinitrogen trioxide - N 2 O 3 , nitrogen dioxide - NO 2 , dinitrogen tetroxide - N 2 O 4 , dinitrogen pentoxide - N 2 O 5 and nitrogen trioxide - NO 3 ) , Hydrogen peroxide (H 2 O 2 ), carbon monoxide or dioxide (CO or CO 2 ), ozone (O 3 ), oxygen atoms, oxygen radicals and alcohols (ROH, where R is any organic or heteroorganic radical group). Nitrogen sources may include nitrogen gas (N 2 ), ammonia (NH 3 ), hydrazine (N 2 H 2 ), amines (R x NR ' 3-x , where x is 0 to 3, and R and R' are each independently one are any organic or heteroorganic radical group), amides ((RCO) x NR ' 3-x , where x is 0 to 3 and R and R' are each independently any organic or heteroorganic radical group), imides (RCONCOR ', where R and R 'independently of one another are each an organic or heteroorganic radical group), enamines (R 1 R 2 C =CR 3 NR 4 R 5 , wherein R 1 -R 5 independently of one another are each an organic or heteroorganic radical group) and nitrogen atoms and be radical.

Um den Umwandlungswirkungsgrad zu erhöhen und den Kontaktwiderstand zu verringern, kann eine Schnittstellenschicht an der Schnittstelle der TCO-Schicht 104 und der p-Silizium enthaltenden Schicht 106 gebildet werden. 2 zeigt ein Beispiel einer Schnittstellenschicht 202, die zwischen der TCO-Schicht 104 und der p-Silizium enthaltenden Schicht 106 angeordnet ist. Die Schnittstellenschicht 202 stellt eine gute Schnittstelle bereit, welche die Haftung zwischen den darauf ausgebildeten Filmen und dem TCO-Substrat verbessern kann. Bei einigen Ausführungsformen kann die Schnittstellenschicht 202 eine hoch dotierte oder degenerativ dotierte Silizium enthaltende Schicht sein, die gebildet wird, indem Dotierverbindungen mit hohen Geschwindigkeiten zugeführt werden, zum Beispiel mit Geschwindigkeiten im oberen Bereich der oben beschriebenen Rezepturen. Es ist zu vermuten, dass eine degenerative Dotierung die Ladungssammlung verbessert, indem sie Kontaktübergänge mit niedrigem Widerstand bereitstellt. Es ist außerdem anzunehmen, dass eine degenerative Dotierung die Leitfähigkeit einiger Schichten verbessert, wie etwa von amorphen Schichten.In order to increase the conversion efficiency and reduce the contact resistance, an interface layer may be formed at the interface of the TCO layer 104 and the p-type silicon-containing layer 106 be formed. 2 shows an example of an interface layer 202 that exist between the TCO layer 104 and the p-type silicon-containing layer 106 is arranged. The interface layer 202 provides a good interface that can improve the adhesion between the films formed thereon and the TCO substrate. In some embodiments, the interface layer 202 may be a highly doped or degeneratively doped silicon-containing layer formed by delivering doping compounds at high rates, for example, at speeds in the upper range of the formulations described above. It is believed that degenerative doping improves charge collection by providing low resistance contact junctions. It is also believed that degenerative doping improves the conductivity of some layers, such as amorphous layers.

Bei einer Ausführungsform ist die Schnittstellenschicht 202 eine degenerativ dotierte amorphe p-Siliziumschicht (eine hoch dotierte amorphe p-Siliziumschicht, p++-Siliziumschicht). Die degenerativ (z. B. hoch) dotierte amorphe p++-Siliziumschicht 202 weist eine höhere Dotierkonzentration von Elementen der Gruppe III auf, als die p-Silizium enthaltende Schicht 106. Die degenerativ (z. B. hoch) dotierte amorphe p++-Siliziumschicht 202 weist eine Dotierkonzentration auf, äquivalent zu einer Schicht, die unter Verwendung von TMB und Silan bei einem Volumenstromverhältnis des Gemisches zwischen etwa 2:1 und etwa 6:1 bei einem Druck zwischen etwa 2 und etwa 2,5 Torr gebildet wird, wobei der Vorläufer von TMB eine molare Konzentration oder Volumenkonzentration von TMB von etwa 0,5% umfasst. Die degenerativ (z. B. hoch) dotierte amorphe p++-Siliziumschicht 202 wird bei einer Plasmaleistung zwischen etwa 45 Milliwatt/cm2 (2400 Watt) und etwa 91 Milliwatt/cm2 (4800 Watt) ausgebildet. In einem Beispiel kann die degenerativ dotierte amorphe p++-Siliziumschicht 202 gebildet werden, indem Silan mit einer Durchflussgeschwindigkeit zwischen etwa 2,1 sccm/l (z. B. 6000 sccm) und etwa 3,1 sccm/l (z. B. 9000 sccm), Wasserstoffgas mit einer solchen Durchflussgeschwindigkeit, dass das Mischungsverhältnis von Wasserstoffgas zu Silangas etwa 6,0 beträgt, und ein Dotierstoffvorlaufer mit einem Volumenstromverhältnis des Gemisches von TMB-Gas (z. B. molare Konzentration oder Volumenkonzentration von TMB von etwa 0,5%) zu Silangas von 6:1 zugeführt wird, während die Substratträgertemperatur bei etwa 200°C gehalten wird, die Plasmaleistung so gesteuert wird, dass sie etwa 57 Milliwatt/cm2 (3287 Watt) beträgt, und der Kammerdruck etwa 2–10 Sekunden bei etwa 2,5 Torr gehalten wird, um einen Film von etwa 10–50 Å, wie etwa einen Film von 20 Å, zu bilden. Bei einer Ausführungsform weist die hoch dotierte amorphe Siliziumschicht 202 eine in der amorphen Siliziumschicht gebildete Dotierkonzentration von Elementen der Gruppe III zwischen etwa 1020 Atomen/cm3 und etwa 1021 Atomen/cm3 auf.In one embodiment, the interface layer is 202 a degeneratively doped p-type amorphous silicon layer (a highly doped p-type amorphous silicon layer, p ++ silicon layer). The degenerative (eg high) doped amorphous p ++ silicon layer 202 has a higher doping concentration of Group III elements than the p-type silicon containing layer 106 , The degenerative (eg high) doped amorphous p ++ silicon layer 202 has a doping concentration equivalent to a layer formed using TMB and silane at a volumetric flow ratio of the mixture of between about 2: 1 and about 6: 1 at a pressure between about 2 and about 2.5 torr, the precursor of TMB comprises a molar concentration or volume concentration of TMB of about 0.5%. The degenerative (eg high) doped amorphous p ++ silicon layer 202 is formed at a plasma power of between about 45 milliwatts / cm 2 (2400 watts) and about 91 milliwatts / cm 2 (4800 watts). In one example, the degeneratively doped amorphous p ++ silicon layer 202 Formed by adding silane at a flow rate between about 2.1 sccm / l (eg 6000 sccm) and about 3.1 sccm / l (eg 9000 sccm), hydrogen gas at such a flow rate that the mixing ratio from hydrogen gas to silane gas is about 6.0, and a dopant precursor having a volume flow ratio of the mixture of TMB gas (eg, molar concentration or volume concentration of TMB of about 0.5%) to silane gas of 6: 1 is supplied during the substrate support temperature is maintained at about 200 ° C, the plasma power is controlled to be about 57 milliwatts / cm 2 (3287 watts), and the chamber pressure is maintained at about 2.5 torr for about 2-10 seconds to form a film of about 10-50 Å, such as a film of 20 Å. In one embodiment, the highly doped amorphous silicon layer 202 a doping concentration of Group III elements formed in the amorphous silicon layer between about 1020 atoms / cm 3 and about 10 21 atoms / cm 3 .

Bei einer Ausführungsform ist die Schnittstellenschicht 202 eine degenerativ dotierte amorphe p-Siliziumkarbidschicht (eine hoch dotierte amorphe p-Siliziumkarbidschicht, p++-Schicht). Die Kohlenstoffelemente können bereitgestellt werden, indem während der Bildung der hoch dotierten amorphen p-Siliziumkarbidschicht 202 dem Gasgemisch ein Kohlenstoff enthaltendes Gas zugeführt wird. Bei einer Ausführungsform kann die Zugabe von Methan oder anderen kohlenstoffhaltigen Verbindungen, wie zum Beispiel CH4, C3H8, C4H10 oder C2H2, verwendet werden, um eine hoch dotierte amorphe p-Siliziumkarbidschicht 202 zu bilden, welche weniger Licht absorbiert, als andere Silizium enthaltende Materialien. Es wird angenommen, dass die Einbringung der Kohlenstoffatome in die Schnittstellenschicht 202 die Lichtdurchlässigkeit der Schnittstellenschicht 202 verbessern kann, so dass während der Übertragung durch die Filmschichten weniger Licht absorbiert oder verbraucht wird, wodurch der Umwandlungswirkungsgrad der Solarzelle verbessert wird. Bei einer Ausführungsform wird die Kohlenstoffkonzentration in der hoch dotierten amorphen p-Siliziumkarbidschicht 202 so gesteuert, dass sie zwischen etwa 1 Atomprozent und etwa 50 Atomprozent beträgt. Bei einer Ausführungsform hat die amorphe p-Siliziumkarbidschicht 202 der Schnittstelle eine Dicke zwischen etwa 20 Å und etwa 300 Å, wie zum Beispiel zwischen etwa 10 Å und etwa 200 Å, zum Beispiel zwischen etwa 20 Å und etwa 100 Å.In one embodiment, the interface layer is 202 a degeneratively doped amorphous p-type silicon carbide layer (a highly doped amorphous p-type silicon carbide layer, p ++ layer). The carbon elements may be provided by forming during the formation of the highly doped p-type amorphous silicon carbide layer 202 the gas mixture is supplied with a carbon-containing gas. In one embodiment, the addition of methane or other carbonaceous compounds, such as CH 4 , C 3 H 8 , C 4 H 10, or C 2 H 2 , may be used to form a highly doped p-type silicon carbide layer 202 which absorbs less light than other silicon-containing materials. It is believed that the introduction of the carbon atoms into the interface layer 202 the light transmission of the interface layer 202 can improve, so that during the transmission through the film layers less light is absorbed or consumed, whereby the conversion efficiency of the solar cell is improved. In one embodiment, the carbon concentration in the highly doped p-type amorphous silicon carbide layer 202 controlled to be between about 1 atomic percent and about 50 atomic percent. In one embodiment, the amorphous p-type silicon carbide layer 202 the interface has a thickness between about 20 Å and about 300 Å, such as between about 10 Å and about 200 Å, for example between about 20 Å and about 100 Å.

Bei der in 2 dargestellten speziellen Ausführungsform kann, da die Schnittstellenschicht 202 so ausgebildet ist, dass sie eine hoch dotierte amorphe p-Siliziumschicht oder eine hoch dotierte amorphe p-Siliziumkarbidschicht ist, die p-Silizium enthaltende Schicht 106 so ausgebildet sein, dass sie eine amorphe p-Siliziumschicht oder eine amorphe p-Siliziumkarbidschicht (eine amorphe Siliziumlegierungsschicht) ist, um verschiedenen Prozessanforderungen zu genügen. Bei einer Ausführungsform ist die Schnittstellenschicht 202 eine hoch dotierte amorphe p-Siliziumschicht, und die p-Silizium enthaltende Schicht 106 ist eine amorphe p-Siliziumschicht oder eine amorphe p-Siliziumkarbidschicht. Bei einer anderen Ausführungsform ist die Schnittstellenschicht 202 eine hoch dotierte amorphe p-Siliziumkarbidschicht, und die p-Silizium enthaltende Schicht 106 ist eine amorphe p-Siliziumkarbidschicht.At the in 2 shown special embodiment, since the interface layer 202 is formed so that it is a highly doped p-type amorphous silicon layer or a highly doped p-type amorphous silicon carbide layer, the p-type silicon-containing layer 106 may be formed to be an amorphous p-type silicon layer or an amorphous p-type silicon carbide layer (an amorphous silicon alloy layer) to satisfy various process requirements. In one embodiment, the interface layer is 202 a highly doped amorphous p-type silicon layer, and the p-type silicon-containing layer 106 is an amorphous p-type silicon layer or an amorphous p-type silicon carbide layer. In another embodiment, the interface layer is 202 a highly doped amorphous p-type silicon carbide layer, and the p-type silicon-containing layer 106 is an amorphous p-type silicon carbide layer.

Ferner kann eine wellenlängenselektiv reflektierende Schicht (wavelength selective reflector layer, WSR-Schicht) 206 zwischen dem ersten p-i-n-Übergang 212 und dem zweiten p-i-n-Übergang 214 angeordnet sein. Die WSR-Schicht 206 ist so ausgebildet, dass sie Filmeigenschaften aufweist, welche die Lichtstreuung und die Stromerzeugung in der gebildeten Solarzelle 100 verbessern. Außerdem kann die WSR-Schicht 206 auch einen guten p-n-Tunnelübergang gewährleisten, welcher eine hohe elektrische Leitfähigkeit und einen maßgeschneiderten Bandlückenbereich aufweist, der ihre Durchlässigkeits- und Reflexionseigenschaften dahingehend beeinflusst, dass der Wirkungsgrad der Lichtumwandlung der gebildeten Solarzelle verbessert wird. Die WSR-Schicht 206 kann aktiv als ein Zwischenreflektor dienen, der einen gewünschten Brechungsindex oder Bereiche von Brechungsindizes aufweist, um Licht zu reflektieren, das von der Lichteinfallseite der Solarzelle 100 empfangen wird. Die WSR-Schicht 206 kann außerdem als eine Übergangsschicht dienen, welche die Absorption der kurzen bis mittleren Wellenlängen des Lichtes (z. B. 280 nm bis 800 nm) in dem ersten p-i-n-Übergang 212 verstärkt und den Kurzschlussstrom verbessert, was eine erhöhte Photonenausbeute und einen verbesserten Umwandlungswirkungsgrad zur Folge hat. Die WSR-Schicht 206 weist ferner eine hohe Filmtransparenz für mittlere bis lange Wellenlängen von Licht (z. B. 500 nm bis 1100 nm) auf, um die Transmission von Licht zu den in dem zweiten Übergang 214 ausgebildeten Schichten zu erleichtern. Ferner ist es im Allgemeinen wünschenswert, dass die WSR-Schicht 206 möglichst wenig Licht absorbiert, während sie wünschenswerte Lichtwellenlängen (z. B. kürzere Wellenlängen) zurück zu den Schichten in dem ersten p-i-n-Übergang 212 reflektiert und wünschenswerte Lichtwellenlängen (z. B. längere Wellenlängen) zu den Schichten in dem zweiten p-i-n-Übergang 214 überträgt. Außerdem kann die WSR-Schicht 206 eine wünschenswerte Bandlücke und eine hohe Filmleitfähigkeit aufweisen, so dass sie den erzeugten Strom wirksam leitet und den Elektronen ermöglicht, von dem ersten p-i-n-Übergang 212 zu dem zweiten p-i-n-Übergang 214 zu fließen, und ein Blockieren des erzeugten Stroms vermeidet. Bei einer Ausführungsform kann die WSR-Schicht 206 eine mikrokristalline Siliziumschicht sein, bei der n- oder p-Dotierstoffe innerhalb der WSR-Schicht 206 angeordnet sind. Bei einer beispielhaften Ausführungsform ist die WSR-Schicht 206 eine kristalline Siliziumlegierung vom n-Typ, bei der n-Dotierstoffe innerhalb der WSR-Schicht 206 angeordnet sind. Unterschiedliche Dotierstoffe, die in der WSR-Schicht 206 angeordnet sind, können auch die optischen und elektrischen Eigenschaften der WSR-Filmschicht beeinflussen, wie etwa die Bandlücke, den kristallinen Anteil, die Leitfähigkeit, die Durchlässigkeit, den Brechungsindex des Films, den Extinktionskoeffizienten und Ähnliches. In manchen Fällen können ein oder mehrere Dotierstoffe in verschiedene Bereiche der WSR-Schicht 206 dotiert sein, um die Bandlücke des Films, die Arbeitsfunktion(en), die Leitfähigkeit, die Durchlässigkeit und so werter wirksam zu steuern und anzupassen. Bei einer Ausführungsform wird die WSR-Schicht 206 so gesteuert, dass sie einen Brechungsindex zwischen etwa 1,4 und etwa 4, eine Bandlücke von mindestens etwa 2 eV und eine Leitfähigkeit, die größer als etwa 10–6 S/cm ist, aufweist. Furthermore, a wavelength selective reflective layer (WSR layer) may be used. 206 between the first pin transition 212 and the second pin junction 214. The WSR layer 206 is formed so as to have film properties which the light scattering and the power generation in the formed solar cell 100 improve. In addition, the WSR layer 206 Also, to ensure a good pn tunnel junction, which has a high electrical conductivity and a tailor-made bandgap area, which affects their transmission and reflection properties in such a way that the efficiency of light conversion of the solar cell formed is improved. The WSR layer 206 may actively serve as an intermediate reflector having a desired refractive index or ranges of refractive indices to reflect light from the light incident side of the solar cell 100 Will be received. The WSR layer 206 may also serve as a transition layer which measures the absorption of the short to medium wavelengths of light (e.g., 280 nm to 800 nm) in the first pin junction 212 amplifies and improves the short circuit current, resulting in increased photon yield and improved conversion efficiency. The WSR layer 206 also has high film transparency for medium to long wavelengths of light (eg, 500 nm to 1100 nm) to reduce the transmission of light to those in the second transition 214 to facilitate trained layers. Furthermore, it is generally desirable that the WSR layer 206 absorbs as little light as possible while maintaining desirable wavelengths of light (eg, shorter wavelengths) back to the layers in the first pin junction 212 reflect and desirable wavelengths of light (eg, longer wavelengths) to the layers in the second pin junction 214 transfers. In addition, the WSR layer 206 have a desirable band gap and high film conductivity so that it effectively conducts the generated current and allows electrons from the first pin junction 212 to the second pin transition 214 to flow, and avoids blocking the generated electricity. In one embodiment, the WSR layer 206 a microcrystalline silicon layer in which n- or p-type dopants within the WSR layer 206 are arranged. In an exemplary embodiment, the WSR layer is 206 an n-type crystalline silicon alloy in which n-type dopants are contained within the WSR layer 206 are arranged. Different dopants in the WSR layer 206 can also affect the optical and electrical properties of the WSR film layer, such as the bandgap, the crystalline fraction, the conductivity, the transmission, the refractive index of the film, the extinction coefficient, and the like. In some cases, one or more dopants may be in different areas of the WSR layer 206 be doped to effectively control and adjust the band gap of the film, the work function (s), the conductivity, the permeability and so werter. In one embodiment, the WSR layer becomes 206 controlled to have a refractive index between about 1.4 and about 4, a bandgap of at least about 2 eV, and a conductivity greater than about 10 -6 S / cm.

Bei einer Ausführungsform kann die WSR-Schicht 206 eine n-dotierte Siliziumlegierungsschicht umfassen, wie etwa Siliziumoxid (SiOX, SiO2), Siliziumkarbid (SiC), Siliziumoxynitrid (SiON), Siliziumnitrid (SiN), Siliziumkarbonitrid (SiCN), Siliziumoxykarbid (SiOC), Siliziumoxykarbonitrid (SiOCN) oder Ähnliches. Bei einer beispielhaften Ausführungsform ist die WSR-Schicht 206 eine n-dotierte SiON- oder SiC-Schicht.In one embodiment, the WSR layer 206 an n-doped silicon alloy layer such as silicon oxide (SiO x , SiO 2 ), silicon carbide (SiC), silicon oxynitride (SiON), silicon nitride (SiN), silicon carbonitride (SiCN), silicon oxy carbide (SiOC), silicon oxycarbonitride (SiOCN) or the like. In an exemplary embodiment, the WSR layer is 206 an n-doped SiON or SiC layer.

Ferner kann zwischen der p-Silizium enthaltenden Schicht 112 und der intrinsisches Silizium enthaltenden Schicht 114 in dem zweiten p-i-n-Übergang 214 optional eine intrinsische amorphe Puffersiliziumschicht vom p-i-Typ (p-i-buffer, PIB) 208 ausgebildet sein. Es wird angenommen, dass die PIB-Schicht 208 wirksam Übergangsfilmeigenschaften zwischen den Filmschichten gewährleisten kann, so dass der Gesamt-Umwandlungswirkungsgrad verbessert wird. Bei einer Ausführungsform kann die PIB-Schicht 208 abgeschieden werden, indem ein Gasgemisch von Wasserstoffgas und Silangas mit einem Volumenstromverhältnis von etwa 50:1 oder weniger, zum Beispiel von weniger als etwa 30:1, zum Beispiel zwischen etwa 20:1 und etwa 30:1, wie etwa 25:1, zugeführt wird. Silangas kann mit einer Durchflussgeschwindigkeit zwischen etwa 0,5 sccm/l und etwa 5 sccm/l, wie zum Beispiel etwa 2,3 sccm/l, zugeführt werden. Wasserstoffgas kann mit einer Durchflussgeschwindigkeit zwischen etwa 5 sccm/l und 80 sccm/l, wie zum Beispiel zwischen etwa 20 sccm/l und etwa 65 sccm/l, zum Beispiel etwa 57 sccm/l, zugeführt werden. Dem Showerhead kann HF-Energie zwischen 15 mW/cm2 und etwa 250 mW/cm2, wie etwa 30 mW/cm2, zugeführt werden. Der Druck der Kammer kann zwischen etwa 0,1 Torr und 20 Torr gehalten werden, wie zum Beispiel zwischen etwa 0,5 Torr und etwa 5 Torr, oder bei etwa 3 Torr. Die Abscheidungsgeschwindigkeit der PIB-Schicht 206 kann etwa 100 Å/min oder mehr betragen.Further, between the p-silicon-containing layer 112 and the intrinsic silicon-containing layer 114 in the second pin transition 214 optionally an intrinsic pi-type amorphous buffer silicon layer (pi-buffer, PIB) 208 be educated. It is believed that the PIB layer 208 can effectively ensure transfer film properties between the film layers, so that the overall conversion efficiency is improved. In one embodiment, the PIB layer 208 by adding a gas mixture of hydrogen gas and silane gas having a volumetric flow ratio of about 50: 1 or less, for example less than about 30: 1, for example between about 20: 1 and about 30: 1, such as 25: 1; is supplied. Silane gas may be supplied at a flow rate between about 0.5 sccm / l and about 5 sccm / l, such as about 2.3 sccm / l. Hydrogen gas may be supplied at a flow rate between about 5 sccm / l and 80 sccm / l, such as between about 20 sccm / l and about 65 sccm / l, for example, about 57 sccm / l. The showerhead can be supplied with RF energy between 15 mW / cm 2 and about 250 mW / cm 2 , such as 30 mW / cm 2 . The pressure of the chamber may be maintained between about 0.1 Torr and 20 Torr, such as between about 0.5 Torr and about 5 Torr, or at about 3 Torr. The deposition rate of the PIB layer 206 may be about 100 Å / min or more.

Ferner kann eine degenerativ dotierte amorphe n-Siliziumschicht 210 primär als die hoch dotierte amorphe n-Siliziumschicht ausgebildet sein, um einen verbesserten ohmschen Kontakt mit der zweiten TCO-Schicht 118 zu gewährleisten. Bei einer Ausführungsform weist die hoch dotierte amorphe n-Siliziumschicht 210 eine Dotierstoffkonzentration zwischen etwa 1020 Atomen pro Kubikzentimeter und etwa 1021 Atomen pro Kubikzentimeter auf.Furthermore, a degeneratively doped amorphous n-type silicon layer 210 may be formed primarily as the highly doped n-type amorphous silicon layer for improved ohmic contact with the second TCO layer 118 to ensure. In one embodiment, the highly doped amorphous n-type silicon layer 210 a dopant concentration between about 10 20 atoms per cubic centimeter and about 10 21 atoms per cubic centimeter.

3 zeigt eine vergrößerte Ansicht einer anderen Ausführungsform einer Schnittstellenschicht, die zwischen der TCO-Schicht 104 und der p-Silizium enthaltenden Schicht 106 angeordnet ist. Zusätzlich zu der Schnittstellenschicht 202, die in Verbindung mit 2 beschrieben wurde, kann eine zweite Schnittstellenschicht 304 zwischen der ersten Schnittstellenschicht 202 und der p-Silizium enthaltenden Schicht 106 angeordnet sein. Die zweite Schnittstellenschicht 304 kann eine Filmeigenschaft aufweisen, die von der ersten Schnittstellenschicht 202 verschieden ist, um gewisse elektrische Eigenschaften zu kompensieren, welche die erste Schnittstellenschicht 202 nicht vollständig bereitstellt. Zum Beispiel hat ein Film, welcher eine höhere Leitfähigkeit aufweist, oft eine vergleichsweise geringere Filmtransparenz, wodurch die Lichtmenge, die ihn auf dem Weg zu den Solarzellen-Übergängen passiert, auf ungünstige Weise absorbiert oder reduziert werden kann, oder umgekehrt. Durch Verwendung dieser zweifachen Schichtkonfiguration wird ermöglicht, dass eine größere Menge von Licht mit unterschiedlichen Wellenlängen diese passiert und zu dem ersten Übergang 212 und weiter zu dem zweiten Übergang 214 gelangt, wobei gleichzeitig eine gewünschte Filmleitfähigkeit aufrechterhalten wird, so dass eine größere Menge Strom erzeugt wird. 3 shows an enlarged view of another embodiment of an interface layer between the TCO layer 104 and the p-type silicon-containing layer 106 is arranged. In addition to the interface layer 202 that in conjunction with 2 may be described, a second interface layer 304 between the first interface layer 202 and the p-type silicon-containing layer 106 be arranged. The second interface layer 304 may have a film property that is different from the first interface layer 202 is different to compensate for certain electrical properties that the first interface layer 202 not completely ready. For example, a film that has higher conductivity often has comparatively lower film transparency, which may adversely absorb or reduce the amount of light passing through it on its way to the solar cell junctions, or vice versa. Using this dual layer configuration allows a greater amount of light of different wavelengths to pass through and to the first transition 212 and on to the second transition 214 passes, while maintaining a desired film conductivity, so that a larger amount of electricity is generated.

Bei einer Ausführungsform ist die zweite Schnittstellenschicht 304 eine amorphe p-Siliziumschicht mit einer ähnlichen Dotierstoffkonzentration von Elementen der Gruppe III wie bei der p-Silizium enthaltenden Schicht 106, jedoch von einem anderen Filmtyp (z. B. mit anderen Dotierstoffen oder Legierungselementen) wie diese. Zum Beispiel, wenn die p-Silizium enthaltende Schicht 106 als eine amorphe p-Siliziumschicht ausgebildet ist, kann die zweite Schnittstellenschicht 304 als eine amorphe p-Siliziumkarbidschicht ausgebildet sein. Die erste Schnittstellenschicht 202 kann entweder eine hoch dotierte amorphe p-Siliziumschicht sein, oder eine hoch dotierte amorphe p-Siliziumkarbidschicht. Die zweite Schnittstellenschicht 304 kann so ausgebildet sein, dass sie eine Konzentration von p-Dotierstoff aufweist, die niedriger ist als diejenige der ersten Schnittstellenschicht 202 (d. h. der hoch dotierten p-Schicht), jedoch ähnlich derjenigen der p-Silizium enthaltenden Schicht 106. Bei der in 3 dargestellten Ausführungsform ist die erste Schnittstellenschicht 202 eine hoch dotierte amorphe Siliziumkarbidschicht mit einer Dicke zwischen etwa 10 Å und etwa 200 Å, und die zweite Schnittstellenschicht ist eine amorphe p-Siliziumkarbidschicht mit einer Dicke zwischen etwa 50 Å und etwa 200 Å. Die p-Silizium enthaltende Schicht 106 ist eine amorphe p-Siliziumschicht.In one embodiment, the second interface layer is 304 an amorphous p-type silicon layer having a similar dopant concentration of Group III elements as in the p-type silicon-containing layer 106 but of a different type of film (eg with other dopants or alloying elements) like these. For example, if the p-silicon-containing layer 106 is formed as an amorphous p-type silicon layer, the second interface layer 304 be formed as an amorphous p-type silicon carbide. The first interface layer 202 may be either a highly doped p-type amorphous silicon layer or a highly doped p-type silicon carbide p-type layer. The second interface layer 304 may be formed to have a concentration of p-type dopant lower than that of the first interface layer 202 (ie, the highly doped p-type layer), but similar to that of the p-type silicon-containing layer 106 , At the in 3 The illustrated embodiment is the first interface layer 202 a high doped amorphous silicon carbide layer having a thickness between about 10 Å and about 200 Å, and the second interface layer is an amorphous p-type silicon carbide layer having a thickness between about 50 Å and about 200 Å. The p-type silicon-containing layer 106 is an amorphous p-type silicon layer.

4 zeigt eine andere Ausführungsform einer Schnittstellenstruktur mit mehreren Schichten, die zwischen der TCO-Schicht 104 und der p-Silizium enthaltenden Schicht 106 ausgebildet sind. Zusätzlich zu der in den 23 dargestellten Schnittstellenschicht 202 kann eine weitere TCO-Schicht 302 zwischen der unteren TCO-Schicht 104 und der Schnittstellenschicht 202 eingefügt sein. Bei einer Ausführungsform kann, während die untere TCO-Schicht 104 als eine Zinnoxidschicht (SnO2) ausgebildet sein kann, die zusätzliche TCO-Schicht 302 als eine Zinkoxidschicht (ZnO) ausgebildet sein. Es wird angenommen, dass die zusätzliche TCO-Schicht 302 einen besseren chemischen Widerstand gegenüber der Plasmabehandlung gewährleistet, die später durchgeführt wird, um nachfolgende Schichten darauf auszubilden. Ein guter chemischer Widerstand der zusätzlichen TCO-Schicht 302 aus ZnO ermöglicht eine gute Steuerung der Oberflächenstruktur während der Durchführung eines Plasma- oder Ätzverfahrens, wodurch die Fähigkeit zum Lichteinfang (Light Trapping) verbessert wird. Ferner kann die zusätzliche TCO-Schicht 302 auch eine hohe Filmtransparenz, einen niedrigen spezifischen Filmwiderstand und eine hohe Filmleitfähigkeit bewirken, so dass ein hoher Umwandlungswirkungsgrad für die Solarzellen mit Übergang, die später darauf ausgebildet werden, aufrechterhalten wird. Dementsprechend können, wenn die zusätzliche TCO-Schicht 302 auf der unteren TCO-Schicht 104 gebildet wird, diese Filmeigenschaften auf eine Weise gesteuert werden, welche den Umwandlungswirkungsgrad verbessern, den spezifischen Kontaktwiderstand verringern und einen hohen chemischen Widerstand gegen das Plasma sowie eine gute Oberflächenstruktur, die für das Einfangen von Licht erwünscht ist, gewährleisten kann. 4 FIG. 12 shows another embodiment of an interface structure having multiple layers sandwiched between the TCO layer. FIG 104 and the p-type silicon-containing layer 106 are formed. In addition to the in the 2 - 3 illustrated interface layer 202 can be another TCO layer 302 between the lower TCO layer 104 and the interface layer 202 be inserted. In one embodiment, while the lower TCO layer 104 as a tin oxide layer (SnO 2 ) may be formed, the additional TCO layer 302 be formed as a zinc oxide layer (ZnO). It is believed that the additional TCO layer 302 ensures better chemical resistance to the plasma treatment, which is later performed to form subsequent layers thereon. Good chemical resistance of the additional TCO layer 302 ZnO allows good control of the surface structure while performing a plasma or etching process, thereby improving light trapping ability. Furthermore, the additional TCO layer 302 Also, high film transparency, low film resistivity, and high film conductivity are effective to maintain a high conversion efficiency for the transitional solar cells formed later on. Accordingly, if the additional TCO layer 302 on the lower TCO layer 104 These film properties are controlled in a manner which can improve conversion efficiency, reduce the specific contact resistance and can ensure a high chemical resistance to the plasma as well as a good surface texture desired for the capture of light.

Bei einer Ausführungsform kann die zusätzliche TCO-Schicht 302 eine Zinkoxidschicht (ZnO) sein, die eine Dotierstoffkonzentration von Zink zwischen etwa 5 Gewichtsprozent und etwa 5 Gewichtsprozent aufweist. Die zusätzliche TCO-Schicht 302 kann eine Dotierstoffkonzentration von Aluminium zwischen etwa 5 Gewichtsprozent und etwa 5 Gewichtsprozent aufweisen. Die zusätzliche TCO-Schicht 302 kann eine Dicke aufweisen, die zwischen etwa 50 Å und etwa 500 Å gesteuert wird. Die ZnO-Schicht 302 kann durch eine chemische Dampfphasenabscheidung (chemical vapor deposition, CVD), eine physikalische Dampfphasenabscheidung (physical vapor deposition, PVD) oder beliebige andere geeignete Abscheideverfahren gebildet werden.In one embodiment, the additional TCO layer 302 a zinc oxide layer (ZnO) having a dopant concentration of zinc between about 5% and about 5% by weight. The additional TCO layer 302 may have a dopant concentration of aluminum between about 5 percent by weight and about 5 percent by weight. The additional TCO layer 302 may have a thickness controlled between about 50 Å and about 500 Å. The ZnO layer 302 can be formed by chemical vapor deposition (CVD), physical vapor deposition (PVD), or any other suitable deposition technique.

Nach dem Ausbilden der zusätzlichen TCO-Schicht 302 auf der unteren TCO-Schicht 104 können die Schnittstellenschicht 202 und die p-Silizium enthaltende Schicht 106 nacheinander darauf ausgebildet werden, um die gewünschten Übergänge zu bilden. Bei einer beispielhaften Ausführungsform ist die Schnittstellenschicht 202 eine hoch dotierte amorphe Siliziumschicht, und die p-Silizium enthaltende Schicht 106 ist eine p-Siliziumkarbidschicht.After forming the additional TCO layer 302 on the lower TCO layer 104 can be the interface layer 202 and the p-type silicon-containing layer 106 successively formed to form the desired transitions. In an exemplary embodiment the interface layer 202 a highly doped amorphous silicon layer, and the p-type silicon-containing layer 106 is a p-type silicon carbide layer.

5 zeigt eine andere Ausführungsform einer Schnittstellenstruktur, die zwischen der TCO-Schicht 104 und der p-Silizium enthaltenden Schicht 106 ausgebildet ist. Eine zusätzliche TCO-Schicht 302, ähnlich der in 4 dargestellten zusätzlichen TCO-Schicht 302, ist auf der unteren TCO-Schicht 104 angeordnet. Anschließend ist die p-Silizium enthaltende Schicht 106 auf der zusätzlichen TCO-Schicht 302 angeordnet. Bei dieser speziellen Ausführungsform kann die p-Silizium enthaltende Schicht 106 als eine mikrokristalline/nanokristalline p-Silizium- oder p-Siliziumkarbidschicht ausgebildet sein. Es ist anzumerken, dass eine nanokristalline Siliziumschicht eine Korngröße von etwa 300 Å oder weniger aufweist und eine mikrokristalline Siliziumschicht eine Korngröße von etwa 300 Å oder mehr aufweist. Es wird angenommen, dass eine mikrokristalline p-Siliziumschicht oder nanokristalline p-Siliziumschicht im Vergleich zu einer amorphen p-Siliziumschicht einen niedrigeren Kontaktwiderstand aufweisen kann. Bei der in 5 dargestellten beispielhaften Ausführungsform Ist die TCO-Schicht 104 eine Zinnoxid (SnO2) enthaltende TCO-Schicht. Die zusätzliche TCO-Schicht 302 ist eine Zinkoxid (ZnO) enthaltende TCO-Schicht, und die p-Silizium enthaltende Schicht 106 ist eine nanokristalline p-Siliziumkarbidschicht. 5 shows another embodiment of an interface structure between the TCO layer 104 and the p-type silicon-containing layer 106 is trained. An additional TCO layer 302 , similar to the one in 4 shown additional TCO layer 302 , is on the lower TCO layer 104 arranged. Subsequently, the p-silicon-containing layer 106 on the additional TCO layer 302 arranged. In this particular embodiment, the p-type silicon containing layer 106 be formed as a microcrystalline / nanocrystalline p-type silicon or p-type silicon carbide. It should be noted that a nanocrystalline silicon layer has a grain size of about 300 Å or less, and a microcrystalline silicon layer has a grain size of about 300 Å or more. It is believed that a microcrystalline p-type silicon layer or n-type p-type silicon crystalline layer may have lower contact resistance as compared with an amorphous p-type silicon layer. At the in 5 Illustrated exemplary embodiment is the TCO layer 104 a tin oxide (SnO 2) containing TCO layer. The additional TCO layer 302 is a zinc oxide (ZnO) -containing TCO layer, and the p-type silicon-containing layer 106 is a nanocrystalline p-type silicon carbide layer.

6 zeigt noch eine weitere Ausführungsform einer Schnittstellenstruktur, die zwischen der TCO-Schicht 104 und der p-Silizium enthaltenden Schicht 106 ausgebildet ist. Eine zusätzliche TCO-Schicht 302, ähnlich der in den 4-5 dargestellten zusätzlichen TCO-Schicht 302, ist auf der unteren TCO-Schicht 104 angeordnet. Anschließend ist eine Zwischen-Schnittstellenschicht 602 auf der zusätzlichen TCO-Schicht 302 angeordnet. Es wird angenommen, dass die Zwischen-Schnittstellenschicht 602 helfen kann, ein starkes elektrisches Feld zwischen der zusätzlichen TCO-Schicht 302 und der abzuscheidenden amorphen p-Siliziumschicht 106 aufzubauen, wodurch der Umwandlungswirkungsgrad der Solarzellen wirksam verbessert wird. Bei einer Ausführungsform ist die Zwischen-Schnittstellenschicht 602 eine mikrokristalline/nanokristalline p-Siliziumschicht oder mikrokristalline/nanokristalline p-Siliziumkarbidschicht mit einer Dicke zwischen etwa 10 Å und etwa 200 Å. Anschließend wird die p-Silizium enthaltende Schicht 106 auf der Zwischen-Schnittstellenschicht 602 angeordnet. Bei der in 6 dargestellten beispielhaften Ausführungsform ist die TCO-Schicht 104 eine Zinnoxid (SnO2) enthaltende TCO-Schicht. Die zusätzliche TCO-Schicht 302 ist eine Zinkoxid (ZnO) enthaltende TCO-Schicht. Die Zwischen-Schnittstellenschicht 602 ist eine mikrokristalline/nanokristalline p-Siliziumkarbidschicht, und die p-Silizium enthaltende Schicht 106 ist eine amorphe p-Siliziumkarbidschicht. 6 shows still another embodiment of an interface structure between the TCO layer 104 and the p-type silicon-containing layer 106 is trained. An additional TCO layer 302 , similar to the one in the 4 - 5 shown additional TCO layer 302 , is on the lower TCO layer 104 arranged. Subsequently, an intermediate interface layer 602 on the additional TCO layer 302 arranged. It is assumed that the intermediate interface layer 602 can help create a strong electric field between the extra TCO layer 302 and the amorphous p-type silicon layer to be deposited 106 thereby effectively improving the conversion efficiency of the solar cells. In one embodiment, the intermediate interface layer is 602 a microcrystalline / nanocrystalline p-type silicon layer or microcrystalline / nanocrystalline p-type silicon carbide layer having a thickness between about 10 Å and about 200 Å. Subsequently, the p-silicon-containing layer 106 on the intermediate interface layer 602 arranged. At the in 6 Illustrated exemplary embodiment is the TCO layer 104 a tin oxide (SnO 2) containing TCO layer. The additional TCO layer 302 is a zinc oxide (ZnO) containing TCO layer. The intermediate interface layer 602 is a microcrystalline / nanocrystalline p-type silicon carbide layer, and the p-type silicon-containing layer 106 is an amorphous p-type silicon carbide layer.

7 zeigt eine andere Ausführungsform einer Schnittstellenstruktur, die zwischen der TCO-Schicht 104 und der p-Silizium enthaltenden Schicht 106 ausgebildet ist. Bei dieser Ausführungsform kann eine dreifache Filmstruktur zwischen der TCO-Schicht 104 und der p-Silizium enthaltenden Schicht 106 ausgebildet sein. Die dreifache Filmstruktur enthält eine zusätzliche TCO-Schicht 302, eine erste Zwischenschicht 702 und eine zweite Zwischenschicht 704. Die zusätzliche TCO-Schicht ist der in den 46 dargestellten zusätzlichen TCO-Schicht 302 ähnlich. Es wird angenommen, dass eine siliziumbasierte Schicht (z. B. ohne Kohlenstoff Dotierstoffe) eine vergleichsweise höhere Leitfähigkeit aufweisen kann, während eine Siliziumlegierungsschicht (z. B. mit Kohlenstoff oder anderen Legierungs-Dotierstoffen) eine hohe Filmtransparenz aufweisen wird, welche ermöglichen kann, dass eine große Lichtmenge sie passiert und zu den Übergangs-Zellen gelangt. Dementsprechend können durch Verwendung der dreifachen Filmstruktur eine hohe Filmleitfähigkeit, eine hohe Filmtransparenz für einen hohen Umwandlungswirkungsgrad und ein niedriger spezifischer Kontaktwiderstand erzielt werden. Bei der in 7 dargestellten Ausführungsform kann die erste Zwischenschicht 702 eine mikrokristalline/nanokristalline p-Siliziumschicht mit einer Dicke zwischen etwa 10 Å und etwa 200 Å sein. Die zweite Zwischenschicht 704 kann eine mikrokristalline/nanokristalline p-Siliziumkarbidschicht mit einer Dicke zwischen etwa 40 Å und etwa 200 Å sein. Nach der dreifachen Filmstruktur kann die p-Silizium enthaltende Schicht 106 auf der dreifachen Filmstruktur ausgebildet werden. Bei einer Ausführungsform kann die auf der dreifachen Filmstruktur ausgebildete p-Silizium enthaltende Schicht 106 eine amorphe p-Siliziumkarbidschicht sein. 7 shows another embodiment of an interface structure between the TCO layer 104 and the p-type silicon-containing layer 106 is trained. In this embodiment, a triple film structure between the TCO layer 104 and the p-type silicon-containing layer 106 be educated. The triple film structure contains an additional TCO layer 302 , a first intermediate layer 702 and a second intermediate layer 704 , The additional TCO layer is the one in the 4 - 6 shown additional TCO layer 302 similar. It is believed that a silicon-based layer (eg, without carbon dopants) may have a relatively higher conductivity, while a silicon alloy layer (eg, with carbon or other alloy dopants) will have high film transparency, which may allow that a large amount of light passes through them and reaches the transitional cells. Accordingly, by using the triple film structure, high film conductivity, high film transparency for high conversion efficiency, and low specific contact resistance can be achieved. At the in 7 illustrated embodiment, the first intermediate layer 702 a microcrystalline / nanocrystalline p-type silicon layer having a thickness between about 10 Å and about 200 Å. The second intermediate layer 704 may be a microcrystalline / nanocrystalline p-type silicon carbide layer having a thickness between about 40 Å and about 200 Å. After the threefold film structure, the p-type silicon-containing layer 106 be formed on the triple film structure. In one embodiment, the p-type silicon containing layer formed on the triple film structure 106 be an amorphous p-type silicon carbide layer.

8 zeigt eine andere Ausführungsform einer Schnittstellenstruktur, die zwischen der TCO-Schicht 104 und einer p-Silizium enthaltenden Schicht 802 ausgebildet ist. Bei dieser speziellen Ausführungsform ist die TCO-Schicht 104 so ausgewählt, dass sie aus einer Zinkoxid (ZnO) enthaltenden Schicht hergestellt ist. Die darauf ausgebildete p-Silizium enthaltende Schicht 802 ist eine mikrokristalline/nanokristalline p-Siliziumkarbidschicht mit einer Dicke zwischen etwa 10 Å und etwa 200 Å. Es wird angenommen, dass die Verwendung einer auf ZnO basierenden TCO-Schicht 104 einen guten chemischen Widerstand während einer Plasmabehandlung zur Abscheidung der nachfolgenden Filmschichten gewährleisten kann. Alternativ dazu kann die TCO-Schicht 104 auch als eine n-dotierte Aluminium-Zinkoxid-(AZO-)Schicht ausgebildet sein. N-Dotierstoffe können Bor, Aluminium, Gallium und Ähnliches sein. Bei dieser speziellen Ausführungsform kann die ZnO enthaltende TCO-Schicht 104 eine Dicke zwischen etwa 100 Å und etwa 10000 Å aufweisen. 8th shows another embodiment of an interface structure between the TCO layer 104 and a p-silicon-containing layer 802 is trained. In this particular embodiment, the TCO layer is 104 is selected to be made of a zinc oxide (ZnO) -containing layer. The p-type silicon-containing layer formed thereon 802 is a microcrystalline / nanocrystalline p-type silicon carbide layer having a thickness between about 10 Å and about 200 Å. It is believed that the use of a ZnO-based TCO layer 104 can ensure a good chemical resistance during a plasma treatment for the deposition of the subsequent film layers. Alternatively, the TCO layer 104 also be formed as an n-doped aluminum-zinc oxide (AZO) layer. N-type dopants may be boron, aluminum, gallium and the like. In this particular embodiment, the ZnO-containing TCO layer 104 have a thickness between about 100 Å and about 10000 Å.

9 zeigt eine andere Ausführungsform einer Schnittstellenstruktur, die zwischen der TCO-Schicht 104 und der p-Silizium enthaltenden Schicht 106 ausgebildet ist. Bei dieser speziellen Ausführungsform kann die TCO-Schicht 104 so ausgewählt sein, dass sie aus einer Zinkoxid (ZnO) enthaltenden Schicht hergestellt ist, ähnlich wie die in Verbindung mit 8 beschriebene TCO-Schicht 104. Eine Schnittstellenschicht 602, wie etwa die in 6 dargestellte Zwischen-Schnittstellenschicht 602, wird anschließend auf der TCO-Schicht 104 angeordnet. Es wird angenommen, dass die Schnittstellenschicht 602 helfen kann, ein starkes elektrisches Feld zwischen der zusätzlichen TCO-Schicht 104 und der abzuscheidenden amorphen p-Siliziumschicht 106 aufzubauen, wodurch der Umwandlungswirkungsgrad der Solarzellen wirksam verbessert wird. Bei einer Ausführungsform ist die Schnittstellenschicht 602 eine mikrokristalline/nanokristalline p-Siliziumkarbidschicht mit einer Dicke zwischen etwa 10 Å und etwa 200 Å. Anschließend wird die p-Silizium enthaltende Schicht 106 auf der Schnittstellenschicht 602 angeordnet. Bei der in 9 dargestellten beispielhaften Ausführungsform ist die TCO-Schicht 104 eine Zinkoxid (ZnO) enthaltende TCO-Schicht, die Schnittstellenschicht 602 ist eine mikrokristalline/nanokristalline p-Siliziumkarbidschicht, und die p-Silizium enthaltende Schicht 106 ist eine amorphe p-Siliziumkarbidschicht. 9 shows another embodiment of an interface structure between the TCO layer 104 and the p-type silicon-containing layer 106 is trained. In this particular embodiment, the TCO layer 104 be selected so as to be made of a zinc oxide (ZnO) -containing layer similar to that used in conjunction with 8th described TCO layer 104 , An interface layer 602 , such as the in 6 illustrated intermediate interface layer 602 , then on top of the TCO layer 104 arranged. It is assumed that the interface layer 602 can help create a strong electric field between the extra TCO layer 104 and the amorphous p-type silicon layer to be deposited 106 thereby effectively improving the conversion efficiency of the solar cells. In one embodiment, the interface layer is 602 a microcrystalline / nanocrystalline p-type silicon carbide layer having a thickness of between about 10 Å and about 200 Å. Subsequently, the p-silicon-containing layer 106 on the interface layer 602 arranged. At the in 9 Illustrated exemplary embodiment is the TCO layer 104 a zinc oxide (ZnO) containing TCO layer, the interface layer 602 is a microcrystalline / nanocrystalline p-type silicon carbide layer, and the p-type silicon-containing layer 106 is an amorphous p-type silicon carbide layer.

10 zeigt noch eine weitere Ausführungsform einer Schnittstellenstruktur, die zwischen der TCO-Schicht 104 und der p-Silizium enthaltenden Schicht 106 ausgebildet ist. Bei der in 10 dargestellten Ausführungsform ist eine doppelte Filmstruktur zwischen der TCO-Schicht 104 und der p-Silizium enthaltenden Schicht 106 ausgebildet. Bei dieser speziellen Ausführungsform kann die TCO-Schicht 104 so ausgewählt sein, dass sie aus einer Zinkoxid (ZnO) enthaltenden Schicht hergestellt ist, ähnlich wie die in Verbindung mit den 79 beschriebene TCO-Schicht 104. Die doppelte Filmstruktur enthält eine erste Zwischenschicht 702 und eine zweite Zwischenschicht 704, ähnlich der ersten Zwischenschicht 702 und der zweiten Zwischenschicht 704, die in 7 dargestellt sind. Die erste Zwischenschicht 702 kann eine mikrokristalline/nanokristalline p-Siliziumschicht mit einer Dicke zwischen etwa 10 Å und etwa 200 Å sein. Die zweite Zwischenschicht 704 kann eine mikrokristalline/nanokristalline p-Siliziumkarbidschicht mit einer Dicke zwischen etwa 40 Å und etwa 200 Å sein. Nachdem die dreifache Filmstruktur auf der TCO-Schicht 104 ausgebildet wurde, kann die p-Silizium enthaltende Schicht 106 auf der dreifachen Filmstruktur ausgebildet werden. Bei einer Ausführungsform kann die auf der dreifachen Filmstruktur ausgebildete p-Silizium enthaltende Schicht 106 eine amorphe p-Siliziumkarbidschicht sein. 10 shows still another embodiment of an interface structure between the TCO layer 104 and the p-type silicon-containing layer 106 is trained. At the in 10 illustrated embodiment is a double film structure between the TCO layer 104 and the p-type silicon-containing layer 106 educated. In this particular embodiment, the TCO layer 104 be selected so that it is made of a zinc oxide (ZnO) containing layer, similar to those in connection with the 7 - 9 described TCO layer 104 , The double film structure contains a first intermediate layer 702 and a second intermediate layer 704 , similar to the first intermediate layer 702 and the second intermediate layer 704 , in the 7 are shown. The first intermediate layer 702 may be a microcrystalline / nanocrystalline p-type silicon layer having a thickness between about 10 Å and about 200 Å. The second intermediate layer 704 may be a microcrystalline / nanocrystalline p-type silicon carbide layer having a thickness between about 40 Å and about 200 Å. After the triple film structure on the TCO layer 104 has been formed, the p-silicon-containing layer 106 be formed on the triple film structure. In one embodiment, the p-type silicon containing layer formed on the triple film structure 106 be an amorphous p-type silicon carbide layer.

11 ist eine schematische Schnittdarstellung einer Ausführungsform einer Kammer für plasmaunterstützte chemische Dampfphasenabscheidung (Plasma Enhanced Chemical Vapor Deposition, PECVD) 1100, in welcher ein oder mehrere Filme einer Dünnschicht-Solarzelle, wie etwa der Solarzellen der 110, abgeschieden werden können. Eine geeignete Kammer für plasmaunterstützte chemische Dampfphasenabscheidung ist von Applied Materials, Inc. mit Sitz in Santa Clara, California, erhältlich. Es ist denkbar, dass andere Abscheidungskammern, darunter solche von anderen Herstellern, für die praktische Realisierung der vorliegenden Erfindung verwendet werden können. 11 FIG. 4 is a schematic cross-sectional view of an embodiment of a plasma enhanced chemical vapor deposition (PECVD) chamber. FIG. 1100 in which one or more films of a thin-film solar cell, such as the solar cells of the 1 - 10 , can be deposited. A suitable plasma enhanced chemical vapor deposition chamber is available from Applied Materials, Inc. of Santa Clara, California. It is conceivable that other deposition chambers, including those from other manufacturers, may be used for the practice of the present invention.

Die Kammer 1100 weist im Allgemeinen Wände 1102, einen Boden 1104, einen Showerhead 1110 und einen Substratträger 1130 auf, welche ein Prozessvolumen 1106 definieren. Der Zugang zu dem Prozessvolumen erfolgt über ein Ventil 1108, derart, dass das Substrat in die Kammer 1100 eingebracht und aus ihr entnommen werden kann. Der Substratträger 1130 weist eine Substrataufnahmefläche 1132 zum Stützen eines Substrats und eine mit einem Hubsystem 1136 gekoppelte Kolbenstange 1134 zum Heben und Senken des Substratträgers 1130 auf. Ein Abschattungsring 1133 kann optional über dem Rand des Substrats 102 angebracht werden. Hubbolzen 1138 sind durch den Substratträger 1130 hindurch beweglich angeordnet, um ein Substrat zu der Substrataufnahmefläche 1132 hin und von ihr weg zu bewegen. Der Substratträger 1130 kann auch Heiz- und/oder Kühlelemente 1139 enthalten, um den Substratträger 1130 auf einer gewünschten Temperatur zu halten. Der Substratträger 1130 kann auch Erdungslaschen 1131 aufweisen, um eine HF-Erdung am Rand des Substratträgers 1130 zu gewährleisten.The chamber 1100 generally has walls 1102 , a floor 1104 , a showerhead 1110 and a substrate carrier 1130 on which a process volume 1106 define. Access to the process volume is via a valve 1108 , such that the substrate enters the chamber 1100 can be introduced and removed from it. The substrate carrier 1130 has a substrate receiving surface 1132 for supporting a substrate and one with a lifting system 1136 coupled piston rod 1134 for lifting and lowering the substrate carrier 1130 on. A shading ring 1133 can be optional over the edge of the substrate 102 be attached. lifting bolts 1138 are through the substrate carrier 1130 movably disposed about a substrate to the substrate receiving surface 1132 to move her away from her. The substrate carrier 1130 can also be heating and / or cooling elements 1139 included to the substrate carrier 1130 to keep at a desired temperature. The substrate carrier 1130 can also ground straps 1131 to provide an RF ground at the edge of the substrate carrier 1130 to ensure.

Der Showerhead 1110 ist an seinem Rand durch eine Aufhängung 1114 mit einer Trägerplatte 1112 gekoppelt. Der Showerhead 1110 kann außerdem durch eine oder mehrere mittlere Stützen 1116 mit der Trägerplatte gekoppelt sein, um eine Durchbiegung verhindern zu helfen und/oder die Geradlinigkeit/Krümmung des Showerheads 1110 zu steuern. Eine Gasquelle 1120 ist mit der Trägerplatte 1112 gekoppelt, um Gas durch die Trägerplatte 1112 und durch den Showerhead 1110 hindurch der Substrataufnahmefläche 1132 zuzuführen. Eine Vakuumpumpe 1109 ist mit der Kammer 1100 gekoppelt, um den Druck in dem Prozessvolumen 1106 auf einen gewünschten Wert zu regeln. Eine HF-Energiequelle 1122 ist mit der Trägerplatte 1112 und/oder dem Showerhead 1110 gekoppelt, um dem Showerhead 1110 HF-Energie zuzuführen, so dass ein elektrisches Feld zwischen dem Showerhead und dem Substratträger 1130 erzeugt wird, so dass ein Plasma aus den Gasen zwischen dem Showerhead 1110 und dem Substratträger 1130 erzeugt werden kann. Es können verschiedene HF-Frequenzen verwendet werden, wie etwa eine Frequenz zwischen etwa 0,3 MHz und etwa 200 MHz. Bei einer Ausführungsform verfügt die HF-Energiequelle über eine Frequenz von 13,56 MHz.The showerhead 1110 is at its edge by a suspension 1114 with a carrier plate 1112 coupled. The showerhead 1110 can also by one or more central supports 1116 coupled to the support plate to help prevent sagging and / or the straightness / curvature of the showerhead 1110 to control. A gas source 1120 is with the carrier plate 1112 coupled to gas through the carrier plate 1112 and through the showerhead 1110 through the substrate receiving surface 1132 supply. A vacuum pump 1109 is with the chamber 1100 coupled to the pressure in the process volume 1106 to regulate to a desired value. An RF energy source 1122 is with the carrier plate 1112 and / or the showerhead 1110 Coupled to the showerhead 1110 To supply RF energy, leaving an electric field between the showerhead and the substrate carrier 1130 is generated, allowing a plasma from the gases between the showerhead 1110 and the substrate carrier 1130 can be generated. Various RF frequencies may be used, such as a frequency between about 0.3 MHz and about 200 MHz. In one embodiment, the RF power source has a frequency of 13.56 MHz.

Eine entfernte Plasmaquelle 1124, wie etwa eine induktiv gekoppelte entfernte Plasmaquelle, kann ebenfalls zwischen der Gasquelle und der Trägerplatte gekoppelt sein. Zwischen dem Bearbeiten der Substrate kann ein Reinigungsgas der entfernten Plasmaquelle 1124 zugeführt werden, so dass ein entferntes Plasma erzeugt wird und zugeführt wird, um Kammerkomponenten zu reinigen. Das Reinigungsgas kann durch die HF-Energiequelle 1122 zusätzlich erregt werden, die dem Showerhead Energie zuführt. Geeignete Reinigungsgase sind unter anderem NF3, F2 und SF6.A remote plasma source 1124 , such as an inductively coupled remote plasma source, may also be coupled between the gas source and the carrier plate. Between the processing of the substrates, a cleaning gas of the remote plasma source 1124 are supplied so that a remote plasma is generated and is supplied to purify chamber components. The cleaning gas may be due to the RF energy source 1122 additionally energized, which supplies energy to the showerhead. Suitable cleaning gases include NF 3 , F 2 and SF 6 .

Die Abscheideverfahren für eine oder mehrere Schichten, wie etwa eine oder mehrere der Schichten der 110, können die folgenden Abscheideparameter in der Prozesskammer von 11 oder einer anderen geeigneten Kammer aufweisen. Ein Substrat, das eine glatte Oberfläche von 10.000 cm2 oder mehr, 40.000 cm2 oder mehr, oder 55.000 cm2 oder mehr aufweist, wird der Kammer zugeführt. Selbstverständlich kann das Substrat nach der Bearbeitung zugeschnitten werden, um kleinere Solarzellen zu bilden.The deposition processes for one or more layers, such as one or more of the layers of the 1 - 10 , the following deposition parameters in the process chamber of 11 or another suitable chamber. A substrate having a smooth surface of 10,000 cm 2 or more, 40,000 cm 2 or more, or 55,000 cm 2 or more is supplied to the chamber. Of course, the substrate can be cut after processing to form smaller solar cells.

Bei einer Ausführungsform können die Heiz- und/oder Kühlelemente 1139 so eingestellt sein, dass sie während der Abscheidung eine Temperatur des Substratträgers von etwa 400°C oder weniger, zum Beispiel zwischen etwa 100°C und etwa 400°C oder zwischen etwa 150°C und etwa 300°C, wie von etwa 200°C, gewährleisten.In one embodiment, the heating and / or cooling elements 1139 be set to have a substrate support temperature of about 400 ° C or less during deposition, for example between about 100 ° C and about 400 ° C, or between about 150 ° C and about 300 ° C, such as about 200 ° C, ensure.

Der Abstand zwischen der Oberseite eines Substrats, das auf der Substrataufnahmefläche 1132 angeordnet ist, und dem Showerhead 1110 während der Abscheidung kann zwischen 400 mil und etwa 1.200 mil, zum Beispiel zwischen 400 mil und etwa 800 mil, betragen.The distance between the top of a substrate located on the substrate receiving surface 1132 is arranged, and the showerhead 1110 during deposition may be between 400 mils and about 1,200 mils, for example between 400 mils and about 800 mils.

12 ist eine schematische Draufsicht einer Ausführungsform eines Prozesssystems 1200, das mehrere Prozesskammern 12311237 von der Art der PECVD-Kammer 1100 von 11 oder andere geeignete Kammern, die in der Lage sind, Siliziumfilme abzuscheiden, aufweist. Das Prozesssystem 1200 enthält eine Transferkammer 1220, die mit einer Schleusenkammer 1210 und den Prozesskammern 12311237 gekoppelt ist. Die Schleusenkammer 1210 ermöglicht, dass Substrate zwischen der äußeren Umgebung außerhalb des Systems und der Vakuumumgebung innerhalb der Transferkammer 1220 und der Prozesskammern 12311237 transportiert werden. Die Schleusenkammer 1210 enthält einen oder mehrere evakuierbare Bereiche, die ein oder mehrere Substrate aufnehmen. Die evakuierbaren Bereiche werden während der Einführung von Substraten in das System 1200 leergepumpt und werden während der Entnahme der Substrate aus dem System 1200 belüftet. Die Transferkammer 1220 weist mindestens einen in ihr angeordneten Vakuumroboter 1222 auf, welcher dafür geeignet ist, Substrate zwischen der Schleusenkammer 1210 und den Prozesskammern 12311237 umzuladen. Obwohl in 12 sieben Prozesskammern dargestellt sind, ist keine Einschränkung des Umfangs der Erfindung auf diese Konfiguration beabsichtigt, da das System eine beliebige geeignete Anzahl von Prozesskammern aufweisen kann. 12 is a schematic plan view of an embodiment of a process system 1200 that has several process chambers 1231 - 1237 of the type of PECVD chamber 1100 from 11 or other suitable chambers capable of depositing silicon films. The process system 1200 contains a transfer chamber 1220 that with a lock chamber 1210 and the process chambers 1231 - 1237 is coupled. The lock chamber 1210 allows substrates between the outside environment outside the system and the vacuum environment inside the transfer chamber 1220 and the process chambers 1231 - 1237 be transported. The lock chamber 1210 contains one or more evacuable areas which receive one or more substrates. The evacuable areas become during the introduction of substrates into the system 1200 pumped out during the removal of the substrates from the system 1200 ventilated. The transfer chamber 1220 has at least one vacuum robot arranged in it 1222 which is suitable for substrates between the lock chamber 1210 and the process chambers 1231 - 1237 tranship. Although in 12 With seven process chambers shown, no limitation of the scope of the invention to this configuration is intended, as the system may include any suitable number of process chambers.

Bei gewissen Ausführungsformen der Erfindung ist das System 1200 dafür ausgebildet, den ersten p-i-n-Übergang (z. B. Bezugszeichen 122, 212) einer Solarzelle mit mehreren Übergängen abzuscheiden. Bei einer Ausführungsform ist eine der Prozesskammern 12311237 dafür ausgebildet, die Schnittstellenschicht(en) und p-Schicht(en) des ersten p-i-n-Übergangs abzuscheiden, während die übrigen Prozesskammern 12311237 jeweils dafür ausgebildet sind, sowohl die intrinsische(n) Schicht(en) als auch die n-Schicht(en) abzuscheiden. Die intrinsische(n) Schicht(en) und die n-Schicht(en) des ersten p-i-n-Übergangs können in derselben Kammer ohne irgendeinen Passivierungsvorgang zwischen den Abscheidungsschritten abgeschieden werden. Daher gelangt bei einer Konfiguration ein Substrat durch die Schleusenkammer 1210 in das System, das Substrat wird dann durch den Vakuumroboter in die spezielle Prozesskammer umgeladen, die dafür ausgebildet ist, die p-Schicht(en) abzuscheiden. Als nächstes nach der Ausbildung der p-Schicht wird das Substrat durch den Vakuumroboter in eine der übrigen Prozesskammern umgeladen, die dafür ausgebildet ist, sowohl die intrinsische(n) Schicht(en) als auch die n-Schicht(en) abzuscheiden. Nach der Bildung der intrinsische(n) Schicht(en) und der n-Schicht(en) wird das Substrat durch den Vakuumroboter 1222 zurück zur Schleusenkammer 1210 transportiert. Bei gewissen Ausführungsformen erfolgt die Bearbeitung eines Substrats in der Prozesskammer zur Bildung der p-Schicht(en) ungefähr 4 mal so schnell, wie etwa 6 mal so schnell, wie die Bearbeitung zur Bildung der intrinsische(n) Schicht(en) und der n-Schicht(en) in einer einzigen Kammer. Daher beträgt bei gewissen Ausführungsformen des Systems zum Abscheiden des ersten p-i-n-Übergangs das Verhältnis von p-Kammern zu i/n-Kammern 1:4 oder mehr, wie etwa 1:6 oder mehr. Der Durchsatz des Systems, einschließlich der Zeit, um die Plasmareinigung der Prozesskammern durchzuführen, kann etwa 10 Substrate/h oder mehr betragen, wie etwa 20 Substrate/h oder mehr.In certain embodiments of the invention, the system is 1200 adapted to the first pin junction (eg reference numerals 122 . 212 ) to deposit a solar cell with multiple transitions. In one embodiment, one of the process chambers 1231 - 1237 configured to deposit the interface layer (s) and p-layer (s) of the first pin junction, while the remaining process chambers 1231 - 1237 are each designed to deposit both the intrinsic layer (s) and the n-layer (s). The intrinsic layer (s) and the n-layer (s) of the first pin junction may be deposited in the same chamber without any passivation process between the deposition steps. Therefore, in one configuration, a substrate passes through the lock chamber 1210 into the system, the substrate is then transferred by the vacuum robot into the special process chamber, which is designed to deposit the p-layer (s). Next, after the formation of the p-layer, the substrate is reloaded by the vacuum robot into one of the remaining process chambers, which is adapted to deposit both the intrinsic layer (s) and the n-layer (s). After the formation of the intrinsic layer (s) and the n-layer (s), the substrate is passed through the vacuum robot 1222 back to the lock chamber 1210 transported. In certain embodiments, processing a substrate in the process chamber to form the p-layer (s) is about 4 times as fast as about 6 times as fast as processing to form the intrinsic layer (s) and the n Layer (s) in a single chamber. Therefore, in certain embodiments of the system for depositing the first pin junction, the ratio of p-wells to i / n-wells is 1: 4 or more, such as about 1: 6 or more. The throughput of the system, including the time to perform the plasma cleaning of the process chambers, may be about 10 substrates / hr or more, such as about 20 substrates / hr or more.

Bei gewissen Ausführungsformen der Erfindung ist ein System 1200 dafür ausgebildet, den zweiten p-i-n-Übergang (z. B. Bezugszeichen 124, 214) einer Solarzelle mit mehreren Übergängen abzuscheiden. Bei einer Ausführungsform ist eine der Prozesskammern 12311237 dafür ausgebildet, die p-Schicht(en) des zweiten p-i-n-Übergangs abzuscheiden, während die übrigen Prozesskammern 12311237 jeweils dafür ausgebildet sind, sowohl die intrinsische(n) Schicht(en) als auch die n-Schicht(en) abzuscheiden. Die intrinsische(n) Schicht(en) und die n-Schicht(en) des zweiten p-i-n-Übergangs können in derselben Kammer ohne irgendeinen Passivierungsvorgang zwischen den Abscheidungsschritten abgeschieden werden. Bei gewissen Ausführungsformen erfolgt die Bearbeitung eines Substrats in der Prozesskammer zur Bildung der p-Schicht(en) ungefähr 4 oder mehr als 4 mal so schnell, wie die Bildung der intrinsische(n) Schicht(en) und der n-Schicht(en) in einer einzigen Kammer. Daher beträgt bei gewissen Ausführungsformen des Systems zum Abscheiden des zweiten p-i-n-Übergangs das Verhältnis von p-Kammern zu i/n-Kammern 1:4 oder mehr, wie etwa 1:6 oder mehr. Der Durchsatz des Systems, einschließlich der Zeit, um die Plasmareinigung der Prozesskammern durchzuführen, kann etwa 3 Substrate/h oder mehr betragen, wie etwa 5 Substrate/h oder mehr.In certain embodiments of the invention is a system 1200 adapted to the second pin junction (eg reference numerals 124 . 214 ) to deposit a solar cell with multiple transitions. In one embodiment, one of the process chambers 1231 - 1237 designed to deposit the p-layer (s) of the second pin junction, while the remaining process chambers 1231 - 1237 are each designed to deposit both the intrinsic layer (s) and the n-layer (s). The intrinsic layer (s) and the n-layer (s) of the second pin junction may be deposited in the same chamber without any passivation process between the deposition steps. In certain embodiments, processing a substrate in the process chamber to form the p-layer (s) is about 4 or more times as fast as forming the intrinsic layer (s) and n-layer (s). in a single chamber. Therefore, in certain embodiments of the system for depositing the second pin junction, the ratio of p-wells to i / n-wells is 1: 4 or more, such as about 1: 6 or more. The throughput of the system, including the time to perform the plasma cleaning of the process chambers, may be about 3 substrates / hr or more, such as 5 substrates / hr or more.

Bei gewissen Ausführungsformen ist der Durchsatz eines Systems 1200, welches dafür ausgebildet ist, den ersten p-i-n-Übergang abzuscheiden, der eine intrinsische amorphe Siliziumschicht umfasst, doppelt so hoch wie der Durchsatz eines Systems 1200, welches verwendet wird, um den zweiten p-i-n-Übergang abzuscheiden, der eine intrinsische mikrokristalline Siliziumschicht umfasst, aufgrund des Dickenunterschiedes zwischen der (den) intrinsischen mikrokristallinen Siliziumschicht(en) und der (den) intrinsischen amorphen Siliziumschicht(en). Daher kann ein einziges System 1200, welches dazu eingerichtet ist, den ersten p-i-n-Übergang abzuscheiden, der eine intrinsische amorphe Siliziumschicht umfasst, mit zwei oder mehr Systemen 1200 gepaart werden, welche dazu eingerichtet sind, einen zweiten p-i-n-Übergang abzuscheiden, der eine intrinsische mikrokristalline Siliziumschicht umfasst. Dementsprechend kann zur effizienten Steuerung des Durchsatzes der Prozess der Abscheidung einer WSR-Schicht so gestaltet werden, dass er in dem System durchgeführt wird, das dazu eingerichtet ist, den ersten p-i-n-Übergang abzuscheiden. Sobald ein erster p-i-n-Übergang in einem System gebildet worden ist, kann das Substrat gegenüber der äußeren Umgebung exponiert werden (d. h. Bruch des Vakuums) und an das zweite System übergeben werden, wo der zweite p-i-n-Übergang gebildet wird. Eine nasse oder trockene Reinigung des Substrats zwischen dem ersten System, das den ersten p-i-n-Übergang abscheidet, und dem zweiten p-i-n-Übergang kann erforderlich sein. Bei einer Ausführungsform kann der Prozess der Abscheidung der WSR-Schicht so gestaltet sein, dass die Abscheidung in einem separaten System erfolgt.In certain embodiments, the throughput of a system is 1200 , which is designed to deposit the first pin junction comprising an intrinsic amorphous silicon layer, twice the throughput of a system 1200 which is used to deposit the second pin junction comprising an intrinsic microcrystalline silicon layer due to the difference in thickness between the intrinsic microcrystalline silicon layer (s) and the intrinsic amorphous silicon layer (s). Therefore, a single system 1200 , which is adapted to deposit the first pin junction comprising an intrinsic amorphous silicon layer, with two or more systems 1200 which are adapted to deposit a second pin junction comprising an intrinsic microcrystalline silicon layer. Accordingly, to efficiently control throughput, the process of depositing an ESC layer may be configured to be performed in the system configured to deposit the first pin junction. Once a first pin junction has been formed in a system, the substrate can be exposed to the outside environment (ie, break the vacuum) and delivered to the second system where the second pin junction is formed. Wet or dry cleaning of the substrate between the first system depositing the first pin junction and the second pin junction may be required. In one embodiment, the process of depositing the ESC layer may be configured such that deposition occurs in a separate system.

13 zeigt eine Anordnung eines Abschnitts einer Fertigungsstraße 1300, welche mehrere Abscheidungssysteme 1304, 1305, 1306, oder Cluster Tools, aufweist, die durch Automatisierungseinrichtungen 1302 auf eine einen Transfer ermöglichende Weise verbunden sind. Bei einer Anordnung umfasst die Fertigungsstraße 1300, wie in 13 dargestellt, mehrere Abscheidungssysteme 1304, 1305, 1306, welche verwendet werden können, um eine oder mehrere Schichten zu bilden, einen p-i-n-Übergang (Übergänge) zu bilden oder eine vollständige Solarzellenvorrichtung auf einem Substrat 102 zu bilden. Die Systeme 1304, 1305, 1306 können dem in 12 dargestellten System 1200 ähnlich sein, sind jedoch im Allgemeinen dafür ausgebildet, verschiedene Schichten oder Übergänge auf dem Substrat 102 abzuscheiden. Im Allgemeinen weist jedes der Abscheidungssysteme 1304, 1305, 1306 jeweils eine Schleuse 1304F, 1305F, 1306F auf, welche der Schleuse 1210 ähnlich ist, wobei jede Schleuse in einer einen Transfer ermöglichenden Kommunikation mit einer Automatisierungseinrichtung 1302 steht. Die Automatisierungseinrichtung 1302 ist dafür ausgebildet, Substrate zwischen den Abscheidungssystemen 1304, 1305 und 1306 zu bewegen. 13 shows an arrangement of a section of a production line 1300 containing multiple deposition systems 1304 . 1305 . 1306 , or cluster tools, that has by automation facilities 1302 connected in a transfer-enabling manner. In one arrangement, the production line includes 1300 , as in 13 shown, several deposition systems 1304 . 1305 . 1306 which can be used to form one or more layers, to form a pin junction (transitions) or a complete solar cell device on a substrate 102 to build. The systems 1304 . 1305 . 1306 can the in 12 illustrated system 1200 however, are generally designed to have different layers or transitions on the substrate 102 deposit. In general, each of the deposition systems 1304 . 1305 . 1306 one lock each 1304F . 1305F . 1306F on which of the lock 1210 is similar, each lock in a transfer enabling communication with an automation device 1302 stands. The automation device 1302 is designed to be substrates between the deposition systems 1304 . 1305 and 1306 to move.

Während des Prozessverlaufs wird ein Substrat im Allgemeinen von einer Systemautomatisierungseinrichtung 1302 zu einem der Systeme 1304, 1305, 1306 transportiert. Bei einer Ausführungsform weist das System 1306 mehrere Kammern 1306A1306H auf, welche jeweils dafür ausgebildet sind, eine oder mehrere Schichten bei der Bildung einer Schnittstellenschicht, eines ersten p-i-n-Übergangs, abzuscheiden oder zu bearbeiten; das System 1305, das eine Vielzahl von Kammern 1305A1305H aufweist, ist dafür ausgebildet, die eine oder die mehreren WSR-Schicht(en) abzuscheiden, und das System 1304, das die Vielzahl von Kammern 1304A1304H aufweist, ist dafür ausgebildet, eine oder mehrere Schichten bei der Bildung des zweiten p-i-n-Übergangs abzuscheiden oder zu bearbeiten. Es ist anzumerken, dass die Anzahl der Systeme und die Anzahl der Kammern, die dafür ausgebildet sind, die einzelnen Schichten in jedem der Systeme abzuscheiden, variiert werden können, um unterschiedlichen Prozessanforderungen und Konfigurationen zu entsprechen.During the course of the process, a substrate generally becomes a system automation device 1302 to one of the systems 1304 . 1305 . 1306 transported. In one embodiment, the system 1306 several chambers 1306A - 1306H each configured to deposit or process one or more layers in the formation of an interface layer, a first pin junction; the system 1305 that has a variety of chambers 1305A - 1305H is configured to deposit the one or more WSR layers and the system 1304 that the multiplicity of chambers 1304A - 1304H is formed to deposit or process one or more layers in the formation of the second pin junction. It should be noted that the number of systems and the number of chambers configured to deposit the individual layers in each of the systems may be varied to suit different process requirements and configurations.

Die Automatisierungseinrichtung 1302 kann im Allgemeinen ein Handhabungsgerät oder einen Förderer umfassen, welches bzw. welcher dazu eingerichtet ist, ein Substrat zu bewegen und zu positionieren. In einem Beispiel ist die Automatisierungseinrichtung 1302 eine Reihe von herkömmlichen Substratförderern (z. B. Rollenförderern) und/oder Handhabungsgeräten (z. B. sechsachsigen Robotern, SCARA-Robotern), welche dafür ausgebildet sind, das Substrat innerhalb der Fertigungsstraße 1300 nach Belieben zu bewegen und zu positionieren. Bei einer Ausführungsform enthalten eine oder mehrere Automatisierungseinrichtungen 1302 außerdem eine oder mehrere Substrathubkomponenten oder ”Zugbrücken”-Förderer, welche verwendet werden, um zu ermöglichen, dass Substrate stromaufwärts eines gewünschten Systems vorbei an einem Substrat, welches seine Bewegung versperren würde, zu einer anderen gewünschten Position innerhalb der Fertigungsstraße 1300 transportiert werden. Auf diese Weise wird die Bewegung von Substraten zu den verschiedenen Systemen nicht durch andere Substrate behindert, die darauf warten, einem anderen System zugeführt zu werden.The automation device 1302 can generally be a handling device or a Conveyors which are adapted to move and position a substrate. In one example, the automation device is 1302 a series of conventional substrate conveyors (eg, roller conveyors) and / or handling devices (eg, six-axis robots, SCARA robots) adapted to support the substrate within the production line 1300 to move and position at will. In one embodiment, one or more automation devices include 1302 also one or more substrate sub-components or "drawbridge" conveyors used to enable substrates upstream of a desired system to pass past a substrate that would block its movement to another desired position within the production line 1300 be transported. In this way, movement of substrates to the various systems is not hampered by other substrates waiting to be fed to another system.

Bei einer Ausführungsform der Fertigungsstraße 1300 steht eine Strukturierungskammer 1350 in Kommunikation mit einem oder mehreren der Förderer 1302 und ist dafür ausgebildet, einen Strukturierungsvorgang auf einer oder mehreren der Schichten in der gebildeten WSR-Schicht oder beliebigen Schichten, die zur Bildung der Übergangs-Zellen verwendet werden, auszuführen. Es ist außerdem denkbar, dass der Strukturierungsvorgang auch angewendet werden kann, um einen oder mehrere Bereiche in einer oder mehreren der zuvor gebildeten Schichten während des Prozesses der Bildung der Solarzellenvorrichtungen zu ätzen. Obwohl die Konfigurationen der Strukturierungskammer 1350 im Allgemeinen für Strukturierungsvorgänge vom Typ des Ätzens vorgesehen sind, muss diese Konfiguration im Hinblick auf den Schutzumfang der hier beschriebenen Erfindung keine Einschränkung darstellen. Bei einer Ausführungsform wird die Strukturierungskammer 1350 verwendet, um einen oder mehrere Bereiche in einer oder mehreren der gebildeten Schichten zu beseitigen und/oder eine oder mehrere Materialschichten (z. B. Dotierstoff enthaltende Materialien, Metallpasten) auf der einen oder den mehreren gebildeten Schichten auf der Substratoberfläche abzuscheiden.In one embodiment of the production line 1300 there is a structuring chamber 1350 in communication with one or more of the promoters 1302 and is configured to perform a patterning operation on one or more of the layers in the formed WSR layer or any layers used to form the transitional cells. It is also conceivable that the patterning process may also be used to etch one or more regions in one or more of the previously formed layers during the process of forming the solar cell devices. Although the configurations of the structuring chamber 1350 In general, for etch-type patterning operations, this configuration need not be limiting in view of the scope of the invention described herein. In one embodiment, the structuring chamber 1350 used to remove one or more regions in one or more of the formed layers and / or to deposit one or more material layers (eg, dopant-containing materials, metal pastes) on the one or more formed layers on the substrate surface.

Obwohl das Obige Ausführungsformen der vorliegenden Erfindung betrifft, können andere und weitere Ausführungsformen der Erfindung konzipiert werden, ohne von deren grundlegendem Schutzumfang abzuweichen, und ihr Schutzumfang wird durch die folgenden Ansprüche bestimmt. Zum Beispiel wurde die Prozesskammer von 11 in einer horizontalen Position dargestellt. Selbstverständlich kann sich bei anderen Ausführungsformen der Erfindung die Prozesskammer in einer beliebigen nicht horizontalen, wie etwa in einer vertikalen Position befinden. Ausführungsformen der Erfindung wurden unter Bezugnahme auf das Cluster Tool mit mehreren Prozesskammern in den 12 und 13 beschrieben, es können jedoch auch Inline-Systeme und Inline/Cluster-Hybridsysteme verwendet werden. Ausführungsformen der Erfindung wurden beschrieben unter Bezugnahme auf ein erstes System, das dafür ausgebildet ist, einen ersten p-i-n-Übergang zu bilden, und ein zweites System, das dafür ausgebildet ist, eine WSR-Schicht zu bilden, und ein drittes System, das dafür ausgebildet ist, einen zweiten p-i-n-Übergang zu bilden, doch der erste p-i-n-Übergang, die WSR-Schicht und ein zweiter p-i-n-Übergang können auch in einem einzigen System gebildet werden. Ausführungsformen der Erfindung wurden unter Bezugnahme auf eine Prozesskammer beschrieben, die dazu eingerichtet ist, sowohl eine WSR-Schicht als auch eine intrinsische Schicht und eine n-Schicht abzuscheiden, doch es können separate Kammern dazu eingerichtet sein, die intrinsische Schicht und die n-Schicht und eine WSR-Schicht abzuscheiden, und es kann eine einzige Prozesskammer dazu eingerichtet sein, sowohl eine p-Schicht als auch eine WSR-Schicht und eine intrinsische Schicht abzuscheiden. Schließlich sind die hier beschriebenen Ausführungsformen p-i-n-Konfigurationen, die im Allgemeinen für lichtdurchlässige Substrate wie etwa Glas anwendbar sind; es sind jedoch auch andere Ausführungsformen denkbar, bei denen n-i-p-Übergänge, einfach oder mehrfach gestapelt, auf lichtundurchlässigen Substraten wie etwa rostfreiem Stahl oder Polymer in einer umgekehrten Abscheidungsfolge hergestellt sind.Although the above relates to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and its scope will be determined by the following claims. For example, the process chamber of 11 shown in a horizontal position. Of course, in other embodiments of the invention, the process chamber may be in any non-horizontal, such as in a vertical position. Embodiments of the invention have been described with reference to the cluster tool with multiple process chambers in the 12 and 13 However, inline systems and inline / cluster hybrid systems can also be used. Embodiments of the invention have been described with reference to a first system configured to form a first pin junction and a second system configured to form a WSR layer and a third system formed therefor is to form a second pin junction, but the first pin junction, the WSR layer and a second pin junction can also be formed in a single system. Embodiments of the invention have been described with reference to a process chamber configured to deposit both a WSR layer and an intrinsic layer and an n-layer, but separate chambers may be configured to include the intrinsic layer and the n-layer and depositing a WSR layer, and a single process chamber may be configured to deposit both a p-layer and an ESC layer and an intrinsic layer. Finally, the embodiments described herein are pin configurations that are generally applicable to translucent substrates such as glass; however, other embodiments are contemplated in which nip junctions, singly or multiply stacked, are fabricated on opaque substrates such as stainless steel or polymer in a reverse deposition sequence.

Somit werden eine Vorrichtung und Verfahren zum Herstellen einer Schnittstellenstruktur zwischen einer TCO-Schicht und einem Solarzellen-Übergang bereitgestellt. Die Schnittstellenstruktur gewährleistet vorteilhafterweise einen niedrigen Kontaktwiderstand, eine hohe Filmleitfähigkeit und eine hohe Filmtransparenz, welche den Wirkungsgrad der photoelektrischen Umwandlung und die Geräteleistung der PV-Solarzelle, verglichen mit herkömmlichen Verfahren, wirksam verbessern können.Thus, an apparatus and method for fabricating an interface structure between a TCO layer and a solar cell junction is provided. The interface structure advantageously ensures low contact resistance, high film conductivity, and high film transparency, which can effectively improve the photoelectric conversion efficiency and device performance of the PV solar cell as compared with conventional methods.

Die Erfindung bezieht sich auch auf eine Vorrichtung zum Ausführen der offenbarten Verfahrensschritte, die Vorrichtungsteile zum durchführen jedes beschriebenen Verfahrenssschrittes enthalten kann. Diese Verfahrensschritte können durch Hardwarekomponenten, durch einen mit geeigneter Software programmierten Computer, durch irgendeine Kombination der beiden oder auf jede andere Art durchgeführt werden. Weiterhin bezieht sich die Erfindung auch auf Verfahren, durch die die beschriebenen Vorrichtungen betrieben werden. Sie beinhaltet Verfahrensschritte zum Ausführen jeder Funktion der Vorrichtungen.The invention also relates to an apparatus for carrying out the disclosed method steps, which may include apparatus parts for performing each described method step. These process steps may be performed by hardware components, by a computer programmed with appropriate software, by any combination of the two, or in any other way. Furthermore, the invention also relates to methods by which the described devices are operated. It includes method steps for performing each function of the devices.

Obwohl das Obige Ausführungsformen der vorliegenden Erfindung betrifft, können andere und weitere Ausführungsformen der Erfindung konzipiert werden, ohne von deren grundlegendem Schutzumfang abzuweichen, und ihr Schutzumfang wird durch die folgenden Ansprüche bestimmt.Although the above relates to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and its scope will be determined by the following claims.

Claims (15)

Photovoltaische Vorrichtung, welche umfasst: eine erste TCO-Schicht, die auf einem Substrat angeordnet ist; eine zweite TCO-Schicht, die auf der ersten TCO-Schicht angeordnet ist; und eine p-Silizium enthaltende Schicht, die auf der zweiten TCO-Schicht ausgebildet ist.Photovoltaic device, comprising: a first TCO layer disposed on a substrate; a second TCO layer disposed on the first TCO layer; and a p-type silicon-containing layer formed on the second TCO layer. Die photovoltaische Vorrichtung nach Anspruch 1, wobei die p-Silizium enthaltende Schicht Kohlenstoff umfasst.The photovoltaic device of claim 1, wherein the p-type silicon-containing layer comprises carbon. Die photovoltaische Vorrichtung nach einem der vorangehenden Ansprüche, welche ferner umfasst: eine p-Siliziumkarbidschicht, die zwischen der zweiten TCO-Schicht und der p-Silizium enthaltenden Schicht angeordnet ist, wobei die p-Siliziumkarbidschicht wenigstens eines von einer mikrokristallinen Siliziumkarbidschicht, einer nanokristallinen Siliziumkarbidschicht oder einer amorphen Siliziumkarbidschicht ist.The photovoltaic device according to any one of the preceding claims, further comprising: a p-type silicon carbide layer disposed between the second TCO layer and the p-type silicon-containing layer, wherein the p-type silicon carbide layer is at least one of a microcrystalline silicon carbide layer, a nanocrystalline silicon carbide layer or an amorphous silicon carbide layer. Die photovoltaische Vorrichtung nach Anspruch 3, welche ferner umfasst: eine nanokristalline p-Siliziumschicht, die zwischen der zweiten TCO-Schicht und der p-Siliziumkarbidschicht angeordnet ist.The photovoltaic device of claim 3, further comprising: a nanocrystalline p-type silicon layer disposed between the second TCO layer and the p-type silicon carbide layer. Die photovoltaische Vorrichtung nach einem der vorangehenden Ansprüche, welche ferner umfasst: eine degenerativ dotierte amorphe p-Siliziumschicht, die zwischen der zweiten TCO-Schicht und der p-Silizium enthaltenden Schicht angeordnet ist.The photovoltaic device according to any one of the preceding claims, further comprising: a degeneratively doped p-type amorphous silicon layer disposed between the second TCO layer and the p-type silicon-containing layer. Die photovoltaische Vorrichtung nach einem der vorangehenden Ansprüche, wobei die erste TCO-Schicht eine Zinnoxid enthaltende Schicht und die zweite TCO-Schicht eine Zinkoxid enthaltende Schicht ist.The photovoltaic device according to any one of the preceding claims, wherein the first TCO layer is a tin oxide-containing layer and the second TCO layer is a zinc oxide-containing layer. Die photovoltaische Vorrichtung nach einem der vorangehenden Ansprüche, welche ferner umfasst: eine intrinsisches Silizium enthaltende Schicht, die auf der p-Silizium enthaltenden Schicht angeordnet ist; und eine n-Silizium enthaltende Schicht, die auf der intrinsisches Silizium enthaltenden Schicht angeordnet ist.The photovoltaic device according to any one of the preceding claims, further comprising: an intrinsic silicon-containing layer disposed on the p-silicon-containing layer; and an n-type silicon-containing layer disposed on the intrinsic silicon-containing layer. Eine photovoltaische Vorrichtung, welche umfasst: eine TCO-Schicht, die auf einem Substrat angeordnet ist; eine Schnittstellenschicht, die auf der TCO-Schicht angeordnet ist, wobei die Schnittstellenschicht eine p-Silizium enthaltende Schicht ist, die Kohlenstoff umfasst; und eine p-Silizium enthaltende Schicht, die auf der Schnittstellenschicht angeordnet ist.A photovoltaic device comprising: a TCO layer disposed on a substrate; an interface layer disposed on the TCO layer, the interface layer being a p-type silicon-containing layer comprising carbon; and a p-type silicon-containing layer disposed on the interface layer. Die photovoltaische Vorrichtung nach Anspruch 8, wobei die Schnittstellenschicht eine degenerativ dotierte amorphe p-Siliziumkarbidschicht oder eine mikrokristalline p-Siliziumkarbidschicht ist.The photovoltaic device of claim 8, wherein the interface layer is a degeneratively doped p-type amorphous silicon carbide layer or a p-type silicon carbide p-type crystalline layer. Die photovoltaische Vorrichtung nach einem der vorangehenden Ansprüche 8 bis 9, welche ferner umfasst: eine nanokristalline p-Siliziumschicht, die zwischen der Schnittstellenschicht und der TCO-Schicht angeordnet ist.The photovoltaic device according to any of the preceding claims 8 to 9, further comprising: a nanocrystalline p-type silicon layer disposed between the interface layer and the TCO layer. Die photovoltaische Vorrichtung nach einem der vorangehenden Ansprüche 8 bis 10, wobei die TCO-Schicht eine Zinkoxid enthaltende Schicht ist, die ein Dotierelement vom n-Typ aufweist, das aus Aluminium, Bor und Gallium ausgewählt ist.The photovoltaic device according to any of the preceding claims 8 to 10, wherein the TCO layer is a zinc oxide-containing layer having an n-type doping element selected from aluminum, boron and gallium. Verfahren zum Bilden einer photovoltaischen Vorrichtung, welches umfasst: Bilden einer ersten TCO-Schicht auf einem Substrat; Bilden einer zweiten TCO-Schicht auf der ersten TCO-Schicht; und Bilden eines ersten p-i-n-Übergangs auf der zweiten TCO-Schicht, wobei der erste p-i-n-Übergang umfasst: Bilden einer p-Silizium enthaltenden Schicht über der zweiten TCO-Schicht, wobei die p-Silizium enthaltende Schicht eine auf mikrokristallinem Silizium basierende Schicht, eine auf nanokristallinem Silizium basierende Schicht oder eine auf amorphem Silizium basierende Schicht ist; Bilden einer intrinsisches Silizium enthaltenden Schicht über der p-Silizium enthaltenden Schicht; und Bilden einer n-Silizium enthaltenden Schicht über der intrinsisches Silizium enthaltenden Schicht.A method of forming a photovoltaic device, comprising: Forming a first TCO layer on a substrate; Forming a second TCO layer on the first TCO layer; and Forming a first p-i-n junction on the second TCO layer, wherein the first p-i-n junction comprises: Forming a p-type silicon-containing layer over the second TCO layer, wherein the p-type silicon-containing layer is a microcrystalline silicon-based layer, a nanocrystalline silicon-based layer or an amorphous silicon-based layer; Forming an intrinsic silicon-containing layer over the p-type silicon-containing layer; and Forming an n-silicon containing layer over the intrinsic silicon containing layer. Das Verfahren nach Anspruch 12, welches ferner umfasst: Bilden einer p-Siliziumkarbidschicht, die zwischen der zweiten TCO-Schicht und dem ersten p-i-n-Übergang angeordnet ist, wobei die p-Siliziumkarbidschicht wenigstens eines von einer mikrokristallinen Siliziumkarbidschicht, einer nanokristallinen Siliziumkarbidschicht oder einer amorphen Siliziumkarbidschicht ist.The method of claim 12, further comprising: Forming a p-type silicon carbide layer disposed between the second TCO layer and the first p-i-n junction, wherein the p-type silicon carbide layer is at least one of a microcrystalline silicon carbide layer, a nanocrystalline silicon carbide layer, or an amorphous silicon carbide layer. Das Verfahren nach Anspruch 13, welches ferner umfasst: Bilden einer nanokristallinen p-Siliziumschicht zwischen der zweiten TCO-Schicht und der p-Siliziumkarbidschicht.The method of claim 13, further comprising: Forming a nanocrystalline p-type silicon layer between the second TCO layer and the p-type silicon carbide layer. Das Verfahren nach einem der vorangehenden Ansprüche 12 bis 14, wobei die erste TCO-Schicht eine Zinnoxid enthaltende Schicht und die zweite TCO-Schicht eine Zinkoxid enthaltende Schicht ist.The method of any one of preceding claims 12 to 14, wherein the first TCO layer is a tin oxide-containing layer and the second TCO layer is a zinc oxide-containing layer.
DE112010001895T 2009-04-06 2010-03-11 High-quality contact structure of a TCO silicon interface for highly efficient thin-film silicon solar cells Withdrawn DE112010001895T5 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US16711309P 2009-04-06 2009-04-06
US61/167,113 2009-04-06
US12/481,175 US8895842B2 (en) 2008-08-29 2009-06-09 High quality TCO-silicon interface contact structure for high efficiency thin film silicon solar cells
US12/481,175 2009-06-09
PCT/US2010/027002 WO2010117548A2 (en) 2009-04-06 2010-03-11 High quality tco-silicon interface contact structure for high efficiency thin film silicon solar cells

Publications (1)

Publication Number Publication Date
DE112010001895T5 true DE112010001895T5 (en) 2012-06-21

Family

ID=42936780

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112010001895T Withdrawn DE112010001895T5 (en) 2009-04-06 2010-03-11 High-quality contact structure of a TCO silicon interface for highly efficient thin-film silicon solar cells

Country Status (4)

Country Link
CN (1) CN102356474A (en)
DE (1) DE112010001895T5 (en)
TW (1) TW201041167A (en)
WO (1) WO2010117548A2 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI415281B (en) * 2011-05-13 2013-11-11 Univ Nat Cheng Kung Solar cell device
US11078748B2 (en) 2019-02-05 2021-08-03 Saudi Arabian Oil Company Lost circulation shapes
CN113488555B (en) * 2021-07-06 2024-06-21 安徽华晟新能源科技股份有限公司 Heterojunction battery, preparation method and solar cell module

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4571448A (en) * 1981-11-16 1986-02-18 University Of Delaware Thin film photovoltaic solar cell and method of making the same
US4718947A (en) * 1986-04-17 1988-01-12 Solarex Corporation Superlattice doped layers for amorphous silicon photovoltaic cells
JPH0693519B2 (en) * 1987-09-17 1994-11-16 株式会社富士電機総合研究所 Amorphous photoelectric conversion device
AUPM982294A0 (en) * 1994-12-02 1995-01-05 Pacific Solar Pty Limited Method of manufacturing a multilayer solar cell
US6077722A (en) * 1998-07-14 2000-06-20 Bp Solarex Producing thin film photovoltaic modules with high integrity interconnects and dual layer contacts
US6566594B2 (en) * 2000-04-05 2003-05-20 Tdk Corporation Photovoltaic element
US20030044539A1 (en) * 2001-02-06 2003-03-06 Oswald Robert S. Process for producing photovoltaic devices
JP2003253435A (en) * 2002-02-28 2003-09-10 Mitsubishi Heavy Ind Ltd Method of depositing rugged film and method of manufacturing photoelectric converter
US8203071B2 (en) * 2007-01-18 2012-06-19 Applied Materials, Inc. Multi-junction solar cells and methods and apparatuses for forming the same
JP2008181965A (en) * 2007-01-23 2008-08-07 Sharp Corp Laminated optoelectric converter and its fabrication process

Also Published As

Publication number Publication date
WO2010117548A3 (en) 2011-01-13
WO2010117548A2 (en) 2010-10-14
TW201041167A (en) 2010-11-16
CN102356474A (en) 2012-02-15

Similar Documents

Publication Publication Date Title
EP3378104B1 (en) Solar cell having a plurality of absorbers connected to one another by means of charge-carrier-selective contacts
EP2062300B1 (en) Local heterostructure contacts
CN100355091C (en) Method for fabricating tandem thin film photoelectric converter
DE102012212447B4 (en) METHOD FOR PRODUCING A PHOTOVOLTAIC UNIT HAVING MULTIPLE BOUNDARY LAYERS
DE112012003057T5 (en) Process for stabilizing hydrogenated amorphous silicon and amorphous hydrogenated silicon alloys
DE69434949T2 (en) Process and apparatus for forming a deposited film
CN101542745B (en) Multi-junction solar cells and methods and apparatuses for forming the same
US20070023082A1 (en) Compositionally-graded back contact photovoltaic devices and methods of fabricating such devices
DE112009004253T5 (en) Dry cleaning of a silicon surface for solar cell applications
US8895842B2 (en) High quality TCO-silicon interface contact structure for high efficiency thin film silicon solar cells
US20100269896A1 (en) Microcrystalline silicon alloys for thin film and wafer based solar applications
US20080173350A1 (en) Multi-junction solar cells and methods and apparatuses for forming the same
US20080188033A1 (en) Multi-junction solar cells and methods and apparatuses for forming the same
DE112010001613T5 (en) Pulsed plasma deposition for forming a microcrystalline silicon layer for solar applications
DE112011101134T5 (en) A method of forming a negatively charged passivation layer over a distributed p-doped region
DE102011018268A1 (en) Single junction CIGS / CIC solar modules
CN1402361A (en) Optoelectronic device
US20130112264A1 (en) Methods for forming a doped amorphous silicon oxide layer for solar cell devices
DE102013104232A1 (en) solar cell
DE3416954A1 (en) TERNAERE III-V MULTICOLOR SOLAR CELLS WITH A SQUARE WINDOW LAYER AND A SQUARE TRANSITION LAYER
DE3426338A1 (en) SOLAR CELL
DE102012025773B3 (en) Photovoltaic elements with group III/V semiconductors
DE102012104140A1 (en) Improved emitter structure and method of making a silicon solar cell with heterojunction
DE212013000122U1 (en) Hybrid solar cell
CN101803039A (en) NIP-NIP thin-film photovoltaic structure

Legal Events

Date Code Title Description
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee

Effective date: 20131001