DE102021100529A1 - TSV STRUCTURE AND METHODS OF FORMING THEREOF - Google Patents

TSV STRUCTURE AND METHODS OF FORMING THEREOF Download PDF

Info

Publication number
DE102021100529A1
DE102021100529A1 DE102021100529.9A DE102021100529A DE102021100529A1 DE 102021100529 A1 DE102021100529 A1 DE 102021100529A1 DE 102021100529 A DE102021100529 A DE 102021100529A DE 102021100529 A1 DE102021100529 A1 DE 102021100529A1
Authority
DE
Germany
Prior art keywords
liner
semiconductor substrate
depositing
layer
top surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102021100529.9A
Other languages
German (de)
Inventor
Ming-Tsu CHUNG
Ku-Feng Yang
Tsang-Jiuh Wu
Wen-Chih Chiou
Chen-Hua Yu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/139,030 external-priority patent/US11527439B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102021100529A1 publication Critical patent/DE102021100529A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

Ein Verfahren umfasst das Bilden einer Vielzahl von Dielektrikumsschichten über einem Halbleitersubstrat, das Ätzen der Vielzahl von Dielektrikumsschichten und des Halbleitersubstrats, um eine Öffnung zu bilden, das Abscheiden einer ersten Auskleidung, die sich in die Öffnung hinein erstreckt, und das Abscheiden einer zweiten Auskleidung über der ersten Auskleidung. Die zweite Auskleidung erstreckt sich in die Öffnung hinein. Das Verfahren umfasst ferner das Füllen eines leitfähigen Materials in die Öffnung, um eine Durchkontaktierung zu bilden, und das Bilden von leitfähigen Merkmalen auf gegenüberliegenden Seiten des Halbleitersubstrats. Die leitfähigen Merkmale sind durch die Durchkontaktierung elektrisch miteinander verbunden.A method includes forming a plurality of dielectric layers over a semiconductor substrate, etching the plurality of dielectric layers and the semiconductor substrate to form an opening, depositing a first liner extending into the opening, and depositing a second liner over the first lining. The second liner extends into the opening. The method further includes filling a conductive material into the opening to form a via and forming conductive features on opposite sides of the semiconductor substrate. The conductive features are electrically connected to each other through the via.

Description

PRIORITÄTSANSPRUCH UND QUERVERWEISPRIORITY CLAIM AND CROSS-REFERENCE

Diese Anmeldung beansprucht die Priorität bezüglich der vorläufigen US-Patentanmeldung Nr. 63/081,502 , eingereicht 22. September 2020, mit dem Titel „Novel TSV Structure“, die durch Bezugnahme in die vorliegende Anmeldung aufgenommen wird.This application claims priority to U.S. Provisional Patent Application No. 63/081,502 , filed September 22, 2020, entitled "Novel TSV Structure," which is incorporated by reference into the present application.

ALLGEMEINER STAND DER TECHNIKBACKGROUND ART

Durchkontaktierungen (TSVs, Through-Silicon Vias) werden als elektrische Pfade in Vorrichtungsdies verwendet, so dass die leitfähigen Merkmale auf gegenüberliegenden Seiten der Vorrichtungsdies miteinander verbunden werden können. Der Bildungsprozess einer TSV umfasst das Ätzen eines Halbleitersubstrats zum Bilden einer Öffnung, das Füllen der Öffnung mit einem leitfähigen Material zum Bilden der TSV, das Durchführen eines Rückseitenschleifprozesses zum Entfernen eines Abschnitts des Halbleitersubstrats von der Rückseite und das Bilden eines elektrischen Anschlusses auf der Rückseite des Halbleitersubstrats zum Verbinden mit der TSV.Through-Silicon Vias (TSVs) are used as electrical paths in device dies so that the conductive features on opposite sides of the device dies can be connected together. The process of forming a TSV includes etching a semiconductor substrate to form an opening, filling the opening with a conductive material to form the TSV, performing a backside grinding process to remove a portion of the semiconductor substrate from the backside, and forming an electrical connection on the backside of the Semiconductor substrate for connecting to the TSV.

Figurenlistecharacter list

Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.

  • Die 1, 2, 3A, 3B, 3C, 3D, 3E, 3F, 3G, 4-13, 14A, 14B, 14C, 14D, 14E, 14F und 14G veranschaulichen die Querschnittsansichten von Zwischenstufen bei dem Bilden von Dies, die Durchkontaktierungen aufweisen, gemäß einigen Ausführungsformen.
  • 15 veranschaulicht eine Draufsicht einer Durchkontaktierung gemäß einigen Ausführungsformen.
  • 16 veranschaulicht eine dielektrische Auskleidung mit einem stufenweise verkleinerten unteren Abschnitt gemäß einigen Ausführungsformen.
  • Die 17 bis 19 veranschaulichen die Querschnittsansichten von Zwischenstufen bei dem Packen eines Dies, der eine Durchkontaktierung aufweist, gemäß einigen Ausführungsformen.
  • 20 veranschaulicht einen Prozessfluss zum Bilden eines Dies, der eine Mehrfachauskleidung aufweist, gemäß einigen Ausführungsformen.
Aspects of the present disclosure are best understood by considering the following detailed description when taken in connection with the accompanying drawings. It should be noted that, in accordance with standard industry practice, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily exaggerated or minimized for the sake of clarity of explanation.
  • the 1 , 2 , 3A , 3B , 3C , 3D , 3E , 3F , 3G , 4-13 , 14A , 14B , 14C , 14D , 14E , 14F and 14G 12 illustrate the cross-sectional views of intermediate stages in the formation of dice having vias, according to some embodiments.
  • 15 12 illustrates a top view of a via, according to some embodiments.
  • 16 FIG. 12 illustrates a dielectric liner with a progressively reduced bottom portion, according to some embodiments.
  • the 17 until 19 12 illustrate cross-sectional views of intermediate stages in packaging a die having a via, according to some embodiments.
  • 20 12 illustrates a process flow for forming a die having a multi-liner, according to some embodiments.

AUSFÜHRLICHE BESCHREIBUNGDETAILED DESCRIPTION

Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale der Erfindung bereit. Es werden nachfolgend spezifische Beispiele von Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und sollen nicht einschränkend sein. Zum Beispiel kann das Bilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, in welchen das erste und das zweite Merkmal in direktem Kontakt gebildet sind, und auch Ausführungsformen umfassen, in welchen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal gebildet sein können, so dass das erste und das zweite Merkmal möglicherweise nicht in direktem Kontakt stehen. Zusätzlich kann die vorliegende Offenbarung Bezugszeichen und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und gibt an sich keine Beziehung zwischen den verschiedenen erläuterten Ausführungsformen und/oder Konfigurationen vor.The following disclosure provides many different embodiments or examples for implementing various features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are of course examples only and are not intended to be limiting. For example, in the following description, forming a first feature over or on a second feature may include embodiments in which the first and second features are formed in face-to-face contact, and also include embodiments in which additional features are intermediate between the first and second Feature may be formed so that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numbers and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself imply a relationship between the various embodiments and/or configurations discussed.

Ferner können räumlich bezogene Begriffe, wie etwa „darunterliegend“, „unterhalb“, „unterer“, „darüberliegend“, „oberer“ und dergleichen hierin für eine bequemere Beschreibung zum Beschreiben der Beziehung eines Elements oder Merkmals zu (einem) anderen Element(en) oder Merkmal(en), wie in den Figuren veranschaulicht, verwendet werden. Die räumlich bezogenen Begriffe sollen verschiedene Ausrichtungen der Vorrichtung im Gebrauch oder Betrieb zusätzlich zu der in den Figuren dargestellten Ausrichtung umfassen. Die Vorrichtung kann anders (um 90 Grad gedreht oder mit anderen Ausrichtungen) ausgerichtet sein und die räumlich bezogenen Deskriptoren, die hierin verwendet werden, können dementsprechend gleichermaßen interpretiert werden.Furthermore, spatially-related terms such as "underlying," "beneath," "lower," "overlying," "upper," and the like may be used herein for convenience of description to describe the relationship of one element or feature to another element(s). ) or feature(s) as illustrated in the figures. The spatial terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially related descriptors used herein may be interpreted equally accordingly.

Es werden ein Die, der Mehrfachschichtauskleidungen für Durchkontaktierungen aufweist, und das Verfahren zum Bilden davon gemäß einigen Ausführungsformen bereitgestellt. Der Die weist eine Vielzahl von Auskleidungen auf, welche aus unterschiedlichen Materialien gebildet sind und unterschiedliche Höhen aufweisen können. Zum Beispiel kann eine äußere Auskleidung aus einem dichten Material gebildet sein, um als eine Diffusionsbarriere zu wirken, und dünn sein, um die parasitäre Kapazität zu verringern. Eine innere Auskleidung kann dicker sein und einen geringeren k-Wert als die äußere Auskleidung aufweisen. Mit dem Mehrfachschichtdesign können die Auskleidungen von Durchkontaktierungen eine verbesserte Fähigkeit, Diffusion zu verhindern, aufweisen, während die parasitäre Kapazität zwischen den Durchkontaktierungen und sonstigen Merkmalen, wie etwa dem Halbleitersubstrat, nicht nachteilig verstärkt wird. Die Zwischenstufen bei dem Bilden des Dies sind gemäß einigen Ausführungsformen veranschaulicht. Es werden einige Variationen einiger Ausführungsformen erörtert. In sämtlichen verschiedenen Ansichten und veranschaulichenden Ausführungsformen werden gleiche Bezugszeichen verwendet, um gleiche Elemente zu bezeichnen.A die having multilayer liners for vias and the method of forming it are provided according to some embodiments. The die has a plurality of liners, which are formed from different materials and may have different heights. For example, an outer liner can be formed of a dense material to act as a diffusion bar ture and thin to reduce parasitic capacitance. An inner liner may be thicker and have a lower k value than the outer liner. With the multilayer design, the liners of vias may have an improved ability to prevent diffusion while not adversely increasing the parasitic capacitance between the vias and other features such as the semiconductor substrate. The intermediate stages in forming the die are illustrated according to some embodiments. Some variations of some embodiments are discussed. The same reference numbers are used to refer to the same elements throughout the different views and illustrative embodiments.

Die 1, 2, 3A, 3B, 3C, 3D, 3E, 3F, 3G, 4-13, 14A, 14B, 14C, 14D, 14E, 14F und 14G veranschaulichen die Querschnittsansichten von Zwischenstufen bei dem Bilden eines Dies, der Durchkontaktierungen aufweist, gemäß einigen Ausführungsformen der vorliegenden Offenbarung. Die entsprechenden Prozesse sind auch schematisch in dem Prozessfluss 200 wiedergegeben, wie in 20 gezeigt ist.the 1 , 2 , 3A , 3B , 3C , 3D , 3E , 3F , 3G , 4-13 , 14A , 14B , 14C , 14D , 14E , 14F and 14G 12 illustrate the cross-sectional views of intermediate stages in forming a die having vias, according to some embodiments of the present disclosure. The corresponding processes are also shown schematically in the process flow 200, as in 20 is shown.

1 veranschaulicht eine Querschnittsansicht des Wafers 20. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung ist der Wafer 20 ein Vorrichtungswafer einschließlich aktiver Vorrichtungen und möglicherweise passiver Vorrichtungen, welche als IC-Bauteile 26 dargestellt sind, oder umfasst diesen. Der Wafer 20 kann eine Vielzahl von Chips/Dies 22 darin aufweisen, wobei einer der Chips 22 veranschaulicht ist. Gemäß alternativen Ausführungsformen der vorliegenden Offenbarung ist der Wafer 20 ein Interposerwafer, welcher keine aktiven Vorrichtungen aufweist, und kann passive Vorrichtungen aufweisen oder nicht. 1 12 illustrates a cross-sectional view of wafer 20. According to some embodiments of the present disclosure, wafer 20 is or includes a device wafer including active devices and possibly passive devices represented as IC packages 26. FIG. The wafer 20 may have a plurality of chips/dies 22 therein, with one of the chips 22 being illustrated. According to alternative embodiments of the present disclosure, wafer 20 is an interposer wafer that does not have active devices, and may or may not have passive devices.

Gemäß einigen Ausführungsformen der vorliegenden Offenbarung weist der Wafer 20 das Halbleitersubstrat 24 und die Merkmale, die an einer oberen Fläche des Halbleitersubstrats 24 gebildet sind, auf. Das Halbleitersubstrat 24 kann aus kristallinem Silizium, kristallinem Germanium, Siliziumgermanium, kohlenstoffdotiertem Silizium oder einem III-V-Verbundhalbleiter, wie etwa GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, GaInAsP oder dergleichen, gebildet sein oder aufweisen. Es können Flachgrabenisolationsregionen (STI-Regionen) (nicht gezeigt) in dem Halbleitersubstrat 24 gebildet werden, um die aktiven Regionen in dem Halbleitersubstrat 24 zu isolieren.According to some embodiments of the present disclosure, wafer 20 includes semiconductor substrate 24 and features formed on a top surface of semiconductor substrate 24 . The semiconductor substrate 24 may be or include crystalline silicon, crystalline germanium, silicon germanium, carbon-doped silicon, or a III-V compound semiconductor such as GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, GaInAsP, or the like. Shallow trench isolation (STI) regions (not shown) may be formed in the semiconductor substrate 24 to isolate the active regions in the semiconductor substrate 24 .

Gemäß einigen Ausführungsformen der vorliegenden Offenbarung weist der Wafer 20 IC-Bauteile 26 auf, welche auf der oberen Fläche des Halbleitersubstrats 24 gebildet sind. Die IC-Bauteile 26 können komplementäre Metalloxidhalbleitertransistoren (CMOS-Transistoren, Complementary Metal-Oxide Semiconductor transistors), Widerstände, Kondensatoren, Dioden und dergleichen gemäß einigen Ausführungsformen umfassen. Die Details der IC-Bauteile 26 sind hierin nicht veranschaulicht. Gemäß alternativen Ausführungsformen wird der Wafer 20 zum Bilden von Interposern (welche keine aktiven Vorrichtungen aufweisen) verwendet, und kann das Substrat 24 ein Halbleitersubstrat oder ein dielektrisches Substrat sein.According to some embodiments of the present disclosure, wafer 20 includes IC devices 26 formed on the top surface of semiconductor substrate 24 . IC devices 26 may include Complementary Metal-Oxide Semiconductor (CMOS) transistors, resistors, capacitors, diodes, and the like, according to some embodiments. The details of the IC devices 26 are not illustrated herein. According to alternative embodiments, wafer 20 is used to form interposers (which do not include active devices), and substrate 24 may be a semiconductor substrate or a dielectric substrate.

Ein Zwischenschichtdielektrikum (ILD, Inter-Layer Dielectric) 28 ist über dem Halbleitersubstrat 24 gebildet und füllt den Raum zwischen den Gate-Stapeln der Transistoren (nicht gezeigt) in den IC-Bauteilen 26. Gemäß einigen Ausführungsformen ist das ILD 28 aus Phosphosilikatglas (PSG), Borsilikatglas (BSG), bordotiertem Phosphosilikatglas (BPSG), fluordotiertem Silikatglas (FSG) oder dergleichen gebildet. Das ILD 28 kann unter Verwendung von Spin-Coating, fließfähiger chemischer Dampfabscheidung (FCVD, Flowable Chemical Vapor Deposition) oder dergleichen gebildet werden. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung kann das ILD 28 auch unter Verwendung eines Abscheidungsverfahrens, wie etwa plasmaverstärkte chemische Dampfabscheidung (PECVD, Plasma Enhanced Chemical Vapor Deposition), chemische Dampfabscheidung mit niedrigem Druck (LPCVD, Low-Pressure Chemical Vapor Deposition) oder dergleichen, gebildet werden.An inter-layer dielectric (ILD) 28 is formed over the semiconductor substrate 24 and fills the space between the gate stacks of the transistors (not shown) in the IC devices 26. According to some embodiments, the ILD 28 is made of phosphosilicate glass (PSG ), borosilicate glass (BSG), boron-doped phosphosilicate glass (BPSG), fluorine-doped silicate glass (FSG) or the like. The ILD 28 may be formed using spin coating, flowable chemical vapor deposition (FCVD), or the like. According to some embodiments of the present disclosure, the ILD 28 may also be formed using a deposition process such as plasma enhanced chemical vapor deposition (PECVD), low-pressure chemical vapor deposition (LPCVD), or the like will.

Kontaktstecker 30 werden in dem ILD 28 gebildet und verwendet, um die IC-Bauteile 26 mit darüberliegenden Metallleitungen und Durchkontaktierungen elektrisch zu verbinden. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung sind die Kontaktstecker 30 aus einem leitfähigen Material gebildet, das aus Wolfram, Aluminium, Kupfer, Titan, Tantal, Titannitrid, Tantalnitrid, Legierungen dafür und/oder Mehrfachschichten davon ausgewählt ist, oder weisen dieses auf. Die Bildung der Kontaktstecker 30 kann das Bilden von Kontaktöffnungen in dem ILD 28, das Füllen eines leitfähigen Materials bzw. von leitfähigen Materialien in die Kontaktöffnungen und das Durchführen eines Planarisierungsprozesses (wie etwa ein chemisch-mechanischer Polierprozess (CMP-Prozess)), um die oberen Flächen der Kontaktstecker 30 auf dieselbe Höhe wie die obere Fläche des ILD 28 zu bringen, umfassen.Contact plugs 30 are formed in the ILD 28 and used to electrically connect the IC devices 26 to overlying metal lines and vias. In accordance with some embodiments of the present disclosure, the contact plugs 30 are formed from or include a conductive material selected from tungsten, aluminum, copper, titanium, tantalum, titanium nitride, tantalum nitride, alloys thereof, and/or multiple layers thereof. The formation of the contact plugs 30 may include forming contact openings in the ILD 28, filling a conductive material(s) into the contact openings, and performing a planarization process (such as a chemical mechanical polishing (CMP) process) to to bring the top surfaces of the contact plugs 30 to the same level as the top surface of the ILD 28.

Über dem ILD 28 und den Kontaktsteckern 30 befindet sich eine Interconnect-Struktur 32. Die Interconnect-Struktur 32 weist Metallleitungen 34 und Durchkontaktierungen 36 auf, welche in Dielektrikumsschichten 38 (auch als Zwischenmetalldielektrika (IMDs, Inter-Metal Dielectrics) bezeichnet) und Ätzstoppschichten 37 gebildet sind. Die Metallleitungen auf einer selben Ebene werden im Folgenden gemeinsam als eine Metallschicht bezeichnet. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung weist die Interconnect-Struktur 32 eine Vielzahl von Metallschichten einschließlich der Metallleitungen 34 auf, die durch die Durchkontaktierungen 36 miteinander verbunden sind. Die Metallleitungen 34 und die Durchkontaktierungen 36 können aus Kupfer oder Kupferlegierungen gebildet sein und können auch aus anderen Metallen gebildet sein. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung sind die Dielektrikumsschichten 38 aus dielektrischen low-k-Materialien gebildet. Die dielektrischen Konstanten (k-Werte) der dielektrischen low-k-Materialien können zum Beispiel geringer als ungefähr 3,0 sein. Die Dielektrikumsschichten 38 können ein kohlenstoffhaltiges dielektrisches low-k-Material, Wasserstoffsilsesquioxan (HSQ, Hydrogen SilsesQuioxane), Methylsilsesquioxan (MSQ) oder dergleichen aufweisen. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung umfasst die Bildung der Dielektrikumsschichten 38 das Abscheiden eines porogenhaltigen dielektrischen Materials in den Dielektrikumsschichten 38 und dann das Durchführen eines Aushärtungsprozesses, um das Porogen auszutreiben, und somit sind die verbleibenden Dielektrikumsschichten 38 porös. Die Ätzstoppschichten 37 können aus Siliziumnitrid, Siliziumcarbid, Siliziumoxycarbid, Siliziumoxynitrid oder dergleichen gebildet sein oder weisen diese auf.Overlying ILD 28 and contact plugs 30 is interconnect structure 32. Interconnect structure 32 includes metal lines 34 and vias 36 embedded in dielectric layers 38 (also known as intermetal dielectric). rika (IMDs, Inter-Metal Dielectrics)) and etch stop layers 37 are formed. The metal lines on a same level are hereinafter collectively referred to as a metal layer. In accordance with some embodiments of the present disclosure, interconnect structure 32 includes a plurality of metal layers including metal lines 34 interconnected by vias 36 . The metal lines 34 and vias 36 may be formed from copper or copper alloys and may be formed from other metals as well. In accordance with some embodiments of the present disclosure, the dielectric layers 38 are formed from low-k dielectric materials. For example, the dielectric constants (k values) of the low-k dielectric materials may be less than about 3.0. The dielectric layers 38 may include a low-k carbonaceous dielectric material, hydrogen silsesquioxane (HSQ, Hydrogen SilsesQuioxane), methylsilsesquioxane (MSQ), or the like. In accordance with some embodiments of the present disclosure, the formation of the dielectric layers 38 includes depositing a porogen-containing dielectric material in the dielectric layers 38 and then performing an annealing process to drive off the porogen, and thus the remaining dielectric layers 38 are porous. The etch stop layers 37 may be formed of or include silicon nitride, silicon carbide, silicon oxycarbide, silicon oxynitride, or the like.

Die Bildung der Metallleitungen 34 und der Durchkontaktierungen 36 in den Dielektrikumsschichten 38 kann Einzel-Damascene-Prozesse und/oder Dual-Damascene-Prozesse umfassen. Bei einem Einzel-Damascene-Prozess zum Bilden einer Metallleitung oder einer Durchkontaktierung wird zunächst ein Graben oder eine Durchkontaktierungsöffnung in einer der Dielektrikumsschichten 38 gebildet gefolgt von dem Füllen des Grabens oder der Durchkontaktierungsöffnung mit einem leitfähigen Material. Ein Planarisierungsprozess, wie etwa ein CMP-Prozess, wird dann durchgeführt, um die überschüssigen Abschnitte des leitfähigen Materials, die höher als die obere Fläche der Dielktrikumsschicht sind, zu entfernen, wobei eine Metallleitung oder eine Durchkontaktierung in dem entsprechenden Graben oder der entsprechenden Durchkontaktierungsöffnung zurückgelassen wird. Bei einem Dual-Damascene-Prozess werden sowohl ein Graben als auch eine Durchkontaktierungsöffnung in einer Dielektrikumsschicht gebildet, wobei die Durchkontaktierungsöffnung unter dem Graben liegt und mit diesem verbunden ist. Die leitfähigen Materialien werden dann in den Graben und die Durchkontaktierungsöffnung gefüllt, um jeweils eine Metallleitung und eine Durchkontaktierung zu bilden. Die leitfähigen Materialien können eine Diffusionsbarriereschicht und ein kupferhaltiges metallisches Material über der Diffusionsbarriereschicht aufweisen. Die Diffusionsbarriereschicht kann Titan, Titannitrid, Tantal, Tantalnitrid oder dergleichen aufweisen.The formation of metal lines 34 and vias 36 in dielectric layers 38 may include single damascene processes and/or dual damascene processes. In a single damascene process to form a metal line or via, a trench or via opening is first formed in one of the dielectric layers 38 followed by filling the trench or via opening with a conductive material. A planarization process, such as a CMP process, is then performed to remove the excess portions of the conductive material that are higher than the top surface of the dielectric layer, leaving a metal line or via in the corresponding trench or via opening will. In a dual damascene process, both a trench and a via opening are formed in a dielectric layer, with the via opening underlying and connected to the trench. The conductive materials are then filled into the trench and the via opening to form a metal line and a via, respectively. The conductive materials may include a diffusion barrier layer and a copper-containing metallic material over the diffusion barrier layer. The diffusion barrier layer may include titanium, titanium nitride, tantalum, tantalum nitride, or the like.

Die Metallleitungen 34 weisen obere leitfähige (metallische) Merkmale, wie etwa Metallleitungen, Metallpads oder Durchkontaktierungen (als 34A bezeichnet) in einer oberen Dielektrikumsschicht (als Dielektrikumsschicht 38A bezeichnet) auf, welche die obere Schicht der Dielektrikumsschichten 38 ist. Gemäß einigen Ausführungsformen ist die Dielektrikumsschicht 38A aus einem dielektrischen low-k-Material gebildet, das ähnlich wie das Material von unteren der Dielektrikumsschichten 38 ist. Die Metallleitungen 34 in der oberen Dielektrikumsschicht 38A können auch aus Kupfer oder einer Kupferlegierung gebildet sein und können eine Dual-Damascene-Struktur oder eine Einzel-Damascene-Struktur aufweisen.Metal lines 34 include upper conductive (metallic) features such as metal lines, metal pads, or vias (referred to as 34A) in a top dielectric layer (referred to as dielectric layer 38A), which is the top layer of dielectric layers 38 . In accordance with some embodiments, dielectric layer 38A is formed of a low-k dielectric material that is similar to the material of lower ones of dielectric layers 38 . The metal lines 34 in the top dielectric layer 38A may also be formed of copper or a copper alloy and may have a dual damascene structure or a single damascene structure.

Gemäß einigen Ausführungsformen wird die Ätzstoppschicht 40 auf der oberen Dielektrikumsschicht 38A und der oberen Metallschicht abgeschieden. Die Ätzstoppschicht 40 kann aus Siliziumnitrid, Siliziumcarbid, Siliziumoxycarbid, Siliziumoxynitrid oder dergleichen gebildet sein oder weist diese auf.According to some embodiments, the etch stop layer 40 is deposited on the top dielectric layer 38A and the top metal layer. Etch stop layer 40 may be formed of or includes silicon nitride, silicon carbide, silicon oxycarbide, silicon oxynitride, or the like.

Eine Passivierungsschicht 42 (manchmal als Passivierung-1 oder Pass-1 bezeichnet) ist über der Ätzstopsschicht 40 gebildet. Gemäß einigen Ausführungsformen ist die Passivierungsschicht 42 aus einem dielektrischen Nicht-low-k-Material gebildet, das eine dielektrische Konstante aufweist, die so groß wie oder größer als ungefähr die dielektrische Konstante von Siliziumoxid ist. Die Passivierungsschicht 42 kann aus einem anorganischen dielektrischen Material gebildet sein oder dieses aufweisen, welches ein Material umfassen kann, das aus undotiertem Silikatglas (USG), Siliziumnitrid (SiN), Siliziumoxid (SiO2), Siliziumoxynitrid (SiON), Siliziumoxycarbid (SiOC), Siliziumcarbid (SiC) oder dergleichen, Kombinationen davon und/oder Mehrfachschichten davon ausgewählt ist und nicht darauf beschränkt ist. Gemäß einigen Ausführungsformen liegen die oberen Flächen der oberen Dielektrikumsschicht 38A und die Metallleitungen 34 auf einer selben Höhe. Dementsprechend kann die Passivierungsschicht 42 eine planare Schicht sein.A passivation layer 42 (sometimes referred to as passivation-1 or pass-1) is formed over etch stop layer 40 . In accordance with some embodiments, the passivation layer 42 is formed of a non-low-k dielectric material having a dielectric constant that is equal to or greater than about the dielectric constant of silicon oxide. The passivation layer 42 may be formed from or include an inorganic dielectric material, which may include a material selected from undoped silicate glass (USG), silicon nitride (SiN), silicon oxide (SiO 2 ), silicon oxynitride (SiON), silicon oxycarbide (SiOC), silicon carbide (SiC) or the like, combinations thereof and/or multiple layers thereof is selected and not limited thereto. According to some embodiments, the top surfaces of the top dielectric layer 38A and the metal lines 34 are at the same height. Accordingly, the passivation layer 42 can be a planar layer.

Gemäß einigen Ausführungsformen wird die Dielektrikumsschicht 44 über der Passivierungsschicht 42 abgeschieden. Der jeweilige Prozess ist als Prozess 202 in dem Prozessfluss 200 veranschaulicht, wie in 20 gezeigt ist. Die Dielektrikumsschicht 44 ist aus einem Material gebildet, das sich von jenem der Passivierungsschicht 42 unterscheidet, oder weist dieses auf, und kann aus SiC, SiN, SiON, SiOC oder dergleichen gebildet sein oder weist diese auf.According to some embodiments, dielectric layer 44 is deposited over passivation layer 42 . The respective process is illustrated as process 202 in the process flow 200, as in 20 is shown. The dielectric layer 44 is formed from or includes a material different from that of the passivation layer 42 and may be SiC, SiN, SiON, SiOC or the like may be formed or has them.

Unter Bezugnahme auf 2 wird eine Ätzmaske 46 gebildet und dann strukturiert. Gemäß einigen Ausführungsformen weist die Ätzmaske 46 ein Photoresist auf und kann eine Hartmaske, die aus TiN, BN oder dergleichen gebildet ist, aufweisen oder nicht. Dann wird ein anisotroper Ätzprozess durchgeführt, um eine Öffnung zu bilden, die die Dielektrikumsschichten einschließlich der Dielektrikumsschicht 44, der Passivierungsschicht 42, der Ätzstoppschicht 40, der IMDs 38, der Ätzstoppschichten 37, des ILD 28 usw. durchdringt. Das Halbleitersubstrat 24 wird weiter geätzt, so dass sich die Öffnung 48 zu einer Zwischenebene des Substrats 24 erstreckt, wobei die Zwischenebene zwischen der oberen Fläche 24A und der unteren Fläche des Halbleitersubstrats 24 liegt. Es wird somit eine Öffnung 48 gebildet. Der jeweilige Prozess ist als Prozess 204 in dem Prozessfluss 200 veranschaulicht, wie in 20 gezeigt ist. Die Öffnung 48 wird zum Bilden einer Halbleiterdurchkontaktierung (TSV, manchmal auch als Siliziumdurchkontaktierung bezeichnet) verwendet und wird somit im Folgenden als TSV-Öffnung 48 bezeichnet. Der anisotrope Ätzprozess umfasst eine Vielzahl von Ätzprozessen, welche unterschiedliche Ätzgase anwenden, um die Dielektrikumsschichten zu ätzen, die aus unterschiedlichen Materialien gebildet sind, und um das Halbleitersubstrat 24 zu ätzen.With reference to 2 an etch mask 46 is formed and then patterned. According to some embodiments, the etch mask 46 comprises a photoresist and may or may not comprise a hard mask formed of TiN, BN, or the like. An anisotropic etch process is then performed to form an opening penetrating the dielectric layers including dielectric layer 44, passivation layer 42, etch stop layer 40, IMDs 38, etch stop layers 37, ILD 28, and so on. The semiconductor substrate 24 is further etched such that the opening 48 extends to an intermediate plane of the substrate 24, the intermediate plane being between the top surface 24A and the bottom surface of the semiconductor substrate 24. FIG. An opening 48 is thus formed. The respective process is illustrated as process 204 in the process flow 200, as in 20 is shown. The opening 48 is used to form a semiconductor via (TSV, sometimes referred to as a silicon via) and is thus referred to as a TSV opening 48 hereinafter. The anisotropic etching process includes a plurality of etching processes that use different etching gases to etch the dielectric layers formed of different materials and to etch the semiconductor substrate 24 .

Gemäß einigen Ausführungsformen weist die TSV-Öffnung 48 eine obere Breite W1 und eine untere Breite W2, die geringer als die obere Breite W1 ist, auf. Die TSV-Öffnung 48 kann schräge und gerade Ränder 48E aufweisen, wobei der Neigungswinkel α der geraden Ränder 48E kleiner als 90 Grad ist, zum Beispiel im Bereich von zwischen ungefähr 80 Grad und ungefähr 90 Grad liegt. Das Aspektverhältnis H1/W1 der Öffnung 48 kann im Bereich von zwischen ungefähr 2 und ungefähr 10 gemäß einigen Ausführungsformen liegen. Die Ätzmaske 46 wird nach dem Bilden der TSV-Öffnung 48 entfernt, zum Beispiel durch einen Veraschungsprozess.According to some embodiments, the TSV opening 48 has a top width W 1 and a bottom width W 2 that is less than the top width W 1 . The TSV opening 48 may have slanted and straight edges 48E, wherein the angle of inclination α of the straight edges 48E is less than 90 degrees, for example in the range of between about 80 degrees and about 90 degrees. The aspect ratio H1/W1 of opening 48 may range from between about 2 and about 10 according to some embodiments. Etch mask 46 is removed after forming TSV opening 48, for example by an ashing process.

Unter Bezugnahme auf 3A wird eine erste Auskleidung 50 abgeschieden. Der jeweilige Prozess ist als Prozess 206 in dem Prozessfluss 200 veranschaulicht, wie in 20 gezeigt ist. Die Auskleidung 50 weist horizontale Abschnitte außerhalb der TSV-Öffnung 48 und vertikale Abschnitte, die sich in die TSV-Öffnung 48 hinein erstrecken, auf. Gemäß einigen Ausführungsformen ist die Auskleidung 50 aus einem dielektrischen Material, wie etwa Siliziumnitrid, Siliziumcarbid, Siliziumoxynitrid, Siliziumoxycarbid oder dergleichen oder Kombinationen davon, gebildet oder weist dieses auf. Gemäß alternativen Ausführungsformen ist die Auskleidung 50 aus einem leitfähigen Material, wie etwa Ti, TiN, Ta, TaN oder dergleichen oder Kombinationen davon, gebildet oder weist dieses auf. Die Dicke T1 der Auskleidung 50 ist gering, so dass die Auskleidung 50, welche einen hohen k-Wert aufweisen kann, nicht die nachteilige Verstärkung der parasitären Kapazität von parasitären Kondensatoren bewirkt. Zum Beispiel kann die Dicke T1 der Auskleidung 50 im Bereich von zwischen ungefähr 2 Å und ungefähr 500 Å liegen, wobei die Dicke T1 auf einer mittleren Höhe der vertikalen Abschnitte gemessen werden kann. Das Abscheidungsverfahren kann plasmaverstärkte chemische Dampfabscheidung (PECVD), Endatomschichtabscheidung (ALD, Atomic Layer Deposition), physische Dampfabscheidung (PVD, Physical Vapor Deposition) oder dergleichen umfassen. Die Vorläufer zum Bilden der Auskleidung 50 können einen siliziumhaltigen Vorläufer, wie etwa SiCl4, SiH2Cl2, Si2Cl6, Si3Cl8 oder dergleichen und einen stickstoffhaltigen Vorläufer, wie etwa NH3, aufweisen, zum Beispiel, wenn SiN zu bilden ist. Gemäß einigen Ausführungsformen weist die Auskleidung 50 eine gute Fähigkeit zum Verhindern von Diffusion auf und kann verhindern, dass unerwünschte Substanzen diese durchdringen.With reference to 3A a first liner 50 is deposited. The respective process is illustrated as process 206 in the process flow 200, as shown in FIG 20 is shown. The liner 50 has horizontal sections outside of the TSV opening 48 and vertical sections that extend into the TSV opening 48 . According to some embodiments, the liner 50 is formed from or includes a dielectric material such as silicon nitride, silicon carbide, silicon oxynitride, silicon oxycarbide, or the like, or combinations thereof. According to alternative embodiments, the liner 50 is formed from or includes a conductive material, such as Ti, TiN, Ta, TaN, or the like, or combinations thereof. The thickness T1 of the liner 50 is small so that the liner 50, which may have a high k value, does not cause the disadvantageous amplification of the parasitic capacitance of parasitic capacitors. For example, the thickness T1 of the liner 50 can range from between about 2 Å and about 500 Å, where the thickness T1 can be measured at an intermediate height of the vertical sections. The deposition process may include plasma enhanced chemical vapor deposition (PECVD), final atomic layer deposition (ALD), physical vapor deposition (PVD), or the like. The precursors for forming the liner 50 may include a siliceous precursor such as SiCl 4 , SiH 2 Cl 2 , Si 2 Cl 6 , Si 3 Cl 8 or the like and a nitrogenous precursor such as NH 3 , for example when SiN is to be formed. According to some embodiments, the liner 50 has a good ability to prevent diffusion and can prevent unwanted substances from penetrating therethrough.

Gemäß einigen Ausführungsformen werden die Prozessbedingungen der Abscheidung der Auskleidung 50 derart angepasst, dass die Auskleidung 50 eine nichtkonformale Schicht ist und die Auskleidung 50 die Seitenwände eines oberen Abschnitts der TSV-Öffnung 48 bedeckt, während die Seitenwände des unteren Abschnitts der TSV-Öffnung 48 nicht bedeckt werden. Gemäß einigen Ausführungsformen wird PECVD verwendet und werden einige Prozessbedingungen angepasst, um das gewünschte Profil für die Auskleidung 50 zu erzielen. Die angepassten Prozessbedingungen können den Druck des Prozessgases, ein Si/N-Gasströmungsverhältnis usw. umfassen, wobei das Si/N-Gasströmungsverhältnis das Verhältnis der Strömungsrate von siliziumhaltigen Gas zu der Strömungsrate des stickstoffhaltigen Gases ist. Zum Beispiel kann das Erhöhen des Drucks des Prozessgases bewirken, dass sich die Auskleidung 50 weniger weit zu der Unterseite der TSV-Öffnung 48 erstreckt (so dass die Höhe H2 verringert ist), währen das Verringern des Drucks bewirken kann, dass sich die Auskleidung 50 weiter zu der Unterseite der TSV-Öffnung 48 erstreckt. Das Vergrößern des Si/N-Gasströmungsverhältnisses kann bewirken, dass sich die Auskleidung 50 weniger weit zu der Unterseite der TSV-Öffnung 48 erstreckt, während das Verringern des Si/N-Gasströmungsverhältnisses bewirken kann, dass sich die Auskleidung 50 weiter zu der Unterseite der TSV-Öffnung 48 erstreckt. Durch Auswählen von geeigneten Prozessbedingungen einschließlich der geeigneten Kombination des Drucks und des Si/N-Gasströmungsverhältnisses kann die Unterseite der Auskleidung 50 auf einer gewünschten Höhe liegen. Wie in 3A gezeigt ist, liegt zum Beispiel die Unterseite 20bot auf einer Ebene, die auf derselben Höhe wie (oder im Wesentlichen auf derselben Höhe wie) die obere Fläche 24T des Halbleitersubstrats 24 liegt, zum Beispiel mit einer Höhendifferenz von weniger als ungefähr 100 nm.According to some embodiments, the process conditions of depositing the liner 50 are adjusted such that the liner 50 is a non-conformal layer and the liner 50 covers the sidewalls of an upper portion of the TSV opening 48 while the sidewalls of the lower portion of the TSV opening 48 are not be covered. In accordance with some embodiments, PECVD is used and some process conditions are adjusted to achieve the desired profile for the liner 50 . The adjusted process conditions may include the pressure of the process gas, a Si/N gas flow ratio, etc., where the Si/N gas flow ratio is the ratio of the flow rate of silicon-containing gas to the flow rate of nitrogen-containing gas. For example, increasing the pressure of the process gas may cause the liner 50 to extend less far to the bottom of the TSV orifice 48 (so that the height H2 is reduced), while decreasing the pressure may cause the liner 50 further extends to the bottom of the TSV opening 48. Increasing the Si/N gas flow ratio may cause the liner 50 to extend less far to the bottom of the TSV opening 48, while decreasing the Si/N gas flow ratio may cause the liner 50 to extend further to the bottom of the TSV opening 48 extends. By selecting appropriate process conditions including the appropriate combination of pressure and Si/N gas flow ratio, the bottom of the liner 50 can be at a desired height. As in 3A is shown is to For example, the bottom 20bot is at a level that is at the same level as (or substantially at the same level as) the top surface 24T of the semiconductor substrate 24, for example with a height difference of less than about 100 nm.

3B veranschaulicht die Bildung der Auskleidung 50 gemäß alternativen Ausführungsformen, bei welchen die Unterseiten 50bot der Auskleidung höher als die obere Fläche 24T des Halbleitersubstrats 24 sind. Zum Beispiel können die Seitenwände der oberen Schichten der Dielektrikumsschichten 38 durch die Auskleidung 50 bedeckt sein, während die Seitenwände einiger unterer Schichten der Dielektrikumsschichten 38 nicht durch die Auskleidung 50 bedeckt sind. Diese Ausführungsformen können angewendet werden, wenn die unteren Schichten der Dielektrikumsschichten 38 höhere k-Werte als die oberen Schichten der Dielektrikumsschichten 38 aufweisen, wodurch die Auskleidung 50 derart gebildet wird, dass sie die Seitenwände der Dielektrikumsschichten 38 mit geringeren k-Werten (die zum Beispiel k-Werte aufweisen, die geringer als 3,8 sind oder geringer als ungefähr 3,5 oder ungefähr 3,0 sind) bedeckt, während die Seitenwände der Dielektrikumsschichten 38 mit höheren k-Werten (zum Beispiel höher als ungefähr 3,5 oder 3,8) nicht geschützt sind. Es ist festzustellen, dass parasitäre Kondensatoren zwischen der resultierenden TSV und den umgebenden leitfähigen Materialien oder Halbleitermaterialien gebildet werden können und die parasitäre Kapazität zwischen der TSV und dem Halbleitersubstrat 24 stark zu der parasitären Kapazität beiträgt. Dementsprechend kann, wenn sich die Auskleidung 50 (welche den höheren k-Wert als die darauffolgend gebildete Auskleidung 52 (4) aufweist) nicht in das Halbleitersubstrat 24 hinein erstreckt, wie in den 3A und 3B gezeigt ist, die parasitäre Kapazität verringert werden. 3B 12 illustrates the formation of the liner 50 according to alternative embodiments in which the bottom surfaces 50bot of the liner are higher than the top surface 24T of the semiconductor substrate 24. FIG. For example, the sidewalls of the top layers of the dielectric layers 38 may be covered by the liner 50 while the sidewalls of some bottom layers of the dielectric layers 38 are not covered by the liner 50 . These embodiments may be applied when the bottom layers of dielectric layers 38 have higher k values than the top layers of dielectric layers 38, thereby forming the liner 50 such that the sidewalls of the lower k dielectric layers 38 (e.g., k values less than 3.8, or less than about 3.5 or about 3.0), while the sidewalls of dielectric layers 38 having higher k values (e.g., greater than about 3.5 or 3 ,8) are not protected. It is recognized that parasitic capacitors can be formed between the resulting TSV and surrounding conductive or semiconductor materials, and the parasitic capacitance between the TSV and the semiconductor substrate 24 contributes greatly to the parasitic capacitance. Accordingly, when the liner 50 (which has the higher k value than the subsequently formed liner 52 ( 4 ) has) does not extend into the semiconductor substrate 24, as in FIGS 3A and 3B is shown, the parasitic capacitance can be reduced.

3C veranschaulicht die Bildung der Auskleidung 50 gemäß noch weiteren alternativen Ausführungsformen, bei welchen die Unterseiten 50bot der Auskleidung 50 niedriger als die obere Fläche 24T des Halbleitersubstrats 24 und höher als die Unterseite der TSV-Öffnung 48 sind. Die Bildung der Auskleidung 50 in 3C kann durch Auswählen von geeigneten Prozessbedingungen erzielt werden, wie zuvor erläutert wurde. 3C 12 illustrates the formation of the liner 50 in accordance with still further alternative embodiments in which the bottom surfaces 50bot of the liner 50 are lower than the top surface 24T of the semiconductor substrate 24 and higher than the bottom surface of the TSV opening 48. FIG. The formation of the liner 50 in 3C can be achieved by selecting appropriate process conditions, as discussed previously.

3D veranschaulicht die Bildung der Auskleidung 50 gemäß noch weiteren alternativen Ausführungsformen, wobei die Auskleidung 50 alle Flächen bedeckt, die gegenüber der TSV-Öffnung 48 freigelegt sind, einschließlich der unteren Fläche der TSV-Öffnung 48. Gemäß einigen Ausführungsformen kann die Auskleidung 50 in 3D unter Verwendung von PECVD gebildet werden und kann durch Auswählen von geeigneten Prozessbedingungen erzielt werden, wie zuvor erläutert wurde. Gemäß alternativen Ausführungsformen kann die Auskleidung 50 unter Verwendung eines konformalen Abscheidungsverfahrens, wie etwa ALD, CVD oder dergleichen, gebildet werden. Die resultierende Auskleidung 50 kann somit konformal sein, wobei zum Beispiel die horizontalen Abschnitte und die vertikalen Abschnitte eine Dickenvariation aufweisen, die geringer als ungefähr 20 Prozent oder ungefähr 10 Prozent ist. 3D 12 illustrates the formation of the liner 50 according to still further alternative embodiments, wherein the liner 50 covers all surfaces exposed to the TSV opening 48, including the bottom surface of the TSV opening 48. According to some embodiments, the liner 50 in 3D can be formed using PECVD and can be achieved by selecting appropriate process conditions as discussed previously. According to alternative embodiments, the liner 50 may be formed using a conformal deposition process such as ALD, CVD, or the like. The resulting liner 50 can thus be conformal, for example with the horizontal sections and the vertical sections having a thickness variation that is less than about 20 percent or about 10 percent.

Wie in den 3A, 3B, 3C und 3D gezeigt ist, können die Auskleidungen 50 dielektrische Einzelschichtauskleidungen oder Verbundauskleidungen, wie etwa Doppelschichtauskleidungen, sein. Die 3A, 3B, 3C und 3D veranschaulichen beispielhafte Doppelschichtauskleidungen 50, die dielektrische Auskleidungen (Teilauskleidungen) 50A und 50B aufweisen. Es ist festzustellen, dass die Auskleidungen 50 in den 3A, 3B, 3C und 3D auch Einzelschichtauskleidungen sein können. Dementsprechend sind die Linien, die die Auskleidungen 50A und 50B trennen, gestrichelt gezeigt, um anzuzeigen, dass diese Linien vorhanden sein können oder nicht. Gemäß einigen Ausführungsformen sind die Auskleidungen 50A und 50B aus unterschiedlichen Materialien oder einem selben Material, das unterschiedliche Zusammensetzungen aufweist, gebildet. Zum Beispiel kann die dielektrische Auskleidung sowohl aus Siliziumnitrid als auch Siliziumoxynitrid gebildet sein, kann jedoch der atomare Stickstoffprozentanteil der Auskleidung 50A höher als oder geringer als der atomare Stickstoffprozentanteil in der Auskleidung 50B sein. Die Auskleidungen 50A und 50B können in separaten Prozessen gebildet werden, welche in einer selben Prozesskammer gebildet werden können (oder nicht), und können vor Ort mit keiner Vakuumunterbrechung dazwischen gebildet werden (oder nicht). Wenngleich es nicht detailliert in den 3A, 3B, 3C und 3D gezeigt ist, können sich dementsprechend die Auskleidungen 50A und 50B in unterschiedlichen Tiefen erstrecken, wie in den 3E, 3F und 3G gemäß einigen beispielhaften Ausführungsformen gezeigt ist.As in the 3A , 3B , 3C and 3D As shown, the liners 50 may be single layer dielectric liners or composite liners such as dual layer liners. the 3A , 3B , 3C and 3D 12 illustrate exemplary bilayer liners 50 that include dielectric liners (partial liners) 50A and 50B. It is noted that the liners 50 in the 3A , 3B , 3C and 3D can also be single-layer linings. Accordingly, the lines separating liners 50A and 50B are shown in phantom to indicate that these lines may or may not be present. According to some embodiments, the liners 50A and 50B are formed from different materials or the same material having different compositions. For example, the dielectric liner may be formed of both silicon nitride and silicon oxynitride, however, the atomic nitrogen percentage of liner 50A may be greater than or less than the atomic nitrogen percentage in liner 50B. The liners 50A and 50B may be formed in separate processes, which may (or may not) be formed in a same process chamber, and may (or may not) be formed in-situ with no vacuum break therebetween. Although not detailed in the 3A , 3B , 3C and 3D Accordingly, liners 50A and 50B may extend to different depths as shown in FIGS 3E , 3F and 3G according to some example embodiments.

Die 3E, 3F und 3G veranschaulichen einige Details der Doppelschichtauskleidungen 50, wie in den 3A, 3B, 3C und 3D gemäß einigen Ausführungsformen gezeigt ist. Es ist festzustellen, dass die veranschaulichten unteren Ebenen der Auskleidungen 50A und 50B Beispiele sind und die Unterseite jeder der Auskleidungen 50A und 50B auf einer beliebigen Ebene zwischen der Oberseite und der Unterseite der TSV-Öffnung 48 in einer beliebigen Kombination liegen kann. Zum Beispiel kann die Unterseite jeder der Auskleidungen 50A und 50B auf einer beliebigen Ebene liegen, wie in den 3A, 3B, 3C und 3D gezeigt. 3E veranschaulicht eine Ausführungsform, in welcher sich die Auskleidung 50B tiefer als die Auskleidung 50A in die TSV-Öffnung 48 hinein erstreckt. 3F veranschaulicht eine Ausführungsform, in welcher sich die Auskleidung 50B in eine selbe Tiefe wie die Auskleidung 50A in die TSV-Öffnung 48 hinein erstreckt. 3G veranschaulicht eine Ausführungsform, in welcher sich die Auskleidung 50B weniger als die Auskleidung 50A in die TSV-Öffnung 48 hinein erstreckt.the 3E , 3F and 3G illustrate some details of the bilayer liners 50 as in Figs 3A , 3B , 3C and 3D according to some embodiments. It should be appreciated that the illustrated lower levels of liners 50A and 50B are examples and the bottom of each of liners 50A and 50B may be at any level between the top and bottom of TSV opening 48 in any combination. For example, the bottom of each of the liners 50A and 50B can be at any level, as shown in FIGS 3A , 3B , 3C and 3D shown. 3E illustrates an execution shape in which liner 50B extends deeper into TSV opening 48 than liner 50A. 3F 14 illustrates an embodiment in which liner 50B extends into TSV opening 48 to the same depth as liner 50A. 3G 12 illustrates an embodiment in which liner 50B extends into TSV opening 48 less than liner 50A.

In den Ausführungsformen, wie in den 3A-3G gezeigt, kann die Prozessvariation bewirken, dass sich unterschiedliche Abschnitte der Auskleidungen 50 in dieselbe Tiefe oder in unterschiedliche Tiefen erstrecken, da die Auskleidungen 50 (und die Teilschichten 50A und 50B) in verschiedenen Tiefen abgeschieden werden. Zum Beispiel kann sich in jeder der 3A-3G der Abschnitt der Auskleidungen 50 auf der linken Seite der Öffnungen 48 in dieselbe Tiefe, eine größere Tiefe oder eine geringere Tiefe als der Abschnitt der Auskleidungen 50 auf der rechten Seite der Öffnungen 48 erstrecken. Zusätzlich können die unteren Endabschnitte der Auskleidungen 50 stufenweise verringerte Dicken (anstatt einer gleichmäßigen Dicke) aufweisen. Zum Beispiel veranschaulicht 16 einen unteren Abschnitt der Auskleidung 50 mit der stufenweise verringerten Dicke. Ferner veranschaulicht 16, dass sich die unterschiedlichen Abschnitte der dielektrischen Auskleidung 50 bis zu unterschiedlichen Tiefen der TSV-Öffnung 48 erstrecken können. Die Tiefendifferenz ΔH kann gemäß einigen Ausführungsformen größer als ungefähr 100 nm sein.In the embodiments as in the 3A-3G As shown, the process variation may cause different portions of the liners 50 to extend to the same depth or to different depths since the liners 50 (and sub-layers 50A and 50B) are deposited at different depths. For example, in each of the 3A-3G the portion of the liners 50 to the left of the openings 48 extend to the same depth, a greater depth, or a lesser depth than the portion of the liners 50 to the right of the openings 48. Additionally, the lower end portions of the liners 50 may have gradually reduced thicknesses (rather than a uniform thickness). For example illustrated 16 a lower portion of the liner 50 with the gradually reduced thickness. Further illustrated 16 that the different portions of the dielectric liner 50 may extend to different depths of the TSV opening 48 . The depth difference ΔH may be greater than about 100 nm, according to some embodiments.

Unter Bezugnahme auf 4 wird eine zweite Auskleidung 52 auf der ersten Auskleidung 50 abgeschieden. Der jeweilige Prozess ist als Prozess 208 in dem Prozessfluss 200 veranschaulicht, wie in 20 gezeigt ist. Gemäß einigen Ausführungsformen ist die dielektrische Auskleidung 52 aus einem Material gebildet, da sich von dem/den Material(ien) der Auskleidung 50 unterscheidet. Zum Beispiel kann die dielektrische Auskleidung 52 aus einem dielektrischen Material, wie etwa Siliziumoxid, Siliziumoxynitrid oder dergleichen, gebildet sein oder weist dieses auf. Dementsprechend wird die Auskleidung 52 alternativ als dielektrische Auskleidung 52 bezeichnet. Die dielektrische Auskleidung 52 wird als eine konformale Schicht abgeschieden, so dass die horizontalen Abschnitte und die vertikalen Abschnitte der dielektrischen Auskleidung 52 Dicken aufweisen, die nahe beieinander liegen, zum Beispiel mit einer Variation, die geringer als ungefähr 20 Prozent oder 10 Prozent ist. Das Abscheidungsverfahren kann Atomschichtabscheidung (ALD, Atomic Layer Deposition), chemische Dampfabscheidung (CVD, Chemical Vapor Deposition) oder dergleichen umfassen. Die Dicke T2 der dielektrischen Auskleidung 52 kann im Bereich von zwischen ungefähr 500 Å und ungefähr 2.500 Å liegen. Die Auskleidungen 50 und 52 werden auch gemeinsam als Mehrfachschichtauskleidung bezeichnet. Ein Verhältnis T1:T2 kann gemäß einigen Ausführungsformen im Bereich von zwischen ungefähr 0,001:1 und ungefähr 0,5:1 liegen.With reference to 4 a second liner 52 is deposited on the first liner 50 . The respective process is illustrated as process 208 in the process flow 200, as in 20 is shown. According to some embodiments, the dielectric liner 52 is formed of a material different from the material(s) of the liner 50 . For example, the dielectric liner 52 may be formed from or includes a dielectric material such as silicon oxide, silicon oxynitride, or the like. Accordingly, liner 52 is alternatively referred to as dielectric liner 52 . The dielectric liner 52 is deposited as a conformal layer such that the horizontal portions and the vertical portions of the dielectric liner 52 have thicknesses that are close together, for example with a variation that is less than about 20 percent or 10 percent. The deposition process may include Atomic Layer Deposition (ALD), Chemical Vapor Deposition (CVD), or the like. The thickness T2 of the dielectric liner 52 may range from between about 500 Å and about 2500 Å. The liners 50 and 52 are also referred to collectively as a multi-layer liner. A ratio T1:T2 may range between about 0.001:1 and about 0.5:1, according to some embodiments.

Die Auskleidungen 50 und 52 können unterschiedliche Dichten aufweisen. Gemäß einigen Ausführungsformen ist die dielektrische Auskleidung 50 dichter als die Auskleidung 52. Zum Beispiel kann die Auskleidung 50 eine Dichte DS50 im Bereich von zwischen ungefähr 3 g/cm3 und ungefähr 10 g/cm3 aufweisen. Die dielektrische Auskleidung 52 kann eine Dichte DS52 im Bereich von zwischen ungefähr 2,5 g/cm3 und ungefähr 4 g/cm3 aufweisen. Die Dichtendifferenz (DS52 - DS50) kann größer als ungefähr 0,5 g/cm3 sein und kann im Bereich von zwischen ungefähr 0,5 g/cm3 und ungefähr 7 g/cm3 liegen.The liners 50 and 52 may have different densities. According to some embodiments, dielectric liner 50 is denser than liner 52. For example, liner 50 may have a density DS50 ranging from between about 3 g/cm 3 and about 10 g/cm 3 . The dielectric liner 52 may have a density DS52 ranging from between about 2.5 g/cm3 and about 4 g/cm3. The density difference (DS52 - DS50) can be greater than about 0.5 g/cm3 and can range from between about 0.5 g/cm3 and about 7 g/cm3.

5 veranschaulicht das Abscheiden der Metallkeimschicht 54. Der jeweilige Prozess ist als Prozess 210 in dem Prozessfluss 200 veranschaulicht, wie in 20 gezeigt ist. Gemäß einigen Ausführungsformen wird die Metallkeimschicht 54 durch physische Dampfabscheidung (PVD) gebildet. Die Metallkeimschicht 54 kann eine einzelne Schicht sein, die zum Beispiel aus Kupfer gebildet ist, oder kann eine Vielzahl von Schichten aufweisen, die zum Beispiel eine leitfähige Barriereschicht und eine Kupferschicht auf der leitfähigen Barriereschicht umfassen. Die leitfähige Barriereschicht kann aus TiN, Ti, TaN, Ta oder dergleichen gebildet sein oder diese aufweisen. 5 illustrates depositing metal seed layer 54. The respective process is illustrated as process 210 in process flow 200, as shown in FIG 20 is shown. According to some embodiments, the metal seed layer 54 is formed by physical vapor deposition (PVD). The metal seed layer 54 may be a single layer formed of copper, for example, or may have a plurality of layers including, for example, a conductive barrier layer and a copper layer on the conductive barrier layer. The conductive barrier layer may be formed of or include TiN, Ti, TaN, Ta, or the like.

6 veranschaulicht das Abscheiden des leitfähigen Materials 56, welches ein metallisches Material, wie etwa Kupfer oder eine Kupferlegierung, sein kann. Der jeweilige Prozess ist als Prozess 212 in dem Prozessfluss 200 veranschaulicht, wie in 20 gezeigt ist. Der Abscheidungsprozess kann unter Verwendung von elektrochemischer Plattierung (ECP), elektroloser Plattierung oder dergleichen durchgeführt werden. Das Plattieren wird durchgeführt, bis die obere Fläche des plattierten leitfähigen Materials 56 höher als die obere Fläche der Auskleidung 50 oder 52 ist. 6 12 illustrates the deposition of conductive material 56, which may be a metallic material such as copper or a copper alloy. The respective process is illustrated as process 212 in the process flow 200, as shown in FIG 20 is shown. The deposition process can be performed using electrochemical plating (ECP), electroless plating, or the like. The plating is performed until the top surface of the plated conductive material 56 is higher than the top surface of the liner 50 or 52. FIG.

7 veranschaulicht einen Planarisierungsprozess, welcher ein CMP-Prozess oder ein mechanischer Schleifprozess sein kann, der durchgeführt wird, um die obere Fläche des leitfähigen Materials 56 zu planarisieren. Der jeweilige Prozess ist als Prozess 214 in dem Prozessfluss 200 veranschaulicht, wie in 20 gezeigt ist. Gemäß einigen Ausführungsformen wird der Planarisierungsprozess unter Verwendung der Dielektrikumsschicht 42 als eine Stoppschicht durchgeführt, wie in 7 veranschaulicht ist. Gemäß alternativen Ausführungsformen wird der Planarisierungsprozess unter Verwendung anderer Dielektrikumsschichten, wie etwa der Dielektrikumsschicht 44 (6), als eine CMP-Stoppschicht durchgeführt. Dementsprechend wird die obere Fläche des verbleibenden leitfähigen Materials 56 koplanar mit der oberen Fläche der Dielektrikumsschicht 44 sein. Die verbleibenden Abschnitte der Metallkeimschicht 54 und des leitfähigen Materials 56 werden im Folgenden gemeinsam als Durchkontaktierung 61 bezeichnet. 7 FIG. 12 illustrates a planarization process, which may be a CMP process or a mechanical grinding process, performed to planarize the top surface of conductive material 56. FIG. The respective process is illustrated as process 214 in the process flow 200, as shown in FIG 20 is shown. According to some embodiments, the planarization process is performed using the dielectric layer 42 as a stop layer, as shown in FIG 7 is illustrated. According to alternative Ausführungsfor In this case, the planarization process is performed using other dielectric layers, such as dielectric layer 44 ( 6 ), performed as a CMP stop layer. Accordingly, the top surface of the remaining conductive material 56 will be coplanar with the top surface of the dielectric layer 44 . The remaining portions of metal seed layer 54 and conductive material 56 are collectively referred to as via 61 hereinafter.

Die 7 bis 13 veranschaulichen die Bildung von oberen Merkmalen gemäß einigen Ausführungsformen. Es ist festzustellen, dass diese Prozesse Beispiele sind und ein beliebiges sonstiges Verbindungsschema von der vorliegenden Offenbarung in Betracht gezogen wird. Weiter unter Bezugnahme auf 7 ist die Durchkontaktierung 58 derart gebildet, dass sie ferner mit der oberen Metallleitung/-pad 34 verbunden ist. Der jeweilige Prozess ist als Prozess 216 in dem Prozessfluss 200 veranschaulicht, wie in 20 gezeigt ist. Gemäß einigen Ausführungsformen ist die Durchkontaktierung 58 durch einen Einzel-Damascene-Prozess gebildet. Der Bildungsprozess kann umfassen, dass die Ätzpassivierungsschicht 42 und die darunterliegende Ätzstoppschicht 37 eine Öffnung bilden, und das Abscheiden einer leitfähigen Barriere (die zum Beispiel aus Titan, Titannitrid, Tantal, Tantalnitrid oder dergleichen gebildet ist) und das Plattieren eines leitfähigen Materials, wie etwa Kupfer, Wolfram oder dergleichen, umfassen. Dann kann ein CMP-Prozess durchgeführt werden, um überschüssiges Material zu entfernen, wobei die Durchkontaktierung 58 zurückgelassen wird.the 7 until 13 12 illustrate formation of top features according to some embodiments. It should be noted that these processes are examples and any other connection scheme is contemplated by the present disclosure. Continue with reference to 7 For example, via 58 is formed to further connect to top metal line/pad 34 . The respective process is illustrated as process 216 in the process flow 200, as shown in FIG 20 is shown. According to some embodiments, via 58 is formed by a single damascene process. The formation process may include the etch passivation layer 42 and underlying etch stop layer 37 forming an opening, and depositing a conductive barrier (e.g., formed of titanium, titanium nitride, tantalum, tantalum nitride, or the like) and plating a conductive material, such as copper, tungsten or the like. A CMP process may then be performed to remove excess material, leaving via 58 behind.

Unter Bezugnahme auf 8 wird gemäß einigen Ausführungsformen eine dielektrische Isolationsschicht 60 abgeschieden. Der jeweilige Prozess ist als Prozess 218 in dem Prozessfluss 200 veranschaulicht, wie in 20 gezeigt ist. Das Material der Isolationsschicht 60 kann aus derselben Gruppe von Kandidatenmaterialien zum Bilden der Auskleidung 50 ausgewählt werden und kann dasselbe wie oder ein anderes als das Material der Auskleidung 50 sein. Wenn zum Beispiel die Auskleidung 50 aus Siliziumnitrid gebildet ist, kann die Isolationsschicht 60 aus Siliziumnitrid oder Siliziumcarbid gebildet sein.With reference to 8th an isolation dielectric layer 60 is deposited according to some embodiments. The respective process is illustrated as process 218 in the process flow 200, as shown in FIG 20 is shown. The material of the insulating layer 60 may be selected from the same group of candidate materials for forming the liner 50 and may be the same as or different from the liner 50 material. For example, if the liner 50 is formed of silicon nitride, the insulating layer 60 may be formed of silicon nitride or silicon carbide.

Unter Bezugnahme auf 9 wird die Isolationsschicht 60 geätzt und werden Metallpads 62 über der Passivierungsschicht 42 gebildet. Der jeweilige Prozess ist als Prozess 220 in dem Prozessfluss 200 veranschaulicht, wie in 20 gezeigt ist. Die Metallpads 62 können Aluminiumpads oder Aluminium-Kupfer-Pads sein, und es können andere metallische Materialien verwendet werden. Der Bildungsprozess kann das Abscheiden einer Metallschicht und dann das Strukturieren der Metallschicht, um die Metallpads 62 zurückzulassen, umfassen. Die Metallpads 62 können auch einige Abschnitte, die sich direkt über der Isolationsschicht 60 erstrecken, gemäß einigen Ausführungsformen aufweisen. Dann wird die Passivierungsschicht 64 (manchmal als Passivierung-2 bezeichnet) gebildet. Der jeweilige Prozess ist als Prozess 222 in dem Prozessfluss 200 veranschaulicht, wie in 20 gezeigt ist. Die Passivierungsschicht 64 kann eine einzelne Schicht oder eine Verbundschicht sein und kann aus einem nicht-porösen Material, wie etwa Siliziumoxid, Siliziumnitrid, USG, Siliziumoxynitrid oder dergleichen gebildet sein.With reference to 9 the insulating layer 60 is etched and metal pads 62 are formed over the passivation layer 42 . The respective process is illustrated as process 220 in the process flow 200, as shown in FIG 20 is shown. The metal pads 62 can be aluminum pads or aluminum-copper pads, and other metallic materials can be used. The formation process may include depositing a metal layer and then patterning the metal layer to leave the metal pads 62 behind. The metal pads 62 may also have some portions that extend directly over the isolation layer 60 according to some embodiments. Then the passivation layer 64 (sometimes referred to as passivation-2) is formed. The respective process is illustrated as process 222 in the process flow 200, as shown in FIG 20 is shown. The passivation layer 64 can be a single layer or a compound layer and can be formed of a non-porous material such as silicon oxide, silicon nitride, USG, silicon oxynitride, or the like.

Als Nächstes wird die Passivierungsschicht 64 strukturiert, so dass einige Abschnitte der Passivierungsschicht 64 die Randabschnitte der Metallpads 62 bedecken und einige Abschnitte der Metallpads 62 durch die Öffnungen in der Passivierungsschicht 64 freigelegt sind. Dann wird die Polymerschicht 66 gebildet, zum Beispiel durch Verteilen der Polymerschicht 66 in einer fließfähigen Form und dann Aushärten der Polymerschicht 66. Die Polymerschicht 66 wird strukturiert, um die Metallpads 62 freizulegen. Der jeweilige Prozess ist auch als Prozess 222 in dem Prozessfluss 200 veranschaulicht, wie in 20 gezeigt ist. Die Polymerschicht 66 kann aus Polyimid, Polybenzoxazol (PBO) oder dergleichen gebildet sein.Next, the passivation layer 64 is patterned such that some portions of the passivation layer 64 cover the edge portions of the metal pads 62 and some portions of the metal pads 62 are exposed through the openings in the passivation layer 64 . Then the polymer layer 66 is formed, for example by spreading the polymer layer 66 in a flowable form and then curing the polymer layer 66. The polymer layer 66 is patterned to expose the metal pads 62. FIG. The respective process is also illustrated as process 222 in the process flow 200, as in 20 is shown. The polymer layer 66 may be formed from polyimide, polybenzoxazole (PBO), or the like.

Dann werden Under-Bump-Metallisierungen (UBMs) 68 und leitfähige Regionen 70 gebildet, um sich elektrisch mit den darunterliegenden Metallpads 62 zu verbinden, wie in 10 gezeigt ist. Der jeweilige Prozess ist als Prozess 224 in dem Prozessfluss 200 veranschaulicht, wie in 20 gezeigt ist. Die Bildungsprozesse der UBMs 68 und der leitfähigen Regionen 70 können das Abscheiden einer Deckmetallkeimschicht, die sich in die Öffnungen in der Passivierungsschicht 64 und der Polymerschicht 66 hinein erstrecken, das Bilden einer strukturierten Plattierungsmaske auf der Metallkeimschicht, das Plattieren der leitfähigen Regionen 70, das Entfernen der Plattierungsmaske und das Ätzen der Abschnitte der Deckmetallkeimschicht, die zuvor von der Plattierungsmaske bedeckt wurde, umfassen. Die verbleibenden Abschnitte der Deckmetallkeimschicht werden als UBMs 68 bezeichnet. Die Metallkeimschicht kann eine Titanschicht und eine Kupferschicht über der Titanschicht aufweisen. Die leitfähigen Regionen 70 können Kupfer, Nickel, Palladium, Aluminium, Gold, Legierungen davon und/oder Mehrfachschichten davon aufweisen. Jede der leitfähigen Regionen 70 kann eine Kupferregion aufweisen, welche mit einer Lötregion abgedeckt sein kann oder nicht, welche aus SnAg oder ähnlichen Materialien gebildet sein kann. Gemäß einigen Ausführungsformen stehen die leitfähigen Regionen 70 höher als die obere Fläche der oberen Dielektrikumsschicht in dem Wafer 20 vor und können zum Löt-Bonding, direkten Metall-Metall-Bonding oder dergleichen verwendet werden. Gemäß alternativen Ausführungsformen ist die Dielektrikumsschicht 71 derart gebildet, dass sie eine obere Fläche aufweist, die koplanar mit den oberen Flächen der leitfähigen Regionen 70 ist, und kann für Hybrid-Bonding verwendet werden.Then, under-bump metallizations (UBMs) 68 and conductive regions 70 are formed to electrically connect to the underlying metal pads 62, as shown in FIG 10 is shown. The respective process is illustrated as process 224 in the process flow 200, as shown in FIG 20 is shown. The processes of forming the UBMs 68 and the conductive regions 70 may include depositing a cap metal seed layer that extends into the openings in the passivation layer 64 and the polymer layer 66, forming a patterned plating mask on the metal seed layer, plating the conductive regions 70, removing the plating mask and etching the portions of the cap metal seed layer previously covered by the plating mask. The remaining portions of the cap metal seed layer are referred to as UBMs 68 . The metal seed layer may include a titanium layer and a copper layer over the titanium layer. The conductive regions 70 may include copper, nickel, palladium, aluminum, gold, alloys thereof, and/or multiple layers thereof. Each of the conductive regions 70 may include a copper region, which may or may not be covered with a solder region, which may be formed of SnAg or similar materials. According to some embodiments, the conductive regions 70 protrude higher than the top surface of the top dielectric layer in the wafer 20 and can be used for solder bonding, direct metal-to-metal bonding, or the same can be used. According to alternative embodiments, the dielectric layer 71 is formed to have a top surface that is coplanar with the top surfaces of the conductive regions 70 and can be used for hybrid bonding.

Die 11 bis 13 veranschaulichen den Prozess zum Bilden von Merkmalen auf der Rückseite des Halbleitersubstrats 24. Der jeweilige Prozess ist als Prozess 226 in dem Prozessfluss 200 veranschaulicht, wie in 20 gezeigt ist. Unter Bezugnahme auf 11 wird ein Rückseitenschleifprozess durchgeführt, um einen Abschnitt des Substrats 24 zu entfernen, bis die TSV 61 offengelegt ist. Als Nächstes wird das Halbleitersubstrat 24 leicht ausgespart (zum Beispiel durch Ätzen), so dass die TSV 61 aus der hinteren Fläche des Halbleitersubstrats 24 vorsteht, wie in 12 gezeigt ist.the 11 until 13 12 illustrate the process for forming features on the backside of the semiconductor substrate 24. The respective process is illustrated as process 226 in the process flow 200 as shown in FIG 20 is shown. With reference to 11 a back grinding process is performed to remove a portion of the substrate 24 until the TSV 61 is exposed. Next, the semiconductor substrate 24 is slightly recessed (e.g., by etching) so that the TSV 61 protrudes from the back surface of the semiconductor substrate 24, as shown in FIG 12 is shown.

Wie in 12 gezeigt, wird als Nächstes die Dielektrikumsschicht 72 abgeschieden gefolgt von einem CMP-Prozess oder einem mechanischen Schleifprozess, um die TSV 61 wieder freizulegen. Die TSV 61 durchdringt somit die Dielektrikumsschicht 72. Gemäß einigen Ausführungsformen ist die Dielektrikumsschicht 72 aus Siliziumoxid, Siliziumnitrid oder dergleichen gebildet. Unter Bezugnahme auf 13 wird eine RDL 74 gebildet, welche einen Padabschnitt aufweist, der die TSV 61 berührt. Die RDL 74 kann aus Aluminium, Kupfer, Nickel, Titan oder dergleichen gemäß einigen Ausführungsformen gebildet sein.As in 12 1, the dielectric layer 72 is deposited next, followed by a CMP process or a mechanical grinding process to expose the TSV 61 again. The TSV 61 thus penetrates the dielectric layer 72. According to some embodiments, the dielectric layer 72 is formed of silicon oxide, silicon nitride, or the like. With reference to 13 an RDL 74 having a pad portion touching the TSV 61 is formed. The RDL 74 may be formed from aluminum, copper, nickel, titanium, or the like according to some embodiments.

14A veranschaulicht die Bildung der Dielektrikumsschicht 76 und des elektrischen Anschlusses 78. Gemäß einigen Ausführungsformen weist der elektrische Anschluss 78 eine Lötregion auf, welche durch Plattieren einer Lötkugel auf dem Pad der RDL 74 und Aufschmelzen der Lötkugel gebildet werden kann. Gemäß alternativen Ausführungsformen wird der elektrische Anschluss 78 aus nicht-aufschmelzbaren metallischen Materialien (Nicht-Löt-Metallmaterialien) gebildet. Zum Beispiel kann der elektrische Anschluss 78 als ein Kupferpad oder eine Kupfersäule gebildet werden und kann eine Nickelabdeckschicht aufweisen oder nicht. Der elektrische Anschluss 78 kann aus der umgebenden Dielektrikumsschicht vorstehen und kann für Löt-Bonding oder direktes Metall-Metall-Bonding verwendet werden. Alternativ kann die untere Fläche des elektrischen Anschlusses 78 koplanar mit der unteren Fläche der Dielektrikumsschicht 76 sein, so dass die Vorrichtung 22 für Hybrid-Bonding verwendet werden kann. Die Dielektrikumsschicht 71, welche sich auf der Vorderseite des Wafers 20 befindet, ist auch in 14A unter Verwendung von gestrichelten Linien gezeigt, um anzuzeigen, dass sie gebildet sein kann oder nicht. Wenngleich es nicht in den 14B, 14C, 14D, 14E, 14F und 14G gezeigt ist, kann die Dielektrikumsschicht 71 auch in den Strukturen gebildet sein, die in diesen Figuren veranschaulicht sind. Gemäß einigen Ausführungsformen wird der Wafer 20 durch einen Sägeprozess vereinzelt, zum Bespiel durch Schneiden durch Ritzlinien 80. 14A 12 illustrates the formation of dielectric layer 76 and electrical connector 78. According to some embodiments, electrical connector 78 includes a solder region, which may be formed by plating a solder ball on the pad of RDL 74 and reflowing the solder ball. According to alternative embodiments, the electrical connector 78 is formed from non-reflowable (non-solder) metallic materials. For example, the electrical connector 78 may be formed as a copper pad or pillar and may or may not have a nickel cap layer. Electrical connection 78 may protrude from the surrounding dielectric layer and may be used for solder bonding or direct metal-to-metal bonding. Alternatively, the bottom surface of electrical connector 78 can be coplanar with the bottom surface of dielectric layer 76 so that device 22 can be used for hybrid bonding. The dielectric layer 71, which is located on the front side of the wafer 20, is also in 14A shown using dashed lines to indicate that it may or may not be formed. Although not in the 14B , 14C , 14D , 14E , 14F and 14G As shown, the dielectric layer 71 may also be formed in the structures illustrated in those figures. According to some embodiments, the wafer 20 is singulated by a sawing process, for example by cutting through scribe lines 80.

Die 14B, 14C, 14D, 14E, 14F und 14G veranschaulichen die Strukturen, die basierend auf der Struktur gebildet sind, die jeweils in den 3B, 3C, 3D, 3E, 3F und 3G gezeigt ist. Es ist festzustellen, dass sich die Details der Prozesse und Materialien zum Bilden der Strukturen, die in den 14B, 14C, 14D, 14E, 14F und 14G gezeigt sind, jeweils auf die Erläuterung der 3B, 3C, 3D, 3E, 3F und 3G und die Erläuterung der 4 bis 13 beziehen. In jeder der 14A, 14B, 14C und 14D sind gestrichelte Linien bei den Auskleidungen 50 gezeichnet, welche anzeigen, dass die Auskleidungen 50 Einzelschichtauskleidungen sein können oder Doppelschichtauskleidungen einschließlich der Teilauskleidungen 50A und 50B sein können. Ebenfalls können die Unterseiten der Auskleidungen 50A tiefer als, auf derselben Höhe wie oder höher als die Unterseiten der jeweiligen Auskleidungen 50B liegen. In 14A weist die Auskleidung 50 ein unteres Ende 50bot auf, das auf derselben Höhe wie die obere Fläche 24T des Halbleitersubstrats 24 liegt. Wenn die dielektrische Auskleidung 50 zwei Teilauskleidungen 50A und 50B aufweist, liegt bei einer der Teilauskleidungen 50A und 50B das untere Ende 50bot auf derselben Höhe wie die obere Fläche 24T, während das untere Ende 50bot der anderen höher als, tiefer als oder auf derselben Höhe wie die obere Fläche 24T des Halbleitersubstrats 24 liegen kann. 14B veranschaulicht, dass das untere Ende 50bot der Auskleidung 50 (oder zumindest einer der Teilauskleidungen 50A und 50B) höher als die obere Fläche 24T ist. 14C veranschaulicht, dass das untere Ende der Auskleidung 50 (oder zumindest einer der Teilauskleidungen 50A und 50B) niedriger als die obere Fläche 24T ist. 14D veranschaulicht, dass sich das untere Ende der Auskleidung 50 (und der Teilauskleidungen 50A und 50B) zu der unteren Fläche des Halbleitersubstrats 24 erstreckt. 14E veranschaulicht, dass die Teilauskleidung 50A eine Unterseite aufweist, die höher als die Unterseite der Teilauskleidung 50B ist. 14F veranschaulicht, dass sich die Teilauskleidung 50A auf einer selben Höhe wie die Teilauskleidung 50B erstreckt. 14G veranschaulicht, dass sich die Teilauskleidung 50A niedriger als die Teilauskleidung 50B erstreckt.the 14B , 14C , 14D , 14E , 14F and 14G illustrate the structures formed based on the structure shown in FIGS 3B , 3C , 3D , 3E , 3F and 3G is shown. It is noted that the details of the processes and materials used to form the structures used in the 14B , 14C , 14D , 14E , 14F and 14G are shown, respectively on the explanation of 3B , 3C , 3D , 3E , 3F and 3G and the explanation of 4 until 13 relate. In each of the 14A , 14B , 14C and 14D Dashed lines are drawn at the liners 50 indicating that the liners 50 may be single layer liners or may be dual layer liners including partial liners 50A and 50B. Also, the bottoms of the liners 50A may be lower than, level with, or higher than the bottoms of the respective liners 50B. In 14A For example, the liner 50 has a bottom end 50bot that is at the same level as the top surface 24T of the semiconductor substrate 24. FIG. When the dielectric liner 50 has two sub-liners 50A and 50B, one of the sub-liners 50A and 50B has the lower end 50bot at the same level as the top surface 24T, while the other has the lower end 50bot higher than, lower than, or at the same level as the top surface 24T of the semiconductor substrate 24 may lie. 14B 12 illustrates that the lower end 50bot of the liner 50 (or at least one of the partial liners 50A and 50B) is higher than the top surface 24T. 14C 12 illustrates that the lower end of the liner 50 (or at least one of the partial liners 50A and 50B) is lower than the top surface 24T. 14D 12 illustrates that the lower end of liner 50 (and partial liners 50A and 50B) extends to the lower surface of semiconductor substrate 24. FIG. 14E 12 illustrates that partial liner 50A has a bottom surface that is higher than the bottom surface of partial liner 50B. 14F 12 illustrates that partial liner 50A extends at the same level as partial liner 50B. 14G 12 illustrates that partial liner 50A extends lower than partial liner 50B.

In den zuvor erläuterten Beispielen liegt das obere Ende der TSV 61 auf derselben Höhe wie die obere Fläche der Passivierungsschicht 42. Gemäß alternativen Ausführungsformen kann das obere Ende der TSV 61 auf einer beliebigen sonstigen Ebene (soweit möglich) liegen, die niedriger als die obere Fläche der Passivierungsschicht 42 ist. Zum Beispiel kann die obere Fläche der TSV 61 koplanar mit der oberen Fläche der oberen Metallschicht in der Interconnect-Struktur 32, mit der oberen Fläche einer beliebigen sonstigen Dielektrikumsschicht in der Interconnect-Struktur 32, koplanar mit der oberen Fläche des ILD 28 oder koplanar mit der oberen Fläche des Substrats 24 sein.In the examples discussed above, the top of the TSV 61 is at the same level as the top surface of the passivation layer 42. According to alternative embodiments, the top end of the TSV 61 at any other level (if possible) that is lower than the top surface of the passivation layer 42. For example, the top surface of TSV 61 may be coplanar with the top surface of the top metal layer in interconnect structure 32, with the top surface of any other dielectric layer in interconnect structure 32, coplanar with the top surface of ILD 28, or coplanar with the top surface of the substrate 24.

15 veranschaulicht eine Draufsicht der TSV 61. Gemäß einigen Ausführungsformen bildet jede der Auskleidungen 50A und 50B und der dielektrischen Auskleidung 52 einen Ring, welcher eine Kreisform, eine Vieleckform (wie etwa eine Sechseckform oder eine Achteckform) oder dergleichen aufweisen kann. Die Metallkeimschicht 54 (wenn sie ein Material aufweist, das sich von jenem des leitfähigen Materials 56 unterscheidet) kann unterscheidbar sein. 15 12 illustrates a top view of TSV 61. According to some embodiments, each of liners 50A and 50B and dielectric liner 52 forms an annulus, which may have a circular shape, a polygon shape (such as a hexagon shape or an octagon shape), or the like. The metal seed layer 54 (if it comprises a material different than that of the conductive material 56) may be distinguishable.

16 veranschaulicht die TSV 61 und die Auskleidungen 50 und 52 gemäß einigen Ausführungsformen. Die unteren Enden der Auskleidung 50 (und die Teilschichten 50A und 50B) können stufenweise verringerte Dicken aufweisen, wobei obere Abschnitte dicker als die jeweiligen unteren Abschnitte sind. Wie zuvor erwähnt wurde, können sich unterschiedliche Teile der Auskleidungen 50 zu unterschiedlichen Ebenen erstrecken. Ebenfalls können einige Abschnitte 50' der Auskleidung 50 von dem oberen Abschnitt der Auskleidung 50 getrennt sein oder nicht, um diskrete Inseln zu bilden. 16 12 illustrates the TSV 61 and the liners 50 and 52 according to some embodiments. The lower ends of liner 50 (and sub-layers 50A and 50B) may have gradually reduced thicknesses, with upper portions being thicker than respective lower portions. As previously mentioned, different portions of the liners 50 may extend to different levels. Also, some portions 50' of the liner 50 may or may not be separated from the top portion of the liner 50 to form discrete islands.

Die 17 bis 19 veranschaulichen die Zwischenstufen bei dem Bilden des Packages 81 (19), welches die Vorrichtung 22 darin aufweist. Es ist festzustellen, dass die Vorrichtung 22 schematisch veranschaulicht ist, und es ist festzustellen, dass sich die Details (wie etwa die Auskleidungen der TSVs) der Vorrichtung 22 auf die zuvor aufgeführte Offenbarung beziehen. Unter Bezugnahme auf 17 wird die Vorrichtung 22 an die Vorrichtung 82 gebondet. Das Bonden kann durch Hybrid-Bonding durchgeführt werden, wobei die Dielektrikumsschicht 71 und der elektrische Anschluss (die leitfähige Region) 70 jeweils an die Oberflächendielektrikumsschicht 84 und das Bondpad 86 der Vorrichtung 82 gebondet werden. Die Vorrichtung 82 kann ein Vorrichtungsdie, ein Packagesubstrat, ein Interposer, ein Package oder dergleichen sein.the 17 until 19 illustrate the intermediate stages in building the package 81 ( 19 ) which the device 22 has therein. It is understood that the device 22 is illustrated schematically and it is understood that the details (such as the liners of the TSVs) of the device 22 refer to the disclosure above. With reference to 17 device 22 is bonded to device 82. The bonding may be performed by hybrid bonding, where the dielectric layer 71 and the electrical connection (conductive region) 70 are bonded to the surface dielectric layer 84 and the bond pad 86 of the device 82, respectively. Device 82 may be a device die, package substrate, interposer, package, or the like.

18 veranschaulicht die Struktur, nachdem der Rückseitenschleifprozess auf dem Halbleitersubstrat 24 durchgeführt ist, und nach dem Aussparen des Halbleitersubstrats 24 durch Ätzen. Dementsprechend steht die TSV 61 höher als die hintere Fläche des Halbleitersubstrats 24 vor. Wie in 19 gezeigt ist, wird als Nächstes die Dielektrikumsschicht 72 abgeschieden gefolgt von einem Planarisierungsprozess, um die oberen Flächen der Dielektrikumsschicht 72 und der TSV 61 auf eine Ebene zu bringen. Dann wird eine Spaltenfüllregion 90 gebildet, welche aus einer Formmasse, Siliziumnitrid, Siliziumoxid oder dergleichen oder Kombinationen davon gebildet sein kann oder diese aufweisen kann. Die Interconnect-Struktur 92, welche den elektrischen Anschluss 78 aufweist, wird dann über der Vorrichtung 22 und der Spaltenfüllregion 90 gebildet. Die Interconnect-Struktur 92 ist elektrisch mit der Vorrichtung 82 durch die TSV 61 verbunden. 18 12 illustrates the structure after the backside grinding process is performed on the semiconductor substrate 24 and after the semiconductor substrate 24 is recessed by etching. Accordingly, the TSV 61 projects higher than the back surface of the semiconductor substrate 24 . As in 19 1, dielectric layer 72 is deposited next, followed by a planarization process to level the top surfaces of dielectric layer 72 and TSV 61. FIG. A gap fill region 90 is then formed, which may be formed from or include a molding compound, silicon nitride, silicon oxide, or the like, or combinations thereof. The interconnect structure 92 having the electrical terminal 78 is then formed over the device 22 and the column fill region 90. FIG. Interconnect structure 92 is electrically connected to device 82 through TSV 61 .

Die Ausführungsformen der vorliegenden Offenbarung weisen einige vorteilhafte Merkmale auf. Durch das Bilden von mehr als einer dielektrischen Auskleidung für die Durchkontaktierungen ist die elektrische Leistungsfähigkeit der jeweiligen Vorrichtung stabiler. Die Auskleidung(en) kann/können selektiv auf der Seitenwand einiger Teile (wie etwa der Teile, die nicht in dem Halbleitersubstrat vorhanden sind) der TSVs gebildet werden, so dass die parasitäre Kapazität verringert werden kann.The embodiments of the present disclosure have some advantageous features. By forming more than one dielectric liner for the vias, the electrical performance of the respective device is more stable. The liner(s) can be formed selectively on the sidewall of some parts (such as the parts that are not present in the semiconductor substrate) of the TSVs, so that the parasitic capacitance can be reduced.

Gemäß einigen Ausführungsformen der vorliegenden Offenbarung umfasst ein Verfahren das Bilden einer Vielzahl von Dielektrikumsschichten über einem Halbleitersubstrat; das Ätzen der Vielzahl von Dielektrikumsschichten und des Halbleitersubstrats, um eine Öffnung zu bilden; das Abscheiden einer ersten Auskleidung, die sich in die Öffnung hinein erstreckt; das Abscheiden einer zweiten Auskleidung über der ersten Auskleidung, wobei sich die zweite Auskleidung in die Öffnung hinein erstreckt; das Füllen eines leitfähigen Materials in die Öffnung, um eine Durchkontaktierung zu bilden; und das Bilden von leitfähigen Merkmalen auf gegenüberliegenden Seiten des Halbleitersubstrats, wobei die leitfähigen Merkmale durch die Durchkontaktierung elektrisch miteinander verbunden sind. In einer Ausführungsform wird das Abscheiden der ersten Auskleidung unter Verwendung eines nicht-konformalen Abscheidungsverfahrens durchgeführt. In einer Ausführungsform wird das Abscheiden der zweiten Auskleidung unter Verwendung eines konformalen Abscheidungsverfahrens durchgeführt. In einer Ausführungsform wird die erste Auskleidung derart abgeschieden, dass sie eine erste Unterseite aufweist, die höher als eine zweite Unterseite der Öffnung ist. In einer Ausführungsform liegt die erste Unterseite auf derselben Höhe wie eine obere Fläche des Halbleitersubstrats. In einer Ausführungsform ist die erste Unterseite höher als eine obere Fläche des Halbleitersubstrats. In einer Ausführungsform ist die erste Unterseite niedriger als eine obere Fläche des Halbleitersubstrats. In einer Ausführungsform umfasst das Abscheiden der ersten Auskleidung das Abscheiden einer leitfähigen Auskleidung und umfasst das Abscheiden der zweiten Auskleidung das Abscheiden einer dielektrischen Auskleidung. In einer Ausführungsform umfasst das Abscheiden der ersten Auskleidung das Abscheiden von Siliziumnitrid und umfasst das Abscheiden der zweiten Auskleidung das Abscheiden von Siliziumoxid. In einer Ausführungsform umfasst das Abscheiden der ersten Auskleidung das Abscheiden von Siliziumcarbid und umfasst das Abscheiden der zweiten Auskleidung das Abscheiden von Siliziumoxid.According to some embodiments of the present disclosure, a method includes forming a plurality of dielectric layers over a semiconductor substrate; etching the plurality of dielectric layers and the semiconductor substrate to form an opening; depositing a first liner extending into the opening; depositing a second liner over the first liner, the second liner extending into the opening; filling a conductive material into the opening to form a via; and forming conductive features on opposite sides of the semiconductor substrate, the conductive features being electrically connected to one another through the via. In one embodiment, depositing the first liner is performed using a non-conformal deposition process. In one embodiment, depositing the second liner is performed using a conformal deposition process. In one embodiment, the first liner is deposited such that it has a first bottom that is higher than a second bottom of the opening. In one embodiment, the first bottom is at the same level as a top surface of the semiconductor substrate. In an embodiment, the first bottom is higher than a top surface of the semiconductor substrate. In an embodiment, the first bottom is lower than a top surface of the semiconductor substrate. In one embodiment, depositing the first liner includes depositing a conductive liner and depositing the second liner includes depositing a dielectric liner. In one embodiment, depositing the first liner includes depositing silicon nitride and depositing the second liner includes depositing silicon oxide. In one embodiment, depositing the first liner includes depositing silicon carbide and depositing the second liner includes depositing silicon oxide.

Gemäß einigen Ausführungsformen der vorliegenden Offenbarung weist eine Struktur ein Halbleitersubstrat; eine Vielzahl von Dielektrikumsschichten über dem Halbleitersubstrat; ein erstes leitfähiges Merkmal über der Vielzahl von Dielektrikumsschichten; ein zweites leitfähiges Merkmal, das unter dem Halbleitersubstrat liegt; eine Durchkontaktierung, die das Halbleitersubstrat und die Vielzahl von Dielektrikumsschichten durchdringt, wobei die Durchkontaktierung das erste leitfähige Merkmal und das zweite leitfähige Merkmal elektrisch miteinander verbindet; eine erste Auskleidung, die die Durchkontaktierung einkreist; und eine zweite Auskleidung, die die erste Auskleidung einkreist, wobei die zweite Auskleidung eine höhere Dichte als die erste Auskleidung aufweist, auf. In einer Ausführungsform steht die erste Auskleidung in physischem Kontakt mit einem oberen Abschnitt der Durchkontaktierung und steht die zweite Auskleidung in physischem Kontakt mit einem unteren Abschnitt der Durchkontaktierung. In einer Ausführungsform liegt ein unteres Ende der zweiten Auskleidung auf derselben Höhe wie eine obere Fläche des Halbleitersubstrats. In einer Ausführungsform ist ein unteres Ende der zweiten Auskleidung höher als eine obere Fläche des Halbleitersubstrats. In einer Ausführungsform ist ein unteres Ende der zweiten Auskleidung niedriger als eine obere Fläche des Halbleitersubstrats. In einer Ausführungsform weist die erste Auskleidung Siliziumoxid auf und weist die zweite Auskleidung Siliziumnitrid auf. In einer Ausführungsform weist die zweite Auskleidung eine erste Teilschicht und eine zweite Teilschicht, die die erste Teilschicht einkreist, auf, wobei die unteren Enden der ersten Teilschicht und der zweiten Teilschicht auf unterschiedlichen Höhen liegen.According to some embodiments of the present disclosure, a structure includes a semiconductor substrate; a plurality of dielectric layers over the semiconductor substrate; a first conductive feature over the plurality of dielectric layers; a second conductive feature underlying the semiconductor substrate; a via penetrating the semiconductor substrate and the plurality of dielectric layers, the via electrically connecting the first conductive feature and the second conductive feature; a first liner encircling the via; and a second liner encircling the first liner, the second liner having a higher density than the first liner. In one embodiment, the first liner is in physical contact with a top portion of the via and the second liner is in physical contact with a bottom portion of the via. In one embodiment, a lower end of the second liner is at the same level as a top surface of the semiconductor substrate. In an embodiment, a lower end of the second liner is higher than a top surface of the semiconductor substrate. In one embodiment, a bottom end of the second liner is lower than a top surface of the semiconductor substrate. In one embodiment, the first liner comprises silicon oxide and the second liner comprises silicon nitride. In one embodiment, the second liner includes a first sub-layer and a second sub-layer encircling the first sub-layer, the bottoms of the first sub-layer and the second sub-layer being at different elevations.

Gemäß einigen Ausführungsformen der vorliegenden Offenbarung weist eine Struktur einen Die auf. Der Die weist ein Halbleitersubstrat; eine Vielzahl von low-k-Dielektrikumsschichten über dem Halbleitersubstrat; eine Durchkontaktierung, die das Halbleitersubstrat und die Vielzahl von low-k-Dielektrikumsschichten durchdringt; eine erste Auskleidung, die die Durchkontaktierung einkreist, wobei sich die erste Auskleidung sowohl zu einem oberen Ende als auch einem unteren Ende der Durchkontaktierung erstreckt; eine zweite Auskleidung, die die erste Auskleidung einkreist, wobei die zweite Auskleidung kürzer als die Durchkontaktierung ist; einen ersten elektrischen Anschluss über dem Halbleitersubstrat und an einer oberen Fläche des Dies; und einen zweiten elektrischen Anschluss, der unter dem Halbleitersubstrat und an einer unteren Fläche des Dies liegt, wobei der erste elektrische Anschluss und der zweite elektrische Anschluss elektrisch durch die Durchkontaktierung miteinander verbunden sind, auf. In einer Ausführungsform ist die zweite Auskleidung dichter als die erste Auskleidung. In einer Ausführungsform ist die zweite Auskleidung dünner als die erste Auskleidung.According to some embodiments of the present disclosure, a structure includes a die. The die includes a semiconductor substrate; a plurality of low-k dielectric layers over the semiconductor substrate; a via penetrating the semiconductor substrate and the plurality of low-k dielectric layers; a first liner encircling the via, the first liner extending to both a top end and a bottom end of the via; a second liner encircling the first liner, the second liner being shorter than the via; a first electrical connection over the semiconductor substrate and on a top surface of the die; and a second electrical connection underlying the semiconductor substrate and on a bottom surface of the die, the first electrical connection and the second electrical connection being electrically connected to each other through the via. In one embodiment, the second liner is more dense than the first liner. In one embodiment, the second liner is thinner than the first liner.

Das Vorherige erläutert Merkmale verschiedener Ausführungsformen, so dass ein Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Ein Fachmann sollte erkennen, dass er die vorliegende Offenbarung leicht als Grundlage zum Gestalten oder Abändern anderer Prozesse und Strukturen zum Erreichen derselben Zwecke und/oder Erzielen derselben Vorteile der hierin vorgestellten Ausführungsformen verwenden kann. Ein Fachmann sollte auch realisieren, dass sich solche äquivalenten Konstruktionen nicht von dem Wesen und Umfang der vorliegenden Offenbarung entfernen und er verschiedene Änderungen, Ersetzungen und Abänderungen hierin vornehmen kann, ohne sich von dem Wesen und Umfang der vorliegenden Offenbarung zu entfernen.The foregoing explains features of various embodiments so that those skilled in the art may better understand aspects of the present disclosure. One skilled in the art should recognize that the present disclosure can readily be used as a basis for designing or modifying other processes and structures to achieve the same purposes and/or obtain the same advantages of the embodiments presented herein. Also, one skilled in the art should realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and various changes, substitutions, and alterations can be made herein without departing from the spirit and scope of the present disclosure.

ZITATE ENTHALTEN IN DER BESCHREIBUNGQUOTES INCLUDED IN DESCRIPTION

Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list of documents cited by the applicant was generated automatically and is included solely for the better information of the reader. The list is not part of the German patent or utility model application. The DPMA assumes no liability for any errors or omissions.

Zitierte PatentliteraturPatent Literature Cited

  • US 63/081502 [0001]US63/081502 [0001]

Claims (20)

Verfahren umfassend: Bilden einer Vielzahl von Dielektrikumsschichten über einem Halbleitersubstrat; Ätzen der Vielzahl von Dielektrikumsschichten und des Halbleitersubstrats, um eine Öffnung zu bilden; Abscheiden einer ersten Auskleidung, die sich in die Öffnung hinein erstreckt; Abscheiden einer zweiten Auskleidung über der ersten Auskleidung, wobei sich die zweite Auskleidung in die Öffnung hinein erstreckt; Füllen eines leitfähigen Materials in die Öffnung, um eine Durchkontaktierung zu bilden; und Bilden von leitfähigen Merkmalen auf gegenüberliegenden Seiten des Halbleitersubstrats, wobei die leitfähigen Merkmale durch die Durchkontaktierung elektrisch miteinander verbunden sind.Method comprising: forming a plurality of dielectric layers over a semiconductor substrate; etching the plurality of dielectric layers and the semiconductor substrate to form an opening; depositing a first liner extending into the opening; depositing a second liner over the first liner, the second liner extending into the opening; filling a conductive material into the opening to form a via; and forming conductive features on opposite sides of the semiconductor substrate, the conductive features being electrically connected to one another through the via. Verfahren nach Anspruch 1, wobei das Abscheiden der ersten Auskleidung unter Verwendung eines nicht-konformalen Abscheidungsverfahrens durchgeführt wird.procedure after claim 1 wherein the deposition of the first liner is performed using a non-conformal deposition process. Verfahren nach Anspruch 2, wobei das Abscheiden der zweiten Auskleidung unter Verwendung eines konformalen Abscheidungsverfahrens durchgeführt wird.procedure after claim 2 wherein the deposition of the second liner is performed using a conformal deposition process. Verfahren nach einem der vorherigen Ansprüche, wobei eine Unterseite der ersten Auskleidung höher als eine Unterseite der Öffnung ist.A method according to any preceding claim, wherein a bottom of the first liner is higher than a bottom of the opening. Verfahren nach Anspruch 4, wobei die Unterseite der ersten Auskleidung auf derselben Höhe wie eine obere Fläche des Halbleitersubstrats liegt.procedure after claim 4 , wherein the bottom of the first liner is at the same level as a top surface of the semiconductor substrate. Verfahren nach Anspruch 4, wobei die Unterseite der ersten Auskleidung höher als eine obere Fläche des Halbleitersubstrats ist.procedure after claim 4 , wherein the bottom of the first liner is higher than a top surface of the semiconductor substrate. Verfahren nach Anspruch 4, wobei die Unterseite der ersten Auskleidung niedriger als eine obere Fläche des Halbleitersubstrats ist.procedure after claim 4 , wherein the bottom of the first liner is lower than a top surface of the semiconductor substrate. Verfahren nach einem der vorherigen Ansprüche 1 bis 7, wobei das Abscheiden der ersten Auskleidung das Abscheiden einer leitfähigen Auskleidung umfasst und das Abscheiden der zweiten Auskleidung das Abscheiden einer dielektrischen Auskleidung umfasst.Procedure according to any of the previous ones Claims 1 until 7 , wherein depositing the first liner comprises depositing a conductive liner and depositing the second liner comprises depositing a dielectric liner. Verfahren nach einem der vorherigen Ansprüche 1 bis 7, wobei das Abscheiden der ersten Auskleidung das Abscheiden von Siliziumnitrid umfasst und das Abscheiden der zweiten Auskleidung das Abscheiden von Siliziumoxid umfasst.Procedure according to any of the previous ones Claims 1 until 7 , wherein depositing the first liner comprises depositing silicon nitride and depositing the second liner comprises depositing silicon oxide. Verfahren nach einem der vorherigen Ansprüche 1 bis 7, wobei das Abscheiden der ersten Auskleidung das Abscheiden von Siliziumcarbid umfasst und das Abscheiden der zweiten Auskleidung das Abscheiden von Siliziumoxid umfasst.Procedure according to any of the previous ones Claims 1 until 7 , wherein depositing the first liner comprises depositing silicon carbide and depositing the second liner comprises depositing silicon oxide. Struktur, die Folgendes aufweist: ein Halbleitersubstrat; eine Vielzahl von Dielektrikumsschichten über dem Halbleitersubstrat; ein erstes leitfähiges Merkmal über der Vielzahl von Dielektrikumsschichten; ein zweites leitfähiges Merkmal, das unter dem Halbleitersubstrat liegt; eine Durchkontaktierung, die das Halbleitersubstrat und die Vielzahl von Dielektrikumsschichten durchdringt, wobei die Durchkontaktierung das erste leitfähige Merkmal und das zweite leitfähige Merkmal elektrisch miteinander verbindet; eine erste Auskleidung, die die Durchkontaktierung einkreist; und eine zweite Auskleidung, die die erste Auskleidung einkreist, wobei die zweite Auskleidung eine höhere Dichte als die erste Auskleidung aufweist.Structure that has: a semiconductor substrate; a plurality of dielectric layers over the semiconductor substrate; a first conductive feature over the plurality of dielectric layers; a second conductive feature underlying the semiconductor substrate; a via penetrating the semiconductor substrate and the plurality of dielectric layers, the via electrically connecting the first conductive feature and the second conductive feature; a first liner encircling the via; and a second liner encircling the first liner, the second liner having a higher density than the first liner. Struktur nach Anspruch 11, wobei die erste Auskleidung in physischem Kontakt mit einem oberen Abschnitt der Durchkontaktierung steht und die zweite Auskleidung in physischem Kontakt mit einem unteren Abschnitt der Durchkontaktierung steht.structure after claim 11 wherein the first liner is in physical contact with a top portion of the via and the second liner is in physical contact with a bottom portion of the via. Struktur nach Anspruch 12, wobei ein unteres Ende der zweiten Auskleidung auf derselben Höhe wie eine obere Fläche des Halbleitersubstrats liegt.structure after claim 12 , wherein a lower end of the second liner is at the same level as a top surface of the semiconductor substrate. Struktur nach Anspruch 12, wobei ein unteres Ende der zweiten Auskleidung höher als eine obere Fläche des Halbleitersubstrats ist.structure after claim 12 , wherein a lower end of the second liner is higher than a top surface of the semiconductor substrate. Struktur nach Anspruch 12, wobei ein unteres Ende der zweiten Auskleidung niedriger als eine obere Fläche des Halbleitersubstrats ist.structure after claim 12 , wherein a lower end of the second liner is lower than a top surface of the semiconductor substrate. Struktur nach einem der vorherigen Ansprüche 11 bis 15, wobei die erste Auskleidung Siliziumoxid aufweist und die zweite Auskleidung Siliziumnitrid aufweist.Structure according to any of the previous ones Claims 11 until 15 , wherein the first liner comprises silicon oxide and the second liner comprises silicon nitride. Struktur nach einem der vorherigen Ansprüche 11 bis 16, wobei die zweite Auskleidung eine erste Teilschicht und eine zweite Teilschicht, die die erste Teilschicht einkreist, aufweist, und wobei die unteren Enden der ersten Teilschicht und der zweiten Teilschicht auf unterschiedlichen Höhen liegen.Structure according to any of the previous ones Claims 11 until 16 wherein the second liner comprises a first sub-layer and a second sub-layer encircling the first sub-layer, and wherein the lower ends of the first sub-layer and the second sub-layer are at different elevations. Struktur, die Folgendes aufweist: einen Die, der Folgendes aufweist: ein Halbleitersubstrat; eine Vielzahl von low-k-Dielektrikumsschichten über dem Halbleitersubstrat; eine Durchkontaktierung, die das Halbleitersubstrat und die Vielzahl von low-Dielektrikumsschichten durchdringt; eine erste Auskleidung, die die Durchkontaktierung einkreist, wobei sich die erste Auskleidung sowohl zu einem oberen Ende als auch einem unteren Ende der Durchkontaktierung erstreckt; eine zweite Auskleidung, die die erste Auskleidung einkreist, wobei die zweite Auskleidung kürzer als die Durchkontaktierung ist; einen ersten elektrischen Anschluss über dem Halbleitersubstrat und an einer oberen Fläche des Dies; und einen zweiten elektrischen Anschluss, der unter dem Halbleitersubstrat und an einer unteren Fläche des Dies liegt, wobei der erste elektrische Anschluss und der zweite elektrische Anschluss durch die Durchkontaktierung elektrisch miteinander verbunden sind.A structure comprising: a die comprising: a semiconductor substrate; a multitude of low-k dielectric layers across the semiconductor substrate; a via penetrating the semiconductor substrate and the plurality of low dielectric layers; a first liner encircling the via, the first liner extending to both a top end and a bottom end of the via; a second liner encircling the first liner, the second liner being shorter than the via; a first electrical connection over the semiconductor substrate and on a top surface of the die; and a second electrical connection underlying the semiconductor substrate and on a bottom surface of the die, wherein the first electrical connection and the second electrical connection are electrically connected to each other through the via. Struktur nach Anspruch 18, wobei die zweite Auskleidung dichter als die erste Auskleidung ist.structure after Claim 18 , the second liner being more dense than the first liner. Struktur nach Anspruch 18, wobei die zweite Auskleidung dünner als die erste Auskleidung ist.structure after Claim 18 , wherein the second liner is thinner than the first liner.
DE102021100529.9A 2020-08-13 2021-01-13 TSV STRUCTURE AND METHODS OF FORMING THEREOF Pending DE102021100529A1 (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US202063065110P 2020-08-13 2020-08-13
US63/065,110 2020-08-13
US202063081502P 2020-09-22 2020-09-22
US63/081,502 2020-09-22
US17/139,030 2020-12-31
US17/139,030 US11527439B2 (en) 2020-09-22 2020-12-31 TSV structure and method forming same

Publications (1)

Publication Number Publication Date
DE102021100529A1 true DE102021100529A1 (en) 2022-02-17

Family

ID=78786920

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102021100529.9A Pending DE102021100529A1 (en) 2020-08-13 2021-01-13 TSV STRUCTURE AND METHODS OF FORMING THEREOF

Country Status (4)

Country Link
KR (1) KR102540536B1 (en)
CN (1) CN113764334A (en)
DE (1) DE102021100529A1 (en)
TW (1) TWI775321B (en)

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6539625B2 (en) * 2001-01-11 2003-04-01 International Business Machines Corporation Chromium adhesion layer for copper vias in low-k technology
US7786584B2 (en) * 2007-11-26 2010-08-31 Infineon Technologies Ag Through substrate via semiconductor components
US7968460B2 (en) * 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US8399354B2 (en) * 2009-01-13 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with low-K dielectric liner
US9305865B2 (en) * 2013-10-31 2016-04-05 Micron Technology, Inc. Devices, systems and methods for manufacturing through-substrate vias and front-side structures
TWI441281B (en) * 2012-04-12 2014-06-11 Ind Tech Res Inst Dual damascene structure having through silicon via and manufacturing method thereof
US20130299950A1 (en) 2012-05-11 2013-11-14 Sematech, Inc. Semiconductor structure with buried through substrate vias
US9275933B2 (en) * 2012-06-19 2016-03-01 United Microelectronics Corp. Semiconductor device
KR102079283B1 (en) 2013-10-15 2020-02-19 삼성전자 주식회사 Integrated circuit device having through-silicon via structure and method of manufacturing the same
US9620488B2 (en) * 2015-08-19 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional integrated circuit structure and bonded structure

Also Published As

Publication number Publication date
CN113764334A (en) 2021-12-07
KR20220021390A (en) 2022-02-22
KR102540536B1 (en) 2023-06-05
TW202207309A (en) 2022-02-16
TWI775321B (en) 2022-08-21

Similar Documents

Publication Publication Date Title
DE102016100270B4 (en) BOND STRUCTURES AND PROCESSES FOR THEIR MANUFACTURE
DE102018102719A1 (en) Forming metal bonds with recesses
DE102017127227B4 (en) Connection structure and method
DE102018124695A1 (en) Integrate passive devices in package structures
DE102019116993A1 (en) OPUFFER MEMORY DESIGN FOR PACKAGE INTEGRATION
DE102016100012B4 (en) METHOD OF MANUFACTURING BOND STRUCTURES
DE102020132089B4 (en) PASSIVATION STRUCTURE WITH INCREASED THICKNESS FOR METAL PADS AND METHOD FOR THE PRODUCTION THEREOF
US12074064B2 (en) TSV structure and method forming same
DE102021110267A1 (en) Deep partition power delivery with deep trench capacitor
DE102016101089A1 (en) Multiple impact process for bonding
DE102020119947B4 (en) STRUCTURE AND METHOD FOR FORMING AN INTEGRATED HIGH DENSITY MIM CAPACITOR
DE102021103804A1 (en) Passive structure on SoIC
DE102020135142B4 (en) TSV STRUCTURE WITH MULTIPLE COATINGS AND METHOD FOR THE PRODUCTION THEREOF
DE102022100083A1 (en) HETEROGENOUS DIELECTRIC BOND SCHEME
DE102021118290A1 (en) AIR SPACER ENCLOSING CONDUCTIVE STRUCTURAL ELEMENTS AND METHOD OF PRODUCTION THEREOF
DE102022110931B4 (en) METHOD FOR BONDING ACTIVE DIES AND DUMMY DIES AND STRUCTURES THEREOF
DE102023105422A1 (en) SEMICONDUCTOR PACKAGE WITH STEPED SEAL RING AND METHOD FOR PRODUCING SAME
DE102018123499A1 (en) Process control for package manufacturing
US11935826B2 (en) Capacitor between two passivation layers with different etching rates
DE102021100529A1 (en) TSV STRUCTURE AND METHODS OF FORMING THEREOF
DE102022100834A1 (en) STACKED DUMMY STRUCTURES SURROUNDING TSVS AND THEIR PROCESS OF MANUFACTURE
TWI780704B (en) Semiconductor package device and method for forming the same
TWI792311B (en) Semiconductor device and method for forming the same
DE102023111924A1 (en) 3DIC PACKAGE AND METHOD FOR PRODUCING THEREOF
DE102024101557A1 (en) BONDING SEMICONDUCTOR DIES USING WAFER BOND PROCESSES

Legal Events

Date Code Title Description
R012 Request for examination validly filed