DE102018123499A1 - Process control for package manufacturing - Google Patents

Process control for package manufacturing Download PDF

Info

Publication number
DE102018123499A1
DE102018123499A1 DE102018123499.6A DE102018123499A DE102018123499A1 DE 102018123499 A1 DE102018123499 A1 DE 102018123499A1 DE 102018123499 A DE102018123499 A DE 102018123499A DE 102018123499 A1 DE102018123499 A1 DE 102018123499A1
Authority
DE
Germany
Prior art keywords
etch stop
stop layer
layer
device die
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102018123499.6A
Other languages
German (de)
Inventor
Ming-Fa Chen
Hsien-Wei Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/121,861 external-priority patent/US10784247B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102018123499A1 publication Critical patent/DE102018123499A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/89Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using at least one connector not provided for in any of the groups H01L24/81 - H01L24/86
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0233Structure of the redistribution layers
    • H01L2224/02331Multilayer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02379Fan-out arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/038Post-treatment of the bonding area
    • H01L2224/0383Reworking, e.g. shaping
    • H01L2224/03845Chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/091Disposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/48227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73265Layer and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/9202Forming additional connectors after the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/0651Wire or wire-like electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06568Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices decreasing in size, e.g. pyramidical stack
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1017All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support
    • H01L2225/1035All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support the device being entirely enclosed by the support, e.g. high-density interconnect [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1047Details of electrical connections between containers
    • H01L2225/1058Bump or bump-like electrical connections, e.g. balls, pillars, posts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3511Warping

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Ein Verfahren weist die folgenden Schritte auf: Bonden eines ersten und eines zweiten Bauelement-Dies an einen dritten Bauelement-Die; Herstellen einer Mehrzahl von Spaltfüllschichten, die zwischen dem ersten und dem zweiten Bauelement-Die verlaufen; und Durchführen eines ersten Ätzprozesses, um eine erste dielektrische Schicht in der Mehrzahl von Spaltfüllschichten zu ätzen, sodass eine Öffnung entsteht. Eine erste Ätzstoppschicht in der Mehrzahl von Spaltfüllschichten dient zum Beenden des ersten Ätzprozesses. Die Öffnung wird dann durch die erste Ätzstoppschicht verlängert. Ein zweiter Ätzprozess wird durchgeführt, um die Öffnung durch eine zweite dielektrische Schicht, die sich unter der ersten Ätzstoppschicht befindet, zu verlängern. Der zweite Ätzprozess endet auf einer zweiten Ätzstoppschicht in der Mehrzahl von Spaltfüllschichten. Das Verfahren umfasst weiterhin das Verlängern der Öffnung durch die zweite Ätzstoppschicht und das Füllen der Öffnung mit einem leitfähigen Material, um eine Durchkontaktierung herzustellen.

Figure DE102018123499A1_0000
A method comprises the steps of: bonding a first and a second device dies to a third device die; Forming a plurality of gap-filling layers extending between the first and second device die; and performing a first etching process to etch a first dielectric layer in the plurality of gap-filling layers to form an opening. A first etch stop layer in the plurality of gap fill layers serves to terminate the first etch process. The opening is then extended by the first etch stop layer. A second etch process is performed to extend the opening through a second dielectric layer located below the first etch stop layer. The second etching process terminates on a second etch stop layer in the plurality of gap fill layers. The method further includes extending the opening through the second etch stop layer and filling the opening with a conductive material to make a via.
Figure DE102018123499A1_0000

Description

Prioritätsanspruch und QuerverweisPriority claim and cross reference

Diese Anmeldung beansprucht die Priorität der am 15. November 2017 eingereichten vorläufigen US-Patentanmeldung mit dem Aktenzeichen 62/586.305 und dem Titel „Process Control for SoIC Formation“ („Prozesssteuerung für SoIC-Herstellung“), die durch Bezugnahme aufgenommen ist.This application claims the benefit of US Provisional Patent Application No. 62 / 586,305, filed on Nov. 15, 2017, and entitled "Process Control for SoIC Formation", which is incorporated by reference.

Hintergrund der ErfindungBackground of the invention

Packages für integrierte Schaltkreise werden immer komplexer, wobei mehr Bauelement-Dies in dem gleichen Package verkappt werden, um mehr Funktionen zu realisieren. Zum Beispiel ist eine Package-Struktur entwickelt worden, die eine Mehrzahl von Bauelement-Dies, wie etwa Prozessoren und Speicherwürfel, in dem gleichen Package aufweist. Die Package-Struktur kann Bauelement-Dies, die mit unterschiedlichen Verfahren hergestellt werden und unterschiedliche Funktionen haben, an den gleichen Bauelement-Die bonden, sodass ein System entsteht. Dadurch können Herstellungskosten gespart werden und die Bauelementleistung kann optimiert werden.Integrated circuit packages are becoming more and more complex, with more device dies being capped in the same package to provide more functionality. For example, a package structure has been developed that includes a plurality of device dies, such as processors and memory cubes, in the same package. The package structure can bond die-dies, which are manufactured with different processes and have different functions, to the same device die, thus creating a system. As a result, manufacturing costs can be saved and the device performance can be optimized.

Figurenlistelist of figures

Aspekte der vorliegenden Erfindung lassen sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Vielmehr können der Übersichtlichkeit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.

  • Die 1 bis 13 sind Schnittansichten von Zwischenstufen bei der Herstellung eines Packages gemäß einigen Ausführungsformen.
  • 14 ist eine Schnittansicht eines Packages gemäß einigen Ausführungsformen.
  • Die 15 und 16 zeigen Schnittansichten von Packages, die weitere Package-Strukturen einbetten, gemäß einigen Ausführungsformen.
  • 17 zeigt einen Prozessablauf zum Herstellen einer Package-Struktur gemäß einigen Ausführungsformen.
Aspects of the present invention will be best understood from the following detailed description taken in conjunction with the accompanying drawings. It should be noted that, according to common practice in the industry, various elements are not drawn to scale. Rather, for the sake of clarity of the discussion, the dimensions of the various elements can be arbitrarily increased or reduced.
  • The 1 to 13 FIG. 5 are sectional views of intermediate stages in the manufacture of a package according to some embodiments. FIG.
  • 14 FIG. 10 is a sectional view of a package according to some embodiments. FIG.
  • The 15 and 16 show sectional views of packages that embed further package structures, according to some embodiments.
  • 17 FIG. 10 illustrates a process flow for fabricating a package structure according to some embodiments.

Detaillierte BeschreibungDetailed description

Die nachstehende Beschreibung liefert viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale des bereitgestellten Gegenstands. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt hergestellt werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element so hergestellt werden können, dass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.The following description provides many different embodiments or examples for implementing various features of the provided subject matter. Hereinafter, specific examples of components and arrangements will be described in order to simplify the present invention. Of course these are just examples and should not be limiting. For example, the manufacture of a first element over or on a second element in the description below may include embodiments in which the first and second elements are made in direct contact, and may also include embodiments in which additional elements are interposed between the first and second elements the second element can be made so that the first and the second element are not in direct contact. Moreover, in the present invention, reference numerals and / or letters may be repeated in the various examples. This repetition is for simplicity and clarity and as such does not dictate any relationship between the various embodiments and / or configurations discussed.

Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen der in Gebrauch oder in Betrieb befindlichen Vorrichtung umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können ebenso entsprechend interpretiert werden.Moreover, spatially relative terms such as "underlying", "below", "lower" / "lower", "above", "upper", "upper", and the like, may be simply used Description of the relationship of an element or a structure to one or more other elements or structures are used, which are shown in the figures. The spatially relative terms are intended to encompass, in addition to the orientation shown in the figures, other orientations of the device in use or in operation. The device may be reoriented (rotated 90 degrees or in a different orientation), and the spatially relative descriptors used herein may also be interpreted accordingly.

Es werden ein Package und ein Verfahren zu dessen Herstellung gemäß verschiedenen beispielhaften Ausführungsformen zur Verfügung gestellt. Es werden die Zwischenstufen der Herstellung des Packages gemäß einigen Ausführungsformen erläutert. Außerdem werden einige Abwandlungen einiger Ausführungsformen erörtert. In allen Darstellungen und erläuternden Ausführungsformen werden ähnliche Bezugssymbole zum Bezeichnen von ähnlichen Elementen verwendet.A package and method of making the same according to various exemplary embodiments are provided. The intermediate stages of manufacturing the package will be explained according to some embodiments. In addition, some modifications of some embodiments will be discussed. In all illustrations and illustrative embodiments, similar reference symbols are used to denote similar elements.

Die 1 bis 13 zeigen Schnittansichten von Zwischenstufen bei der Herstellung eines Packages gemäß einigen Ausführungsformen der vorliegenden Erfindung. Die in den 1 bis 13 gezeigten Schritte sind auch in dem Prozessablauf 200 schematisch angegeben, der in 17 gezeigt ist.The 1 to 13 12 show sectional views of intermediate stages in the manufacture of a package according to some embodiments of the present invention. The in the 1 to 13 Steps shown are also in the process flow 200 indicated schematically in FIG 17 is shown.

1 zeigt eine Schnittansicht bei der Herstellung eines Wafers 2. Der entsprechende Schritt ist als Schritt 202 in dem Prozessablauf angegeben, der in 17 gezeigt ist. Bei einigen Ausführungsformen der vorliegenden Erfindung ist der Wafer 2 ein Bauelement-Wafer mit aktiven Bauelementen, wie etwa Transistoren und/oder Dioden, und gegebenenfalls passiven Bauelementen, wie etwa Kondensatoren, Induktoren, Widerständen oder dergleichen. Der Bauelement-Wafer 2 kann eine Mehrzahl von Chips 4 aufweisen, wobei nur einer der Chips 4 dargestellt ist. Die Chips 4 werden nachstehend alternativ als (Bauelement-)Dies bezeichnet. Bei einigen Ausführungsformen der vorliegenden Erfindung ist der Bauelement-Die 4 ein Logik-Die, der ein CPU-Die (CPU: zentrale Verarbeitungseinheit), ein Mobilanwendungs-Die, ein MCU-Die (MCU: Microcontroller-Einheit), ein Eingabe-Ausgabe(E/A)-Die, ein Baseband(BB)-Die oder ein Anwendungsprozessor(AP)-Die sein kann. Der Bauelement-Die 4 kann auch ein Speicher-Die sein, wie etwa ein DRAM-Die (DRAM: dynamischer Direktzugriffsspeicher) oder ein SRAM-Die (SRAM; statischer Direktzugriffsspeicher). 1 shows a sectional view in the manufacture of a wafer 2 , The corresponding step is as a step 202 specified in the process flow, which in 17 is shown. For some Embodiments of the present invention is the wafer 2 a device wafer having active devices, such as transistors and / or diodes, and optionally, passive devices, such as capacitors, inductors, resistors, or the like. The device wafer 2 can be a plurality of chips 4 having only one of the chips 4 is shown. The chips 4 are hereinafter alternatively referred to as (component) Dies. In some embodiments of the present invention, the device die 4 a logic die, a CPU die (CPU: central processing unit), a mobile application die, a MCU die (MCU: microcontroller unit), an input-output (I / O) die, a baseband (BB ) -The or an application processor (AP) -That may be. The component die 4 may also be a memory die, such as a DRAM (Dynamic Random Access Memory) or an SRAM (SRAM) static random access memory (SRAM).

Bei alternativen Ausführungsformen der vorliegenden Erfindung weist eine Package-Komponente 2 passive Bauelemente (ohne aktive Bauelemente) auf. In der nachfolgenden Erörterung wird ein Bauelement-Wafer als eine beispielhafte Package-Komponente 2 erörtert. Die Ausführungsformen der vorliegenden Erfindung können auch für andere Arten von Package-Komponenten, wie etwa Interposer-Wafer, verwendet werden.In alternative embodiments of the present invention, a package component 2 passive components (without active components) on. In the discussion below, a device wafer will be considered as an exemplary package component 2 discussed. The embodiments of the present invention may also be used for other types of package components, such as interposer wafers.

Bei einigen Ausführungsformen der vorliegenden Erfindung weist der beispielhafte Wafer 2 ein Halbleitersubstrat 20 und Strukturelemente auf, die auf einer Oberseite des Halbleitersubstrats 20 hergestellt sind. Das Halbleitersubstrat 20 kann aus kristallinem Silizium, kristallinem Germanium, kristallinem Siliziumgermanium und/oder einem III-V-Verbindungshalbleiter bestehen, wie etwa GaAsP, AlInAs, AlGaAs, GalnAs, GalnP, GaInAsP und dergleichen. Das Halbleitersubstrat 20 kann auch ein massives Siliziumsubstrat oder ein Silizium-auf-Isolator(SOI)-Substrat sein. In dem Halbleitersubstrat 20 können STI-Bereiche (STI: flache Grabenisolation) (nicht dargestellt) hergestellt werden, um die aktiven Bereiche in dem Halbleitersubstrat 20 zu trennen. Durchkontaktierungen (nicht dargestellt) können so hergestellt werden, dass sie in das Halbleitersubstrat 20 hineinreichen, wobei die Durchkontaktierungen verwendet werden, um die Strukturelemente auf gegenüberliegenden Seiten des Wafers 2 elektrisch miteinander zu verbinden.In some embodiments of the present invention, the exemplary wafer 2 a semiconductor substrate 20 and structural elements disposed on an upper surface of the semiconductor substrate 20 are made. The semiconductor substrate 20 may consist of crystalline silicon, crystalline germanium, crystalline silicon germanium and / or a III-V compound semiconductor, such as GaAsP, AlInAs, AlGaAs, GalnAs, GalnP, GaInAsP and the like. The semiconductor substrate 20 may also be a bulk silicon substrate or a silicon on insulator (SOI) substrate. In the semiconductor substrate 20 For example, STI regions (STI: shallow trench isolation) (not shown) may be fabricated to cover the active regions in the semiconductor substrate 20 to separate. Vias (not shown) may be made to enter the semiconductor substrate 20 extend, wherein the vias are used to the structural elements on opposite sides of the wafer 2 electrically connect with each other.

Bei einigen Ausführungsformen der vorliegenden Erfindung weist der Wafer 2 integrierte Schaltkreiselemente 22 auf, die auf der Oberseite des Halbleitersubstrats 20 hergestellt sind. Beispielhafte integrierte Schaltkreiselemente 22 sind CMOS-Transistoren (CMOS: komplementärer Metall-Oxid-Halbleiter), Widerstände, Kondensatoren, Dioden und dergleichen. Die Einzelheiten der integrierten Schaltkreiselemente 22 werden hier nicht erläutert. Bei alternativen Ausführungsformen wird der Wafer 2 zum Herstellen von Interposern verwendet, wobei das Substrat 20 ein Halbleitersubstrat oder ein dielektrisches Substrat sein kann.In some embodiments of the present invention, the wafer 2 integrated circuit elements 22 on top of the semiconductor substrate 20 are made. Exemplary integrated circuit elements 22 are CMOS transistors (CMOS: complementary metal-oxide-semiconductor), resistors, capacitors, diodes and the like. The details of the integrated circuit elements 22 will not be explained here. In alternative embodiments, the wafer becomes 2 used to make interposers, the substrate 20 may be a semiconductor substrate or a dielectric substrate.

Über dem Halbleitersubstrat 20 wird ein Zwischenschicht-Dielektrikum (ILD) 24 hergestellt, das einen Zwischenraum zwischen Gate-Stapeln von Transistoren (nicht dargestellt) in den integrierten Schaltkreiselementen 22 füllt. Bei einigen beispielhaften Ausführungsformen besteht das ILD 24 aus Phosphorsilicatglas (PSG), Borsilicatglas (BSG), Borphosphorsilicatglas (BPSG), Fluorsilicatglas (FSG), Tetraethylorthosilicat (TEOS) oder dergleichen. Das ILD 24 kann durch Schleuderbeschichtung, fließfähige chemische Aufdampfung (FCVD), chemische Aufdampfung oder dergleichen hergestellt werden. Bei einigen Ausführungsformen der vorliegenden Erfindung wird das ILD 24 mit einem Abscheidungsverfahren, wie etwa plasmaunterstützte chemische Aufdampfung (PECVD), chemische Aufdampfung bei Tiefdruck (LPCVD) oder dergleichen, hergestellt.Above the semiconductor substrate 20 becomes an interlayer dielectric (ILD) 24 which forms a gap between gate stacks of transistors (not shown) in the integrated circuit elements 22 crowded. In some example embodiments, the ILD exists 24 phosphosilicate glass (PSG), borosilicate glass (BSG), borophosphosilicate glass (BPSG), fluorosilicate glass (FSG), tetraethyl orthosilicate (TEOS) or the like. The ILD 24 can be prepared by spin coating, flowable chemical vapor deposition (FCVD), chemical vapor deposition or the like. In some embodiments of the present invention, the ILD 24 with a deposition method such as plasma enhanced chemical vapor deposition (PECVD), low pressure chemical vapor deposition (LPCVD) or the like.

In dem ILD 24 werden Kontaktstifte 28 hergestellt, die zum elektrischen Verbinden der integrierten Schaltkreiselemente 22 mit darüber befindlichen Metallleitungen und Durchkontaktierungen verwendet werden. Bei einigen Ausführungsformen der vorliegenden Erfindung bestehen die Kontaktstifte 28 aus einem leitfähigen Material aus der Gruppe Wolfram, Aluminium, Kupfer, Titan, Tantal, Titannidrid, Tantalnitrid, Legierungen davon und/oder Multischichten davon. Die Herstellung der Kontaktstifte 28 kann Folgendes umfassen: Erzeugen von Kontaktöffnungen in dem ILD 24; Füllen eines oder mehrerer leitfähiger Materialien in die Kontaktöffnungen; und Durchführen einer Planarisierung, wie etwa einer chemisch-mechanischen Polierung (CMP), um die Oberseiten der Kontaktstifte 28 auf gleiche Höhe mit der Oberseite des ILD 24 zu bringen.In the ILD 24 become contact pins 28 made for electrically connecting the integrated circuit elements 22 be used with overlying metal lines and vias. In some embodiments of the present invention, the contact pins are made 28 of a conductive material selected from the group consisting of tungsten, aluminum, copper, titanium, tantalum, titanium amide, tantalum nitride, alloys thereof and / or multilayers thereof. The production of the contact pins 28 may include: generating contact openings in the ILD 24 ; Filling one or more conductive materials in the contact openings; and performing planarization, such as chemical mechanical polishing (CMP), around the tops of the contact pins 28 at the same height as the top of the ILD 24 bring to.

Über dem ILD 24 und den Kontaktstiften 28 befindet sich eine Verbindungsstruktur 30. Die Verbindungsstruktur 30 weist Metallleitungen 34 und Durchkontaktierungen 36 auf, die in dielektrischen Schichten 32 hergestellt sind. Die dielektrischen Schichten 32 werden nachstehend alternativ als Zwischenmetalldielektrikum-Schichten (IMD-Schichten) 32 bezeichnet. Bei einigen Ausführungsformen der vorliegenden Erfindung bestehen zumindest die unteren Schichten der dielektrischen Schichten 32 aus einem dielektrischen Low-k-Material mit einer Dielektrizitätskonstante (k-Wert), die kleiner als etwa 3,0, etwa 2,5 oder noch kleiner ist. Die dielektrischen Schichten 32 können aus Black Diamond® (registriertes Warenzeichen der Fa. Applied Materials), einem kohlenstoffhaltigen dielektrischen Low-k-Material, Wasserstoff-Silsesquioxan (HSQ), Methyl-Silsesquioxan (MSQ) oder dergleichen bestehen. Bei alternativen Ausführungsformen der vorliegenden Erfindung bestehen einige oder alle der dielektrischen Schichten 32 aus dielektrischen Nicht-Low-k-Materialien, wie etwa Siliziumoxid, Siliziumcarbid (SiC), Siliziumcarbonitrid (SiCN), Siliziumoxidcarbonitrid (SiOCN) oder dergleichen. Bei einigen Ausführungsformen der vorliegenden Erfindung umfasst die Herstellung der dielektrischen Schichten 32 das Abscheiden eines porogenhaltigen dielektrischen Materials und das anschließende Durchführen eines Härtungsprozesses, um das Porogen auszutreiben, sodass die übrigen dielektrischen Schichten 32 porös sind. Zwischen den IMD-Schichten 32 werden Ätzstoppschichten (die der Einfachheit halber nicht dargestellt sind) hergestellt, die aus Siliziumcarbid, Siliziumnitrid oder dergleichen bestehen können.About the ILD 24 and the contact pins 28 there is a connection structure 30 , The connection structure 30 has metal lines 34 and vias 36 on that in dielectric layers 32 are made. The dielectric layers 32 are hereinafter alternatively referred to as intermetal dielectric layers (IMD layers) 32 designated. In some embodiments of the present invention, at least the lower layers of the dielectric layers exist 32 of a low-k dielectric material having a dielectric constant (k value) that is less than about 3.0, about 2.5 or even smaller. The dielectric layers 32 can be obtained from Black Diamond® (registered trademark of Applied Materials), a low-k carbonaceous dielectric material, hydrogen silsesquioxane (HSQ), methyl Silsesquioxane (MSQ) or the like exist. In alternative embodiments of the present invention, some or all of the dielectric layers exist 32 dielectric non-low-k materials such as silicon oxide, silicon carbide (SiC), silicon carbonitride (SiCN), silicon oxide carbonitride (SiOCN), or the like. In some embodiments of the present invention, the fabrication of the dielectric layers comprises 32 depositing a porous dielectric material and then performing a curing process to drive out the porogen so that the remaining dielectric layers 32 are porous. Between the IMD layers 32 For example, etch stop layers (which are not shown for the sake of simplicity) are prepared which may be silicon carbide, silicon nitride, or the like.

Die Metallleitungen 34 und die Durchkontaktierungen 36 werden in den dielektrischen Schichten 32 hergestellt. Die Metallleitungen 34 auf der gleichen Ebene werden nachstehend kollektiv als eine Metallschicht bezeichnet. Bei einigen Ausführungsformen der vorliegenden Erfindung weist die Verbindungsstruktur 30 eine Mehrzahl von Metallschichten auf, die durch die Durchkontaktierungen 36 miteinander verbunden sind. Die Metallleitungen 34 und die Durchkontaktierungen 36 können aus Kupfer oder Kupferlegierungen bestehen, aber sie können auch aus anderen Metallen bestehen. Die Herstellung kann mit Single-Damascene- und Dual-Damascene-Prozessen erfolgen. Bei einem beispielhaften Single-Damascene-Prozess wird zunächst in einer der dielektrischen Schichten 32 ein Graben erzeugt, der dann mit einem leitfähigen Material gefüllt wird. Anschließend wird ein Planarisierungsprozess, wie etwa ein CMP-Prozess, durchgeführt, um überschüssige Teile des leitfähigen Materials, die höher als die Oberseite der IMD-Schicht sind, zu entfernen, sodass eine Metallleitung in dem Graben zurückbleibt. Bei einem Dual-Damascene-Prozess werden ein Graben und eine Durchkontaktierungsöffnung in einer IMD-Schicht erzeugt, wobei sich die Durchkontaktierungsöffnung unter dem Graben befindet und mit diesem verbunden ist. Dann wird das leitfähige Material in den Graben und die Durchkontaktierungsöffnung gefüllt, um eine Metallleitung bzw. eine Durchkontaktierung herzustellen. Das leitfähige Material kann eine Diffusionssperrschicht und ein kupferhaltiges metallisches Material über der Diffusionssperrschicht umfassen. Die Diffusionssperrschicht kann Titan, Titannidrid, Tantal, Tantalnitrid oder dergleichen aufweisen.The metal pipes 34 and the vias 36 be in the dielectric layers 32 manufactured. The metal pipes 34 On the same level, hereinafter collectively referred to as a metal layer. In some embodiments of the present invention, the connection structure 30 a plurality of metal layers through the vias 36 connected to each other. The metal pipes 34 and the vias 36 can be made of copper or copper alloys, but they can also be made of other metals. The production can be carried out with single-damascene and dual damascene processes. In an exemplary single damascene process, first in one of the dielectric layers 32 creates a trench, which is then filled with a conductive material. Subsequently, a planarization process, such as a CMP process, is performed to remove excess portions of the conductive material higher than the top of the IMD layer so that a metal line remains in the trench. In a dual damascene process, a trench and a via opening are formed in an IMD layer with the via opening under and connected to the trench. Then, the conductive material is filled in the trench and the via hole to make a metal line and a via, respectively. The conductive material may include a diffusion barrier layer and a copper-containing metallic material over the diffusion barrier layer. The diffusion barrier layer may include titanium, titanium amide, tantalum, tantalum nitride or the like.

1 zeigt eine dielektrische Oberflächenschicht 38 gemäß einigen Ausführungsformen der vorliegenden Erfindung. Die dielektrische Oberflächenschicht 38 besteht aus einem dielektrischen Nicht-Low-k-Material, wie etwa Siliziumoxid. Die dielektrische Oberflächenschicht 38 wird alternativ als eine Passivierungsschicht bezeichnet, da sie die Funktion hat, die darunter befindlichen dielektrischen Low-k-Schichten (falls vorhanden) vor den ungünstigen Wirkungen von schädlichen Chemikalien und Feuchte zu schützen. Die dielektrische Oberflächenschicht 38 kann auch eine Verbundstruktur mit mehr als einer Schicht haben, die aus Siliziumoxid, Siliziumnitrid, undotiertem Silicatglas (USG) oder dergleichen bestehen kann. Der Bauelement-Die 4 kann außerdem Metallpads, wie etwa Aluminium- oder Aluminiumkupfer-Pads, eine Verbindung nach der Passivierung (Post-Passivation Interconnect; PPI) oder dergleichen aufweisen, die der Einfachheit halber nicht dargestellt sind. 1 shows a dielectric surface layer 38 according to some embodiments of the present invention. The dielectric surface layer 38 consists of a dielectric non-low-k material, such as silicon oxide. The dielectric surface layer 38 Alternatively, it is referred to as a passivation layer because it has the function of protecting the underlying low-k dielectric layers (if present) from the adverse effects of harmful chemicals and moisture. The dielectric surface layer 38 may also have a composite structure with more than one layer, which may consist of silicon oxide, silicon nitride, undoped silicate glass (USG) or the like. The component die 4 may also include metal pads, such as aluminum or aluminum copper pads, a Post-Passivation Interconnect (PPI), or the like, which are not shown for the sake of simplicity.

In der dielektrischen Oberflächenschicht 38 werden Bondpads 40A und 40B hergestellt, die kollektiv oder einzeln als Bondpads 40 bezeichnet werden. Bei einigen Ausführungsformen der vorliegenden Erfindung werden die Bondpads 40A und 40B mit einem Single-Damascene-Prozess hergestellt, und sie können außerdem Sperrschichten und ein kupferhaltiges Material aufweisen, das über den Sperrschichten abgeschieden wird. Bei alternativen Ausführungsformen der vorliegenden Erfindung können die Bondpads 40A und 40B mit einem Dual-Damascene-Prozess hergestellt werden.In the dielectric surface layer 38 become bondpads 40A and 40B made collectively or individually as bond pads 40 be designated. In some embodiments of the present invention, the bond pads become 40A and 40B fabricated with a single damascene process, and may also include barrier layers and a copper-containing material deposited over the barrier layers. In alternative embodiments of the present invention, the bond pads 40A and 40B be prepared with a dual damascene process.

Bei einigen Ausführungsformen der vorliegenden Erfindung wird kein organisches dielektrisches Material, wie etwa eine Polymerschicht, in dem Wafer 2 verwendet. Organische dielektrische Schichten haben typischerweise einen hohen Wärmeausdehnungskoeffizienten (CTE), z. B. 10 ppm/°C oder höher. Dieser ist signifikant höher als der CTE eines Siliziumsubstrats (wie etwa des Substrats 20), der etwa 3 ppm/°C beträgt. Daher verursachen organische dielektrische Schichten oftmals eine Durchbiegung des Wafers 2. Durch Nichtverwendung von organischen Materialien in dem Wafer 2 wird die CTE-Diskrepanz zwischen den Schichten in dem Wafer 2 vorteilhaft verringert, und dadurch wird die Durchbiegung reduziert. Außerdem ermöglicht die Nichtverwendung von organischen Materialien in dem Wafer 2 die Herstellung von Metallleitungen mit kleinen Rasterabständen (wie etwa von Metallleitungen 72 in 10) und Bondpads mit hoher Dichte, wodurch die Trassierbarkeit verbessert wird.In some embodiments of the present invention, no organic dielectric material, such as a polymer layer, is incorporated in the wafer 2 used. Organic dielectric layers typically have a high thermal expansion coefficient (CTE), e.g. 10 ppm / ° C or higher. This is significantly higher than the CTE of a silicon substrate (such as the substrate 20 ), which is about 3 ppm / ° C. Therefore, organic dielectric layers often cause sagging of the wafer 2 , By not using organic materials in the wafer 2 becomes the CTE discrepancy between the layers in the wafer 2 advantageously reduced, and thereby the deflection is reduced. In addition, the non-use of organic materials in the wafer allows 2 the production of metal lines with small pitches (such as metal lines 72 in 10 ) and high density bond pads, thereby improving the passability.

Die obere dielektrische Oberflächenschicht 38 und die Bondpads 40 werden planarisiert, sodass ihre Oberseiten koplanar sind, was mit der CMP bei der Herstellung der Bondpads 40 erreicht werden kann.The upper dielectric surface layer 38 and the bondpads 40 are planarized so that their tops are coplanar, what with the CMP in making the bond pads 40 can be reached.

Dann werden Bauelement-Dies 42A und 42B an den Wafer 2 gebondet, wie in 2 gezeigt ist. Der entsprechende Schritt ist als Schritt 204 in dem Prozessablauf angegeben, der in 17 gezeigt ist. Bei einigen Ausführungsformen der vorliegenden Erfindung können die Bauelement-Dies 42A und 42B jeweils ein Logik-Die sein, der ein CPU-Die, ein MCU-Die, ein E/A-Die, eine Baseband-Die oder ein AP-Die sein kann. Die Bauelement-Dies 42A und 42B können auch Speicher-Dies sein. Die Bauelement-Dies 42A und 42B können unterschiedliche Arten von Dies sein, die aus den vorgenannten Arten gewählt sind. Außerdem können die Bauelement-Dies 42A und 42B mit unterschiedlichen Technologien hergestellt werden, wie etwa der 45-nm-Technologie, der 28-nm-Technologie, der 20-nm-Technologie oder dergleichen. Außerdem kann einer der Bauelement-Dies 42A und 42B ein digitaler Schaltkreis-Die sein, während der andere eine analoger Schaltkreis-Die sein kann. Die Dies 4, 42A und 42B funktionieren gemeinsam als ein System. Durch Aufteilen der Funktionen und Schaltkreise eines Systems in unterschiedliche Dies, wie etwa die Dies 4, 42A und 42B, kann die Herstellung dieser Dies optimiert werden und die Herstellungskosten können gesenkt werden.Then become component dies 42A and 42B to the wafer 2 bonded, as in 2 is shown. The corresponding step is as a step 204 specified in the process flow, which in 17 is shown. In some embodiments of the present invention, the device dies 42A and 42B each may be a logic die, which may be a CPU die, an MCU die, an I / O die, a baseband die, or an AP die. The component dies 42A and 42B can also be memory dies. The component dies 42A and 42B may be different types of dies chosen from the aforementioned species. In addition, the component dies 42A and 42B with different technologies, such as 45nm technology, 28nm technology, 20nm technology or the like. Also, one of the component dies 42A and 42B one digital circuit die while the other may be an analog circuit die. The Dies 4 . 42A and 42B work together as a system. By dividing the functions and circuits of a system into different dies, such as the Dies 4 . 42A and 42B , the production of this can be optimized and the manufacturing costs can be reduced.

Die Bauelement-Dies 42A und 42B weisen ein Halbleitersubstrat 44A bzw. 44B auf, die ein Siliziumsubstrat sein können. Substrat-Durchkontaktierungen (TSVs) 46A und 46B, die gelegentlich als Halbleiter-Durchkontaktierungen oder Durchkontaktierungen bezeichnet werden, werden so hergestellt, dass sie durch das Halbleitersubstrat 44A bzw. 44B hindurchgehen. Die TSVs 46A und 46B dienen zum Verbinden der Bauelemente und Metallleitungen, die auf der Vorderseite (der dargestellten Unterseite) der Halbleitersubstrate 44A und 44B hergestellt sind, mit der Rückseite. Außerdem weisen die Bauelement-Dies 42A und 42B eine Verbindungsstruktur 48A bzw. 48B zum Verbinden mit den aktiven und passiven Bauelementen in den Bauelement-Dies 42A und 42B auf. Die Verbindungsstrukturen 48A und 48B weisen Metallleitungen und Durchkontaktierungen (nicht dargestellt) auf.The component dies 42A and 42B have a semiconductor substrate 44A or. 44B which may be a silicon substrate. Substrate vias (TSVs) 46A and 46B , which are sometimes referred to as semiconductor vias or vias, are fabricated to pass through the semiconductor substrate 44A or. 44B pass. The TSVs 46A and 46B serve to connect the devices and metal lines that are on the front side (the underside shown) of the semiconductor substrates 44A and 44B are made with the back. In addition, the component dies 42A and 42B a connection structure 48A or. 48B for connecting to the active and passive devices in the device dies 42A and 42B on. The connection structures 48A and 48B have metal lines and vias (not shown).

Der Bauelement-Die 42A weist Bondpads 50A und eine dielektrische Schicht 52A an der dargestellten Unterseite des Bauelement-Dies 42A auf. Die Unterseiten der Bondpads 50A sind koplanar mit der Unterseite der dielektrischen Schicht 52A. Der Bauelement-Die 42B weist Bondpads 50B und eine dielektrische Schicht 52B an der dargestellten Unterseite auf. Die Unterseiten der Bondpads 50B sind koplanar mit der Unterseite der dielektrischen Schicht 52B. Bei einigen Ausführungsformen der vorliegenden Erfindung sind alle Bauelement-Dies, wie etwa die Bauelement-Dies 42A und 42B, frei von organischen dielektrischen Materialien, wie etwa Polymeren.The component die 42A has bond pads 50A and a dielectric layer 52A at the illustrated bottom of the component dies 42A on. The undersides of bond pads 50A are coplanar with the bottom of the dielectric layer 52A , The component die 42B has bond pads 50B and a dielectric layer 52B on the illustrated underside. The undersides of bond pads 50B are coplanar with the bottom of the dielectric layer 52B , In some embodiments of the present invention, all device dies, such as the device dies, are 42A and 42B , free of organic dielectric materials, such as polymers.

Die Bondung kann durch Hybridbondung erfolgen. Zum Beispiel werden die Bondpads 50A und 50B durch Metall-Metall-Direktbondung an die Bondpads 40A gebondet. Bei einigen Ausführungsformen der vorliegenden Erfindung ist die Metall-Metall-Direktbondung eine Kupfer-Kupfer-Direktbondung. Außerdem werden die dielektrischen Schichten 52A und 52B an die dielektrische Oberflächenschicht 38 gebondet, sodass zum Beispiel Si-O-Si-Bindungen entstehen.The bonding can be done by hybrid bonding. For example, the bond pads 50A and 50B by metal-metal direct bonding to the bond pads 40A bonded. In some embodiments of the present invention, the metal-to-metal direct bond is a copper-copper direct bond. In addition, the dielectric layers become 52A and 52B to the dielectric surface layer 38 Bonded, so that, for example, Si-O-Si bonds arise.

Um die Hybridbondung zu realisieren, werden die Bauelement-Dies 42A und 42B zunächst an die dielektrische Schicht 38 und die Bondpads 40A vorgebondet, indem die Bauelement-Dies 42A und 42B leicht gegen den Die 4 gedrückt werden. Obwohl nur zwei Bauelement-Dies 42A und 42B dargestellt sind, kann die Hybridbondung auf Waferebene erfolgen, und mehrere Bauelement-Die-Gruppen, die mit der gezeigten Die-Gruppe, die die Bauelement-Dies 42A und 42B umfasst, identisch sind, werden vorgebondet und als Zeilen und Spalten angeordnet.To realize the hybrid bonding, the device dies 42A and 42B first to the dielectric layer 38 and the bondpads 40A pre-bonded by the component dies 42A and 42B easily against the die 4 be pressed. Although only two component dies 42A and 42B For example, hybrid bonding may be performed at the wafer level, and multiple device-die groups may be identified with the die group that represents the device dies 42A and 42B are identical, are prebound and arranged as rows and columns.

Nachdem alle Bauelement-Dies 42A und 42B vorgebondet worden sind, wird eine Glühung durchgeführt, um eine Zwischendiffusion der Metalle in den Bondpads 40A und den entsprechenden darüber befindlichen Bondpads 50A und 50B zu bewirken. Die Glühtemperatur kann in dem Bereich von etwa 200 °C bis etwa 400 °C liegen und kann bei einigen Ausführungsformen in dem Bereich von etwa 300 °C bis etwa 400 °C liegen. Die Glühdauer kann in dem Bereich von etwa 1,5 h bis etwa 3,0 h liegen und kann bei einigen Ausführungsformen in dem Bereich von etwa 1,5 h bis etwa 2,5 h liegen. Bei der Hybridbondung werden die Bondpads 50A und 50B durch die von der Metall-Zwischendiffusion bewirkte direkten Metallbondung an die entsprechenden Bondpads 40A gebondet. Die Bondpads 50A und 50B können erkennbare Grenzflächen mit den entsprechenden Bondpads 40A bilden.After all the component dies 42A and 42B annealing is performed to prevent interdiffusion of the metals in the bond pads 40A and the corresponding bond pads above 50A and 50B to effect. The annealing temperature may be in the range of about 200 ° C to about 400 ° C and, in some embodiments, may be in the range of about 300 ° C to about 400 ° C. The annealing time may be in the range of about 1.5 hours to about 3.0 hours and, in some embodiments, may be in the range of about 1.5 hours to about 2.5 hours. In hybrid bonding, the bond pads become 50A and 50B by the direct metal bonding brought about by the intermediate metal diffusion to the corresponding bond pads 40A bonded. The bondpads 50A and 50B can detectable interfaces with the corresponding bond pads 40A form.

Die dielektrische Schicht 38 kann ebenfalls an die dielektrischen Schichten 52A und 52B gebondet werden, wobei Bindungen zwischen ihnen entstehen. Zum Beispiel bilden Atome (wie etwa Sauerstoffatome) in einer der dielektrischen Schichten 38 und 52A/52B chemische oder kovalente Bindungen mit Atomen (wie etwa Siliziumatomen) in einer anderen der dielektrischen Schichten 38 und 52A/52B. Die resultierenden Bindungen zwischen den dielektrischen Schichten 38 und 52A/52B sind Dielektrikum-Dielektrikum-Bindungen. Die Bondpads 50A und 50B können Größen haben, die größer als die, gleich den oder kleiner als die Größen der jeweiligen Bondpads 40A sind. Zwischen benachbarten Bauelement-Dies 42A und 42B bleiben Spalte 53 zurück.The dielectric layer 38 can also be attached to the dielectric layers 52A and 52B bonded, creating bonds between them. For example, atoms (such as oxygen atoms) form in one of the dielectric layers 38 and 52A / 52B chemical or covalent bonds with atoms (such as silicon atoms) in another of the dielectric layers 38 and 52A / 52B , The resulting bonds between the dielectric layers 38 and 52A / 52B are dielectric-dielectric bonds. The bondpads 50A and 50B may have sizes greater than or equal to or less than the sizes of the respective bond pads 40A are. Between adjacent component dies 42A and 42B stay column 53 back.

Bleiben wir bei 2, wo ein rückseitiges Schleifen durchgeführt werden kann, um die Bauelement-Dies 42A und 42B zum Beispiel auf eine Dicke von etwa 15 µm bis etwa 30 µm zu dünnen. In 2 sind Strichlinien 44A-BS1 und 44B-BS1 gezeigt, die die Rückseiten des Bauelement-Dies 42A bzw. 42B vor dem rückseitigen Schleifen schematisch darstellen. 44A-BS2 und 44B-BS2 sind die Rückseiten des Bauelement-Dies 42A bzw. 42B nach dem rückseitigen Schleifen. Durch das Dünnen der Bauelement-Dies 42A und 42B wird das Seitenverhältnis der Spalte 53 zwischen benachbarten Bauelement-Dies 42A und 42B reduziert, um die Spaltfüllung durchzuführen. Andernfalls kann die Spaltfüllung wegen des ansonsten hohen Seitenverhältnisses der Spalte 53 schwierig sein. Nach dem rückseitigen Schleifen können die TSVs 46A und 46B freigelegt werden. Alternativ können die TSVs 46A und 46B zu diesem Zeitpunkt nicht freigelegt werden, und das rückseitige Schleifen wird beendet, wenn es eine dünnere Schicht des Substrats gibt, die die TSVs 46A und 46B bedeckt. Bei diesen Ausführungsformen können die TSVs 46A und 46B in dem in 4 gezeigten Schritt freigelegt werden. Bei anderen Ausführungsformen, bei denen das Seitenverhältnis der Spalte 53 nicht zu hoch für die Spaltfüllung ist, wird das rückseitige Schleifen weggelassen.We stay with you 2 where a backside sanding can be done to the component dies 42A and 42B For example, to thin to a thickness of about 15 microns to about 30 microns. In 2 are dashed lines 44A - BS1 and 44B - BS1 shown the backs of the component dies 42A or. 42B schematically before the back grinding. 44A-BS2 and 44B-BS2 are the back sides of the device dies 42A or. 42B after the back sanding. By thinning the component dies 42A and 42B is the aspect ratio of the column 53 between adjacent component dies 42A and 42B reduced to perform the gap filling. Otherwise, the gap filling may be due to the otherwise high aspect ratio of the column 53 to be difficult. After the back grinding, the TSVs 46A and 46B be exposed. Alternatively, the TSVs 46A and 46B will not be exposed at this time, and the back grinding will be terminated if there is a thinner layer of the substrate containing the TSVs 46A and 46B covered. In these embodiments, the TSVs 46A and 46B in the 4 be revealed step. In other embodiments, where the aspect ratio of the column 53 is not too high for the gap filling, the back grinding is omitted.

3 zeigt die Herstellung einer Mehrzahl von Spaltfüllschichten, die dielektrische Schichten und die darunter befindlichen Ätzstoppschichten umfassen. Der entsprechende Schritt ist als Schritt 206 in dem Prozessablauf angegeben, der in 17 gezeigt ist. Bei einigen Ausführungsformen der vorliegenden Erfindung umfassen die Spaltfüllschichten eine Ätzstoppschicht 54, eine dielektrische Schicht 56 über und in Kontakt mit der Ätzstoppschicht 54, eine Ätzstoppschicht 58 über und in Kontakt mit der dielektrischen Schicht 56 und eine dielektrische Schicht 60 über und in Kontakt mit der Ätzstoppschicht 58. Die Schichten 54, 56 und 58 können nacheinander mit konformen Abscheidungsverfahren, wie etwa Atomlagenabscheidung (ALD) oder chemische Aufdampfung (CVD), abgeschieden werden. 3 FIG. 12 shows the fabrication of a plurality of gap fill layers including dielectric layers and the underlying etch stop layers. FIG. The corresponding step is as a step 206 specified in the process flow, which in 17 is shown. In some embodiments of the present invention, the gap fill layers include an etch stop layer 54 , a dielectric layer 56 over and in contact with the etch stop layer 54 , an etch stop layer 58 over and in contact with the dielectric layer 56 and a dielectric layer 60 over and in contact with the etch stop layer 58 , The layers 54 . 56 and 58 can be sequentially deposited by conformal deposition methods such as atomic layer deposition (ALD) or chemical vapor deposition (CVD).

Die Ätzstoppschicht 54 besteht aus einem dielektrischen Material, das eine gute Haftung an den Seitenwänden der Bauelement-Dies 42A und 42B und den Oberseiten der dielektrischen Schicht 38 und der Bondpads 40B hat. Bei einigen Ausführungsformen der vorliegenden Erfindung besteht die Ätzstoppschicht 54 aus einem nitridhaltigen Material, wie etwa Siliziumnitrid. Eine Dicke T1 (die T1A und T1B umfasst) der Ätzstoppschicht 54 kann etwa 500 Å bis etwa 1000 Å betragen. Es ist klar, dass die Werte, die in der gesamten Beschreibung angegeben sind, nur Beispiele sind und dass auch andere Werte verwendet werden können. Die Ätzstoppschicht 54 verläuft auf den Seitenwänden der Bauelement-Dies 42A und 42B und kontaktiert diese. Die Ätzstoppschicht 54 kann zum Beispiel eine konforme Schicht sein, wobei die Dicke T1A der horizontalen Teile und die Dicke T1B der vertikalen Teile im Wesentlichen gleichgroß sind, wobei die Differenz (T1A - T1B) zum Beispiel einen Absolutwert hat, der kleiner als etwa 20 % oder kleiner als etwa 10 % der beiden Dicken T1A und T1B ist.The etch stop layer 54 is made of a dielectric material that has good adhesion to the sidewalls of the device dies 42A and 42B and the tops of the dielectric layer 38 and the bondpads 40B Has. In some embodiments of the present invention, the etch stop layer is 54 of a nitride-containing material, such as silicon nitride. A thickness T1 (the T1A and T1B includes) the etch stop layer 54 may be about 500 Å to about 1000 Å. It is clear that the values given throughout the description are only examples and that other values may be used. The etch stop layer 54 runs on the sidewalls of the component dies 42A and 42B and contact them. The etch stop layer 54 For example, it may be a conformal layer, the thickness T1A the horizontal parts and the thickness T1B the vertical parts are substantially the same size, with the difference ( T1A - T1B ), for example, has an absolute value less than about 20% or less than about 10% of the two thicknesses T1A and T1B is.

Die dielektrische Schicht 56 besteht aus einem anderen Material als die Ätzstoppschicht 54. Bei einigen Ausführungsformen der vorliegenden Erfindung besteht die dielektrische Schicht 56 aus Siliziumoxid, das aus TEOS hergestellt werden kann, aber es können auch andere dielektrische Materialien, wie etwa Siliziumcarbid, Siliziumoxidnitrid, Siliziumoxidcarbonitrid oder dergleichen, verwendet werden, wenn es eine adäquate Ätzselektivität (die zum Beispiel höher als etwa 50 ist) zwischen der dielektrischen Schicht 56 und der Ätzstoppschicht 54 gibt. Die Ätzselektivität ist das Verhältnis der Ätzrate der dielektrischen Schicht 56 zu der Ätzrate der Ätzstoppschicht 54, wenn die dielektrische Schicht 56 in einem späteren Prozess geätzt wird. Die Dicke T2 der dielektrischen Schicht 56 kann etwa 15 kÅ (1,5 µm) bis etwa 25 kÅ (2,5 µm) betragen. Die dielektrische Schicht 56 kann ebenfalls eine konforme Schicht sein, wobei die Dicken der horizontalen und der vertikalen Teile im Wesentlichen gleichgroß sind.The dielectric layer 56 is made of a different material than the etch stop layer 54 , In some embodiments of the present invention, the dielectric layer is 56 silicon oxide, which may be made of TEOS, but other dielectric materials such as silicon carbide, silicon oxynitride, silicon oxynitride, or the like may be used if there is adequate etch selectivity (e.g., greater than about 50) between the dielectric layer 56 and the etch stop layer 54 gives. The etch selectivity is the ratio of the etch rate of the dielectric layer 56 to the etch rate of the etch stop layer 54 when the dielectric layer 56 etched in a later process. The fat T2 the dielectric layer 56 may be about 15 kÅ (1.5 μm) to about 25 kÅ (2.5 μm). The dielectric layer 56 may also be a conformal layer, with the thicknesses of the horizontal and vertical parts being substantially equal.

Die Ätzstoppschicht 58 besteht aus einem anderen Material als die dielektrische Schicht 56. Die Materialien der Ätzstoppschicht 58 und der Ätzstoppschicht 54 können gleich oder voneinander verschieden sein. Bei einigen Ausführungsformen der vorliegenden Erfindung besteht die Ätzstoppschicht 58 aus Siliziumnitrid, Siliziumoxid, Siliziumcarbid, Siliziumoxidnitrid, Siliziumoxidcarbonitrid oder dergleichen. Eine Dicke T3 der Ätzstoppschicht 58 kann etwa 3 kÅ bis etwa 5 kÅ betragen. Die Ätzstoppschicht 58 kann ebenfalls eine konforme Schicht sein, wobei die Dicken der horizontalen und der vertikalen Teile im Wesentlichen gleichgroß sind. Die Dicke T3 der Ätzstoppschicht 58 kann ebenfalls größer als die, gleich der oder kleiner als die Dicke T1 der Ätzstoppschicht 54 sein, was davon abhängig ist, ob eine Dicke T4 (4) größer als die, gleich der oder kleiner als die Dicke T1 ist. Da bei einigen Ausführungsformen der vorliegenden Erfindung die Dicke T2 kleiner als die Dicke T4 (4) ist und die Ätzung von Öffnungen 66 auf der Ätzstoppschicht 58 synchronisiert worden ist, kann die Dicke T1 der Ätzstoppschicht 54 kleiner als die Dicke T3 der Ätzstoppschicht 58 sein, ohne dass dies zu Lasten des Ätzstoppvermögens der Ätzstoppschicht 54 geht.The etch stop layer 58 is made of a different material than the dielectric layer 56 , The materials of the etch stop layer 58 and the etch stop layer 54 may be the same or different. In some embodiments of the present invention, the etch stop layer is 58 silicon nitride, silicon oxide, silicon carbide, silicon oxynitride, silicon oxycarbonitride or the like. A thickness T3 the etch stop layer 58 may be about 3 kÅ to about 5 kÅ. The etch stop layer 58 may also be a conformal layer, with the thicknesses of the horizontal and vertical parts being substantially equal. The fat T3 the etch stop layer 58 can also be greater than, equal to or less than the thickness T1 the etch stop layer 54 be what depends on whether a thickness T4 ( 4 ) greater than, equal to or less than the thickness T1 is. Because in some embodiments of the present invention, the thickness T2 smaller than the thickness T4 ( 4 ) and the etching of openings 66 on the etch stop layer 58 has been synchronized, the thickness can be T1 the etch stop layer 54 smaller than the thickness T3 the etch stop layer 58 without sacrificing the etch stop capability of the etch stop layer 54 goes.

Die dielektrische Schicht 60 besteht aus einem anderen Material als die Ätzstoppschicht 58. Bei einigen Ausführungsformen der vorliegenden Erfindung besteht die dielektrische Schicht 60 aus Siliziumoxid, das aus TEOS hergestellt werden kann, aber es können auch andere dielektrische Materialien, wie etwa Siliziumcarbid, Siliziumoxidnitrid, Siliziumoxidcarbonitrid, PSG, BSG, BPSG oder dergleichen, verwendet werden, wenn es eine adäquate Ätzselektivität (die zum Beispiel höher als etwa 50 ist) zwischen der dielektrischen Schicht 60 und der Ätzstoppschicht 58 gibt. Die Ätzselektivität ist das Verhältnis der Ätzrate der dielektrischen Schicht 60 zu der Ätzrate der Ätzstoppschicht 58, wenn die dielektrische Schicht 60 in einem späteren Prozess geätzt wird. Die dielektrische Schicht 60 kann durch CVD, chemische Aufdampfung mit einem Plasma hoher Dichte (HDPCVD), fließfähige chemische Aufdampfung (FCVD), Schleuderbeschichtung oder dergleichen hergestellt werden. Die dielektrische Schicht 60 füllt die übrigen Spalte 53 vollständig (2), und in der dielektrischen Schicht 60 entstehen keine Nähte und Hohlräume.The dielectric layer 60 is made of a different material than the etch stop layer 58 , In some embodiments of the present invention, the dielectric layer is 60 silicon oxide, which may be made of TEOS, but other dielectric materials such as silicon carbide, silicon oxynitride, silicon oxynitride, PSG, BSG, BPSG, or the like may be used if there is adequate etch selectivity (e.g., greater than about 50 is) between the dielectric layer 60 and the etch stop layer 58 gives. The etch selectivity is the ratio of the etch rate of the dielectric layer 60 to the etch rate of the etch stop layer 58 when the dielectric layer 60 etched in a later process. The dielectric layer 60 can be prepared by CVD, high density plasma chemical vapor deposition (HDPCVD), flowable chemical vapor deposition (FCVD), spin coating or the like. The dielectric layer 60 fills the remaining column 53 Completed ( 2 ), and in the dielectric layer 60 There are no seams and cavities.

In 4 wird ein Planarisierungsprozess, wie etwa ein CMP-Prozess oder ein mechanischer Schleifprozess, durchgeführt, um überschüssige Teile der Spaltfüllschichten 60, 58, 56 und 54 zu entfernen, sodass die Bauelement-Dies 42A und 42B freigelegt werden. Der entsprechende Schritt ist als Schritt 208 in dem Prozessablauf angegeben, der in 17 gezeigt ist. Die Durchkontaktierungen 46A und 46B werden ebenfalls freigelegt. Die übrigen Teile der Schichten 54, 56, 58 und 60 werden kollektiv als (spaltfüllende) Trennbereiche 65 bezeichnet. Die resultierende Dicke T4 der dielektrischen Schicht 60 kann etwa 60 % bis etwa 90 % der Höhe H1 der Trennbereiche 65 betragen. Bei einigen Ausführungsformen der vorliegenden Erfindung ist die Höhe H1 der Trennbereiche 65 größer als etwa 18 µm, und sie kann etwa 20 µm bis etwa 30 µm betragen.In 4 For example, a planarization process, such as a CMP process or a mechanical grinding process, is performed to remove excess portions of the gap-filling layers 60 . 58 . 56 and 54 to remove so that the component dies 42A and 42B be exposed. The corresponding step is as a step 208 specified in the process flow, which in 17 is shown. The vias 46A and 46B are also exposed. The remaining parts of the layers 54 . 56 . 58 and 60 collectively become (gap-filling) separation areas 65 designated. The resulting thickness T4 the dielectric layer 60 can be about 60% to about 90% of the height H1 the separation areas 65 be. In some embodiments of the present invention, the height is H1 the separation areas 65 greater than about 18 microns, and may be about 20 microns to about 30 microns.

5 zeigt die Ätzung der dielektrischen Schicht 60, um die Öffnungen 66 zu erzeugen. Der entsprechende Schritt ist als Schritt 210 in dem Prozessablauf angegeben, der in 17 gezeigt ist. Bei einigen Ausführungsformen der vorliegenden Erfindung wird ein Fotoresist 68 hergestellt und strukturiert, und die dielektrische Schicht 60 wird unter Verwendung des Fotoresists 68 als eine Ätzmaske geätzt. Dadurch entstehen die Öffnungen 66, die nach unten zu der Ätzstoppschicht 58 verlaufen, die als die Ätzstoppschicht fungiert. Bei einigen Ausführungsformen der vorliegenden Erfindung weist die dielektrische Schicht 60 ein Oxid auf, und die Ätzung kann durch Trockenätzung erfolgen. Das Ätzgas kann ein Gemisch aus NF3 und NH3 oder ein Gemisch aus HF und NH3 sein. Durch die Verwendung der Ätzstoppschicht 58 zum Beenden der Ätzung zum Erzeugen der Öffnungen 66 kann das Verlängern mehrerer Öffnungen 66 nach unten auf ein und demselben Wafer 2 auf der gleichen Zwischenebene synchronisiert werden, sodass die schneller geätzten Öffnungen auf die langsamer geätzten Öffnungen warten, bevor sie sich wieder nach unten verlängern. 5 shows the etching of the dielectric layer 60 to the openings 66 to create. The corresponding step is as a step 210 specified in the process flow, which in 17 is shown. In some embodiments of the present invention, a photoresist is formed 68 manufactured and structured, and the dielectric layer 60 is made using the photoresist 68 etched as an etch mask. This creates the openings 66 pointing down to the etch stop layer 58 which functions as the etch stop layer. In some embodiments of the present invention, the dielectric layer 60 an oxide, and the etching can be done by dry etching. The etching gas may be a mixture of NF 3 and NH 3 or a mixture of HF and NH 3 . By using the etch stop layer 58 for stopping the etching to produce the openings 66 can extend several openings 66 down on one and the same wafer 2 synchronize at the same intermediate level so that the faster etched openings wait for the slower etched openings before extending down again.

Es ist klar, dass der Wafer 2 eine Durchbiegung hat, die so signifikant sein kann, dass sie bewirkt, dass sich unterschiedliche Öffnungen 66 zu unterschiedlichen Ebenen verlängern. Wenn die Höhe H1 der Trennbereiche größer als ein bestimmter Wert (der von verschiedenen Faktoren beeinflusst wird, wie etwa der Technologie und dem Material der Trennbereiche 65) ist, kommt es bei der Ätzung zum Erzeugen der Öffnungen 66 zu Problemen, wenn eine einzelne dielektrische Schicht und eine einzelne Ätzstoppschicht hergestellt werden, und einige Öffnungen können die Ätzstoppschicht erreichen, während andere Öffnungen die Ätzstoppschicht nicht erreichen können. Da die Durchkontaktierungen, die in den Öffnungen hergestellt werden, die die einzelne Ätzstoppschicht nicht erreichen und nicht durch sie hindurchgehen, einen offenen Stromkreis bilden, kommt es zu einem Durchkontaktierungsöffnungs-Problem. Dieses Problem kann nicht durch Verlängern der Überätzungsdauer gelöst werden, da das zu weiteren Problemen führt. Bei einigen Ausführungsformen der vorliegenden Erfindung werden die zwei Ätzstoppschichten 54 und 58 und die zwei dielektrischen Schichten 56 und 60 hergestellt, sodass die Dicke T4 der dielektrischen Schicht 60 kleiner als die Höhe H1 ist. Die Dicke T4 wird so gewählt, dass die Ätzung der dielektrischen Schicht 60 innerhalb des entsprechen Prozessfensters liegt und alle Öffnungen 66 die Ätzstoppschicht 58 erreichen können.It is clear that the wafer 2 has a sag that can be so significant that it causes different openings 66 extend to different levels. When the height H1 The separation ranges greater than a certain value (which is influenced by various factors, such as the technology and the material of the separation areas 65 ), the etching causes the openings to be created 66 to problems when a single dielectric layer and a single etch stop layer are made, and some openings may reach the etch stop layer while other openings may not reach the etch stop layer. Since the vias made in the vias that do not reach the single etch stop layer and do not pass therethrough form an open circuit, a via problem arises. This problem can not be solved by prolonging the over-etching time, as this leads to further problems. In some embodiments of the present invention, the two etch stop layers become 54 and 58 and the two dielectric layers 56 and 60 made, so the thickness T4 the dielectric layer 60 less than the height H1 is. The fat T4 is chosen so that the etching of the dielectric layer 60 within the corresponding process window and all openings 66 the etch stop layer 58 reachable.

In 6 wird die Ätzstoppschicht 58 geätzt, sodass die Öffnungen 66 nach unten zu der dielektrischen Schicht 56 verlaufen. Der entsprechende Schritt ist als Schritt 212 in dem Prozessablauf angegeben, der in 17 gezeigt ist. Bei einigen Ausführungsformen der vorliegenden Erfindung weist die Ätzstoppschicht 58 Siliziumnitrid auf, und die Ätzung erfolgt durch Trockenätzung. Das Ätzgas kann ein Gemisch aus CF4, O2 und N2, ein Gemisch aus NF3 und O2, SF6 oder ein Gemisch aus SF6 und O2 sein. Außerdem besteht eine hohe Ätzselektivität zwischen der Ätzstoppschicht 58 und der dielektrischen Schicht 56, und daher endet die Ätzung auf der dielektrischen Schicht 56, die auch als eine Ätzstoppschicht für die Ätzung der Ätzstoppschicht 58 fungiert.In 6 becomes the etch stop layer 58 etched so the openings 66 down to the dielectric layer 56 run. The corresponding step is as a step 212 specified in the process flow, which in 17 is shown. In some embodiments of the present invention, the etch stop layer 58 Silicon nitride, and the etching is carried out by dry etching. The etching gas may be a mixture of CF 4 , O 2 and N 2 , a mixture of NF 3 and O 2 , SF 6 or a mixture of SF 6 and O 2 . In addition, there is a high etch selectivity between the etch stop layer 58 and the dielectric layer 56 , and therefore the etching on the dielectric layer ends 56 , also called an etch stop layer for etching the etch stop layer 58 acts.

7 zeigt die Ätzung der dielektrischen Schicht 56, um die Öffnungen 66 weiter nach unten zu der Ätzstoppschicht 54 zu verlängern, die als die Ätzstoppschicht für die Ätzung der dielektrischen Schicht 56 fungiert. Der entsprechende Schritt ist als Schritt 214 in dem Prozessablauf angegeben, der in 17 gezeigt ist. Bei einigen Ausführungsformen der vorliegenden Erfindung weist die dielektrische Schicht 60 ein Oxid auf. Die Ätzung kann durch Trockenätzung erfolgen. Das Ätzgas kann ein Gemisch aus NF3 und NH3 oder ein Gemisch aus HF und NH3 sein. 7 shows the etching of the dielectric layer 56 to the openings 66 further down to the etch stop layer 54 extend as the etch stop layer for the etching of the dielectric layer 56 acts. The corresponding step is as a step 214 specified in the process flow, which in 17 is shown. In some embodiments of the present invention, the dielectric layer 60 an oxide on. The etching can be done by dry etching. The etching gas may be a mixture of NF 3 and NH 3 or a mixture of HF and NH 3 .

In 8 wird die Ätzstoppschicht 54 weiter geätzt, sodass die Öffnungen 66 nach unten bis zu den Bondpads 40B verlaufen, die zu den Öffnungen 66 freigelegt werden. Der entsprechende Schritt ist als Schritt 216 in dem Prozessablauf angegeben, der in 17 gezeigt ist. Die Ätzung kann ebenfalls mit einem Trockenätzprozess erfolgen. Bei einigen Ausführungsformen der vorliegenden Erfindung besteht die Ätzstoppschicht 54 aus Siliziumnitrid, und die Ätzung erfolgt durch Trockenätzung. Das Ätzgas kann ein Gemisch aus CF4, O2 und N2, ein Gemisch aus NF3 und O2, SF6 oder ein Gemisch aus SF6 und O2 sein. Anschließend wird das Fotoresist 68 entfernt.In 8th becomes the etch stop layer 54 etched further so that the openings 66 down to the bond pads 40B run out to the openings 66 be exposed. The appropriate step is as a step 216 specified in the process flow, which in 17 is shown. The etching can also be done with a dry etching process. In some embodiments of the present invention, the etch stop layer is 54 made of silicon nitride, and the etching is carried out by dry etching. The etching gas may be a mixture of CF 4 , O 2 and N 2 , a mixture of NF 3 and O 2 , SF 6 or a mixture of SF 6 and O 2 . Subsequently, the photoresist 68 away.

Bei alternativen Ausführungsformen der vorliegenden Erfindung werden die Schichten 56 und 54 in einem gemeinsamen Ätzprozess unter Verwendung der gleichen Ätzgase geätzt, wobei die Ätzgase so gewählt werden, dass beide Schichten 56 und 54 geätzt werden und die Ätzselektivität zwischen der Schicht 56 und der Ätzstoppschicht 54 relativ klein ist und zum Beispiel etwa 2 bis etwa 10 oder etwa 5 bis etwa 10 beträgt. Daher kann, obwohl die Ätzrate für die Schicht 54 relativ klein ist, wenn die Schicht 54 dünner als die darüber befindlichen Schichten ist, die Schicht 54 immer noch mit dem gleichen Ätzgas wir für die Ätzung der Schicht 56 geätzt werden.In alternative embodiments of the present invention, the layers become 56 and 54 etched in a common etching process using the same etching gases, wherein the etching gases are selected so that both layers 56 and 54 etched and the etch selectivity between the layer 56 and the etch stop layer 54 is relatively small and is, for example, about 2 to about 10 or about 5 to about 10. Therefore, although the etch rate for the layer 54 is relatively small when the layer 54 thinner than the layers above it, the layer 54 still using the same etching gas we used for the etching of the layer 56 be etched.

9 zeigt die Herstellung von Durchkontaktierungen 70, sodass die Öffnungen 66 (8) gefüllt werden und eine Verbindung mit den Bondpads 40B hergestellt wird. Der entsprechende Schritt ist als Schritt 218 in dem Prozessablauf angegeben, der in 17 gezeigt ist. Bei einigen Ausführungsformen der vorliegenden Erfindung umfasst die Herstellung der Durchkontaktierungen 70 einen Plattierungsprozess, wie etwa eine elektrochemische Plattierung oder eine stromlose Plattierung. Die Durchkontaktierungen 70 können ein metallisches Material, wie etwa Wolfram, Aluminium, Kupfer oder dergleichen, aufweisen. Außerdem kann unter dem metallischen Material eine leitfähige Sperrschicht (wie etwa Titan, Titannidrid, Tantal, Tantalnitrid oder dergleichen) hergestellt werden. Eine Planarisierung, wie etwa eine CMP, wird durchgeführt, um überschüssige Teile des plattierten metallischen Materials zu entfernen, und die verbliebenen Teile des metallischen Materials bilden die Durchkontaktierungen 70. Die Durchkontaktierungen 70 können im Wesentlichen gerade und vertikale Seitenwände haben. Außerdem können die Durchkontaktierungen 70 ein konisches Profil haben, bei dem die oberen Breiten etwas größer als die jeweiligen unteren Breiten sind. 9 shows the production of vias 70 so the openings 66 ( 8th ) and connect to the bond pads 40B will be produced. The corresponding step is as a step 218 specified in the process flow, which in 17 is shown. In some embodiments of the present invention, the fabrication of the vias comprises 70 a plating process such as electrochemical plating or electroless plating. The vias 70 may include a metallic material such as tungsten, aluminum, copper or the like. In addition, under the metallic material, a conductive barrier layer (such as titanium, titanium amide, tantalum, tantalum nitride or the like) can be produced. Planarization, such as a CMP, is performed to remove excess portions of the plated metallic material, and the remaining portions of the metallic material form the vias 70 , The vias 70 can have essentially straight and vertical side walls. In addition, the vias can 70 have a conical profile, where the upper widths are slightly larger than the respective lower widths.

Bei alternativen Ausführungsformen werden die TSVs 46A und 46B nicht in den Bauelement-Dies 42A und 42B vorgefertigt. Vielmehr werden sie erst hergestellt, nachdem die Bauelement-Dies 42A und 42B an den Die 4 gebondet worden sind. Zum Beispiel werden die Bauelement-Dies 42A und 42B entweder vor oder nach dem Erzeugen der Öffnungen 66 (8) geätzt, um weitere Öffnungen (die von den dargestellten TSVs 46A und 46B belegt werden) zu erzeugen. Die weiteren Öffnungen in den Bauelement-Dies 42A und 42B und die Öffnungen 66 können gleichzeitig gefüllt werden, um die TSVs 46A und 46B und die Durchkontaktierungen 70 herzustellen. Die resultierenden Durchkontaktierungen 46A und 46B können obere Teile haben, die breiter als die jeweiligen unteren Teile sind, wie in 9 gezeigt ist. Umgekehrt können bei einigen Ausführungsformen, bei denen die TSVs 46A und 46B vor dem Bonden vorgefertigt werden, die TSVs 46A und 46B obere Breiten haben, die kleiner als die jeweiligen unteren Breiten sind (was durch Strichlinien 71 schematisch dargestellt ist), die den Durchkontaktierungen 70 gegenüberliegen.In alternative embodiments, the TSVs 46A and 46B not in the component dies 42A and 42B prefabricated. Rather, they are only made after the component dies 42A and 42B to the die 4 have been bonded. For example, the device dies 42A and 42B either before or after creating the openings 66 ( 8th ) etched to more openings (those of the illustrated TSVs 46A and 46B be occupied). The other openings in the component dies 42A and 42B and the openings 66 can be filled at the same time to the TSVs 46A and 46B and the vias 70 manufacture. The resulting vias 46A and 46B can have upper parts that are wider than the respective lower parts, as in 9 is shown. Conversely, in some embodiments where the TSVs 46A and 46B prefabricated before bonding, the TSVs 46A and 46B have upper widths that are smaller than the respective lower widths (which by dashed lines 71 is shown schematically), the vias 70 are opposite.

In 10 werden Umverteilungsleitungen (RDLs) 72 und eine dielektrische Schicht 74 hergestellt. Der entsprechende Schritt ist als Schritt 220 in dem Prozessablauf angegeben, der in 17 gezeigt ist. Bei einigen Ausführungsformen der vorliegenden Erfindung besteht die dielektrische Schicht 74 aus einem Oxid, wie etwa Siliziumoxid, einem Nitrid, wie etwa Siliziumnitrid, oder dergleichen. Die RDLs 72 können mit einem Damascene-Prozess hergestellt werden, der die folgenden Schritte umfasst: Ätzen der dielektrischen Schicht 74, um Öffnungen zu erzeugen; Abscheiden einer leitfähigen Sperrschicht in die Öffnungen; Plattieren eines metallischen Materials, wie etwa Kupfer oder einer Kupferlegierung; und Durchführen einer Planarisierung, um überschüssige Teile der RDLs 72 zu entfernen.In 10 be Redistribution Lines (RDLs) 72 and a dielectric layer 74 manufactured. The corresponding step is as a step 220 specified in the process flow, which in 17 is shown. In some embodiments of the present invention, the dielectric layer is 74 of an oxide such as silicon oxide, a nitride such as silicon nitride, or the like. The RDLs 72 can be made by a damascene process, which includes the following steps: etching the dielectric layer 74 to create openings; Depositing a conductive barrier layer into the openings; Plating a metallic material such as copper or a copper alloy; and performing a planarization to remove excess portions of the RDLs 72 to remove.

11 zeigt die Herstellung von Passivierungsschichten, Metallpads und darüber befindlichen dielektrischen Schichten. Über der dielektrischen Schicht 74 wird eine Passivierungsschicht 76 (die gelegentlich als Passivierung-i bezeichnet wird) hergestellt, und in der Passivierungsschicht 76 werden Durchkontaktierungen 78 so hergestellt, dass sie mit den RDLs 72 elektrisch verbunden sind. Über der Passivierungsschicht 76 werden Metallpads 80 hergestellt, die über die Durchkontaktierungen 78 mit den RDLs 72 elektrisch verbunden werden. Der entsprechende Schritt ist ebenfalls als Schritt 220 in dem Prozessablauf angegeben, der in 17 gezeigt ist. Die Metallpads 80 können Aluminiumpads oder Aluminiumkupferpads sein, aber es können auch andere metallische Materialien verwendet werden. 11 shows the fabrication of passivation layers, metal pads and overlying dielectric layers. Over the dielectric layer 74 becomes a passivation layer 76 (sometimes referred to as passivation-i) and in the passivation layer 76 become vias 78 Made to work with the RDLs 72 are electrically connected. Above the passivation layer 76 become metal pads 80 made through the vias 78 with the RDLs 72 be electrically connected. The corresponding step is also a step 220 specified in the process flow, which in 17 is shown. The metal pads 80 may be aluminum pads or aluminum copper pads, but other metallic materials may be used.

Wie ebenfalls in 11 gezeigt ist, wird über der Passivierungsschicht 76 eine Passivierungsschicht 82 (die gelegentlich als Passivierung-2 bezeichnet wird) hergestellt. Die Passivierungsschichten 76 und 82 können jeweils eine einzelne Schicht oder eine Verbundschicht sein und aus einem nicht-porösen Material bestehen. Bei einigen Ausführungsformen der vorliegenden Erfindung sind die Passivierungsschichten 76 und/oder 82 jeweils eine Verbundschicht, die eine Siliziumoxidschicht (nicht einzeln dargestellt) und eine Siliziumnitridschicht (nicht einzeln dargestellt) über der Siliziumoxidschicht umfasst. Die Passivierungsschichten 76 und 82 können auch aus anderen nicht-porösen dielektrischen Materialien bestehen, wie etwa undotiertem Silicatglas (USG), Siliziumoxidnitrid oder dergleichen.Like also in 11 is shown above the passivation layer 76 a passivation layer 82 (occasionally used as passivation 2 is designated). The passivation layers 76 and 82 may each be a single layer or a composite layer and consist of a non-porous material. In some embodiments of the present invention, the passivation layers are 76 and or 82 each a compound layer comprising a silicon oxide layer (not shown individually) and a silicon nitride layer (not individually shown) over the silicon oxide layer includes. The passivation layers 76 and 82 may also be made of other non-porous dielectric materials, such as undoped silicate glass (USG), silicon oxynitride, or the like.

Dann wird die Passivierungsschicht 82 strukturiert, sodass einige Teile der Passivierungsschicht 82 die Randteile der Metallpads 80 bedecken und einige Teile der Metallpads 80 durch die Öffnungen in der Passivierungsschicht 82 freigelegt werden. Dann wird eine Polymerschicht 84 hergestellt, die so strukturiert wird, dass die Metallpads 80 freigelegt werden. Die Polymerschicht 84 kann aus Polyimid, Polybenzoxazol (PBO) oder dergleichen bestehen.Then the passivation layer becomes 82 structured so that some parts of the passivation layer 82 the edge parts of the metal pads 80 cover and some parts of the metal pads 80 through the openings in the passivation layer 82 be exposed. Then a polymer layer 84 made, which is structured so that the metal pads 80 be exposed. The polymer layer 84 may be polyimide, polybenzoxazole (PBO) or the like.

Bei einigen Ausführungsformen der vorliegenden Erfindung ist die unter den Metallpads 80 befindliche Struktur frei von organischen Materialien (wie etwa Polymerschichten), sodass zum Herstellen der Strukturen unter den Metallpads 80 das Verfahren genutzt werden kann, das zum Herstellen von Bauelement-Dies verwendet wird, und dadurch können RDLs (wie etwa die RDLs 72) mit kleinen Rasterabständen und kleinen Linienbreiten erzielt werden.In some embodiments of the present invention, that is among the metal pads 80 structure free of organic materials (such as polymer layers), so that the structures under the metal pads 80 the method used to fabricate device dies may be used, and thereby RDLs (such as the RDLs 72 ) can be achieved with small pitches and small line widths.

In 12 werden Verbindungen nach der Passivierung (PPIs) 86 hergestellt. Das Herstellungsverfahren umfasst das Herstellen einer metallischen Seedschicht und einer strukturierten Maskenschicht (nicht dargestellt) über der metallischen Seedschicht und das Plattieren der PPIs 86 in der strukturierten Maskenschicht. Der entsprechende Schritt ist ebenfalls als Schritt 220 in dem Prozessablauf angegeben, der in 17 gezeigt ist. Die strukturierte Maskenschicht und die Teile der metallischen Seedschicht, die von der strukturierten Maskenschicht überdeckt werden, werden dann in Ätzprozessen entfernt. Anschließend wird eine Polymerschicht 88 hergestellt, die aus PBO, Polyimid oder dergleichen bestehen kann.In 12 are compounds after passivation (PPIs) 86 manufactured. The manufacturing method comprises forming a metallic seed layer and a patterned mask layer (not shown) over the metallic seed layer and plating the PPIs 86 in the structured mask layer. The corresponding step is also a step 220 specified in the process flow, which in 17 is shown. The patterned masking layer and the portions of the metallic seed layer that are covered by the patterned masking layer are then removed in etching processes. Subsequently, a polymer layer 88 prepared, which may consist of PBO, polyimide or the like.

In 13 werden Metallisierungen unter dem Kontakthügel (UBMs) 90 hergestellt, wobei die UBMs 90 in die Polymerschicht 88 hineinreichen, um sie mit den PPIs 86 zu verbinden. Der entsprechende Schritt ist ebenfalls als Schritt 220 in dem Prozessablauf angegeben, der in 17 gezeigt ist. Bei einigen Ausführungsformen der vorliegenden Erfindung weisen die UBMs 90 jeweils eine Sperrschicht (nicht dargestellt) und eine Seedschicht (nicht dargestellt) über der Sperrschicht auf. Die Sperrschicht kann eine Titanschicht, ein Titannidridschicht, eine Tantalschicht, eine Tantalnitridschicht oder eine Schicht sein, die aus einer Titanlegierung oder einer Tantallegierung besteht. Die Materialien für die Seedschicht können Kupfer oder eine Kupferlegierung sein. Andere Metalle, wie etwa Silber, Gold, Aluminium, Palladium, Nickel, Nickellegierungen, Wolframlegierungen, Chrom oder Chromlegierungen, und Kombinationen davon können ebenfalls für die UBMs 90 verwendet werden.In 13 will be metallizations under the contact mound (UBMs) 90 produced, the UBMs 90 in the polymer layer 88 reach out to them with the PPIs 86 connect to. The corresponding step is also a step 220 specified in the process flow, which in 17 is shown. In some embodiments of the present invention, the UBMs 90 each a barrier layer (not shown) and a seed layer (not shown) over the barrier layer. The barrier layer may be a titanium layer, a titanium amide layer, a tantalum layer, a tantalum nitride layer or a layer consisting of a titanium alloy or a tantalum alloy. The materials for the seed layer may be copper or a copper alloy. Other metals, such as silver, gold, aluminum, palladium, nickel, nickel alloys, tungsten alloys, chromium or chromium alloys, and combinations thereof may also be used for the UBMs 90 be used.

Wie ebenfalls in 13 gezeigt ist, werden elektrische Verbindungselemente 92 hergestellt. Der entsprechende Schritt ist ebenfalls als Schritt 220 in dem Prozessablauf angegeben, der in 17 gezeigt ist. Ein beispielhaftes Herstellungsverfahren für die UBMs 90 und die elektrischen Verbindungselemente 92 umfasst die folgenden Schritte: Abscheiden einer UBM-Schutzschicht; und Herstellen und Strukturieren einer Maske (die ein Fotoresist sein kann; nicht dargestellt), wobei Teile der UBM-Schutzschicht durch die Öffnung in der Maske freigelegt werden. Nach der Herstellung der UBMs 90 wird das gezeigte Package in ein Galvanisierbad (nicht dargestellt) platziert, und eine Plattierung wird durchgeführt, um die elektrischen Verbindungselemente 92 auf den UBMs 90 herzustellen. Bei einigen beispielhaften Ausführungsformen der vorliegenden Erfindung weisen die elektrischen Verbindungselemente 92 lotfreie Teile (nicht dargestellt) auf, die in späteren Aufschmelzprozessen nicht zum Schmelzen gebracht werden. Die lotfreien Teile können aus Kupfer bestehen und werden daher nachstehend als Kupferkontakthügel bezeichnet, aber sie können auch aus anderen lotfreien Materialien bestehen. Die elektrischen Verbindungselemente 92 können außerdem jeweils eine oder mehrere Verkappungsschichten (nicht dargestellt) aufweisen, die eine Nickelschicht, eine Nickellegierungsschicht, eine Palladiumschicht, eine Goldschicht, eine Silberschicht oder Multischichten davon sein können. Die Verkappungsschichten werden über den Kupferkontakthügeln hergestellt. Die elektrischen Verbindungselemente 92 können außerdem Lotkappen aufweisen, die aus einer Sn-Ag-Legierung, einer Sn-Cu-Legierung, einer Sn-Ag-Cu-Legierung oder dergleichen bestehen können und bleifrei oder bleihaltig sein können. Die in den vorhergehenden Schritten hergestellte Struktur wird als Verbundwafer 94 bezeichnet. An dem Verbundwafer 94 wird ein Die-Zersägungsprozess (Die-Vereinzelungsprozess) durchgeführt, um den Verbundwafer 94 in eine Mehrzahl von Packages 96 zu zertrennen. Der entsprechende Schritt ist als Schritt 222 in dem Prozessablauf angegeben, der in 17 gezeigt ist.Like also in 13 Shown are electrical connectors 92 manufactured. The corresponding step is also a step 220 specified in the process flow, which in 17 is shown. An exemplary manufacturing process for the UBMs 90 and the electrical connection elements 92 includes the following steps: depositing an UBM protective layer; and fabricating and patterning a mask (which may be a photoresist, not shown) with portions of the UBM protective layer exposed through the opening in the mask. After the production of the UBMs 90 For example, the illustrated package is placed in a plating bath (not shown), and plating is performed to seal the electrical connection elements 92 on the UBMs 90 manufacture. In some exemplary embodiments of the present invention, the electrical connectors 92 Solderless parts (not shown), which are not melted in subsequent Aufschmelzprozessen. The solder-free parts may be made of copper and are therefore referred to hereinafter as copper bumps, but they may also be made of other solder-free materials. The electrical connection elements 92 may also each comprise one or more capping layers (not shown), which may be a nickel layer, a nickel alloy layer, a palladium layer, a gold layer, a silver layer or multilayers thereof. The capping layers are made over the copper bumps. The electrical connection elements 92 may also include solder caps, which may consist of a Sn-Ag alloy, a Sn-Cu alloy, a Sn-Ag-Cu alloy or the like and may be lead-free or leaded. The structure produced in the previous steps is called composite wafer 94 designated. On the composite wafer 94 a die-sawing process (die-singulation process) is performed to form the composite wafer 94 in a plurality of packages 96 to cut up. The corresponding step is as a step 222 specified in the process flow, which in 17 is shown.

14 zeigt den Verbundwafer 94 und die Packages 96 bei alternativen Ausführungsformen. Diese Ausführungsformen sind den in 13 gezeigten Ausführungsformen ähnlich, mit der Ausnahme, dass außerdem eine Ätzstoppschicht 62 und eine dielektrische Schicht 64 hergestellt werden. Diese Ausführungsformen werden verwendet, wenn die Dicke der Trennbereiche 65 zu groß ist und die zwei Ätzstoppschichten 54 und 58 das Durchkontaktierungsöffnungs-Problem nicht lösen können. Die Ätzstoppschicht 62 kann aus einem Material bestehen, das aus ähnlichen in Frage kommenden Materialien wie für die Herstellung der Ätzstoppschichten 54 und 58 gewählt ist. Die dielektrische Schicht 64 kann aus einem Material bestehen, das aus ähnlichen in Frage kommenden Materialien wie für die Herstellung der dielektrischen Schichten 56 und 60 gewählt ist. Die Erzeugung der Öffnungen 66 (8) umfasst daher außerdem einen weiteren Ätzprozess zum Ätzen der dielektrischen Schicht 64 unter Verwendung der Ätzstoppschicht 62 zum Beenden der Ätzung und das Ätzen der Ätzstoppschicht 62 unter Verwendung der dielektrischen Schicht 60 zum Beenden der Ätzung. Bei einigen Ausführungsformen der vorliegenden Erfindung erfolgt das Ätzen jeder der Schichten 64, 62, 60, 58 und 56 unter Verwendung der jeweiligen darunter befindlichen Schicht als eine Ätzstoppschicht. Bei alternativen Ausführungsformen endet die Ätzung jeder der Schichten 64 und 62 auf der Schicht 62 bzw. der Schicht 60, wobei für einige der darunter befindlichen dielektrischen Schichten 60 und 56 und die entsprechenden darunter befindlichen Ätzstoppschichten 58 und 54 gemeinsame Prozesse verwendet werden können. Zum Beispiel kann für die Schichten 60 und 58 ein gemeinsamer Ätzprozess unter Verwendung eines gemeinsamen Ätzgases verwendet werden (oder auch nicht), und die Ätzung kann auf der Schicht 56 enden, die als eine Ätzstoppschicht fungiert. Für die Schichten 60 und 56 kann ein gemeinsamer Ätzprozess unter Verwendung eines gemeinsamen Ätzgases verwendet werden (oder auch nicht), und die Ätzung kann auf der Schicht 56 enden, die als eine Ätzstoppschicht fungiert. Für die Schichten 56 und 54 kann ein gemeinsamer Ätzprozess unter Verwendung eines gemeinsamen Ätzgases verwendet werden (oder auch nicht), und die Ätzung kann auf den Metallpads 40B enden, die als eine Ätzstoppschicht fungieren. 14 shows the composite wafer 94 and the packages 96 in alternative embodiments. These embodiments are in the 13 similar to the embodiments shown, with the exception that also has an etch stop layer 62 and a dielectric layer 64 getting produced. These embodiments are used when the thickness of the separation areas 65 too big and the two etch stop layers 54 and 58 can not solve the via problem. The etch stop layer 62 may be made of a material similar to that in Questioning materials as for the preparation of Ätzstoppschichten 54 and 58 is selected. The dielectric layer 64 may be made of a material made of similar candidate materials as for the preparation of the dielectric layers 56 and 60 is selected. The generation of the openings 66 ( 8th ) therefore also includes a further etching process for etching the dielectric layer 64 using the etch stop layer 62 to terminate the etch and etch the etch stop layer 62 using the dielectric layer 60 to stop the etching. In some embodiments of the present invention, the etching of each of the layers occurs 64 . 62 . 60 . 58 and 56 using the respective underlying layer as an etch stop layer. In alternative embodiments, the etching of each of the layers ends 64 and 62 on the shift 62 or the layer 60 , wherein for some of the underlying dielectric layers 60 and 56 and the corresponding etch stop layers underneath 58 and 54 common processes can be used. For example, for the layers 60 and 58 a common etch process using a common etchant gas may or may not be used, and the etch may be on the layer 56 which acts as an etch stop layer. For the layers 60 and 56 For example, a common etch process using a common etch gas may or may not be used, and the etch may be on the layer 56 which acts as an etch stop layer. For the layers 56 and 54 For example, a common etch process using a common etch gas may or may not be used, and the etch may be on the metal pads 40B which act as an etch stop layer.

15 zeigt ein Package 98, in das das Package 96 (13 und 14) eingebettet ist. Das Package 98 weist Speicherwürfel 100 auf, die eine Mehrzahl von aufeinander gestapelten Speicher-Dies (nicht einzeln dargestellt) umfassen. Das Package 96 und die Speicherwürfel 100 sind in einem Verkapselungsmaterial 102 verkapselt, das eine Formmasse sein kann. Dielektrische Schichten und RDLs (die kollektiv mit 104 bezeichnet sind) befinden sich unter dem Package 96 und den Speicherwürfeln 100 und sind mit diesen verbunden. 15 shows a package 98 into which the package 96 ( 13 and 14 ) is embedded. The package 98 has memory cubes 100 which comprise a plurality of stacked memory dies (not shown individually). The package 96 and the memory cubes 100 are in an encapsulation material 102 encapsulated, which can be a molding material. Dielectric layers and RDLs (collectively with 104 are designated) are under the package 96 and the memory cubes 100 and are connected to them.

16 zeigt eine Package-auf-Package-Struktur (PoP-Struktur) 106, die ein integriertes Fan-out-Package (InFO-Package) 108 hat, das an ein oberes Package 110 gebondet ist. Das InFO-Package 108 weist außerdem das darin eingebettete Package 96 auf. Das Package 96 und Durchkontaktierungen 112 sind in einem Verkapselungsmaterial 114 verkapselt, das eine Formmasse sein kann. Das Package 96 wird an dielektrische Schichten und RDLs gebondet, die kollektiv mit 116 bezeichnet sind. 16 shows a package-on-package structure (PoP structure) 106 who have an integrated fan-out package (InFO package) 108 has that on an upper package 110 is bonded. The InFO package 108 also has the embedded package 96 on. The package 96 and vias 112 are in an encapsulation material 114 encapsulated, which can be a molding material. The package 96 is bonded to dielectric layers and RDLs collectively with 116 are designated.

Die Ausführungsformen der vorliegenden Erfindung haben mehrere Vorzüge. Durch Herstellen einer Mehrzahl von Ätzstoppschichten kann die Ätzung von Trennbereichen auf einer Zwischenebene synchronisiert werden, bevor der Ätzprozess weitergeht. Dadurch können mehrere Öffnungen auf dem gleichen Wafer die Unterseite der Trennbereiche erreichen, die eine große Dicke/Höhe haben. Daher beeinträchtigt die Durchbiegung der Wafer nicht die Ausbeute der Durchkontaktierungen in den Trennbereichen.The embodiments of the present invention have several advantages. By producing a plurality of etch stop layers, the etching of separation areas on an intermediate level can be synchronized before the etching process continues. This allows multiple openings on the same wafer to reach the bottom of the separation areas, which have a large thickness / height. Therefore, the deflection of the wafers does not affect the yield of the vias in the separation areas.

Bei einigen Ausführungsformen der vorliegenden Erfindung weist ein Verfahren die folgenden Schritte auf: Bonden eines ersten und eines zweiten Bauelement-Dies an einen dritten Bauelement-Die; Herstellen einer Mehrzahl von Spaltfüllschichten, die zwischen dem ersten und dem zweiten Bauelement-Die verlaufen; und Durchführen eines ersten Ätzprozesses, um eine erste dielektrische Schicht in der Mehrzahl von Spaltfüllschichten zu ätzen, sodass eine Öffnung entsteht. Eine erste Ätzstoppschicht in der Mehrzahl von Spaltfüllschichten dient zum Beenden des ersten Ätzprozesses. Die Öffnung wird dann durch die erste Ätzstoppschicht verlängert. Ein zweiter Ätzprozess wird durchgeführt, um die Öffnung durch eine zweite dielektrische Schicht, die sich unter der ersten Ätzstoppschicht befindet, zu verlängern. Der zweite Ätzprozess endet auf einer zweiten Ätzstoppschicht in der Mehrzahl von Spaltfüllschichten. Das Verfahren umfasst weiterhin das Verlängern der Öffnung durch die zweite Ätzstoppschicht und das Füllen der Öffnung mit einem leitfähigen Material, um eine Durchkontaktierung herzustellen. Bei einer Ausführungsform umfasst das Bonden des ersten Bauelement-Dies und des zweiten Bauelement-Dies das Hybridbonden. Bei einer Ausführungsform umfasst die zweite Ätzstoppschicht eine Siliziumnitridschicht. Bei einer Ausführungsform sind die zweite Ätzstoppschicht, die zweite dielektrische Schicht und die erste Ätzstoppschicht konforme dielektrische Schichten. Bei einer Ausführungsform umfasst das Verlängern der Öffnung durch die erste Ätzstoppschicht das Ätzen der ersten Ätzstoppschicht unter Verwendung der zweiten dielektrischen Schicht als eine Ätzstoppschicht. Bei einer Ausführungsform umfasst das Verfahren vor dem Herstellen der Mehrzahl von Spaltfüllschichten weiterhin das Dünnen des ersten Bauelement-Dies und des zweiten Bauelement-Dies. Bei einer Ausführungsform umfasst das Verfahren vor dem Herstellen der Mehrzahl von Spaltfüllschichten weiterhin das Planarisieren des ersten Bauelement-Dies und des zweiten Bauelement-Dies, um Durchkontaktierungen in dem ersten Bauelement-Die und dem zweiten Bauelement-Die freizulegen. Bei einer Ausführungsform sind der erste Bauelement-Die, der zweite Bauelement-Die, der dritte Bauelement-Die und die Mehrzahl von Spaltfüllschichten frei von organischen dielektrischen Materialien. Bei einer Ausführungsform umfasst das Verfahren weiterhin das Herstellen einer Umverteilungsleitung über dem ersten Bauelement-Die und dem zweiten Bauelement-Die, wobei die Umverteilungsleitung mit der Durchkontaktierung elektrisch verbunden wird.In some embodiments of the present invention, a method comprises the steps of: bonding a first and a second device dies to a third device die; Forming a plurality of gap-filling layers extending between the first and second device die; and performing a first etching process to etch a first dielectric layer in the plurality of gap-filling layers to form an opening. A first etch stop layer in the plurality of gap fill layers serves to terminate the first etch process. The opening is then extended by the first etch stop layer. A second etch process is performed to extend the opening through a second dielectric layer located below the first etch stop layer. The second etching process terminates on a second etch stop layer in the plurality of gap fill layers. The method further includes extending the opening through the second etch stop layer and filling the opening with a conductive material to make a via. In one embodiment, the bonding of the first device die and the second device die comprises hybrid bonding. In an embodiment, the second etch stop layer comprises a silicon nitride layer. In one embodiment, the second etch stop layer, the second dielectric layer, and the first etch stop layer are conformal dielectric layers. In one embodiment, extending the opening through the first etch stop layer includes etching the first etch stop layer using the second dielectric layer as an etch stop layer. In one embodiment, prior to forming the plurality of gap fill layers, the method further comprises thinning the first device dies and the second device dies. In one embodiment, prior to fabricating the plurality of gap fill layers, the method further comprises planarizing the first device die and the second device die to expose vias in the first device die and the second device die. In one embodiment, the first device die, the second device die, the third device die, and the plurality of gap fill layers are free of organic dielectric materials. In an embodiment, the method further comprises establishing a redistribution line over the first device die and the second device die, wherein the redistribution line is electrically connected to the via.

Bei einigen Ausführungsformen der vorliegenden Erfindung weist ein Verfahren die folgenden Schritte auf: Bonden einer Mehrzahl von Bauelement-Dies an einen Bauelement-Wafer; Herstellen von Trennbereichen zwischen der Mehrzahl von Bauelement-Dies; Ätzen der Trennbereiche, um eine erste Öffnung und eine zweite Öffnung zu erzeugen, die durch die Trennbereiche hindurchgehen, wobei Bondpads des Bauelement-Wafers zu der ersten Öffnung und der zweiten Öffnung freigelegt werden und während des Ätzens der Trennbereiche die zweite Ätzstoppschicht zum Beenden des Ätzens dient; und Füllen der ersten Öffnung und der zweiten Öffnung mit einem leitfähigen Material, um eine erste Durchkontaktierung und eine zweite Durchkontaktierung herzustellen. Das Herstellen der Trennbereiche umfasst Folgendes: Herstellen einer ersten Ätzstoppschicht, die Seitenwandteile, die die Mehrzahl von Bauelement-Dies kontaktieren, und einen unteren Teil aufweist, der eine Oberseite des Bauelement-Wafers kontaktiert; Herstellen einer ersten dielektrischen Schicht über der ersten Ätzstoppschicht; Herstellen einer zweiten Ätzstoppschicht über der ersten dielektrischen Schicht; und Herstellen einer zweiten dielektrischen Schicht über der zweiten Ätzstoppschicht. Bei einer Ausführungsform werden die erste Ätzstoppschicht, die erste dielektrische Schicht und die zweite Ätzstoppschicht mit einem konformen Abscheidungsverfahren hergestellt. Bei einer Ausführungsform werden die erste Ätzstoppschicht, die erste dielektrische Schicht und die zweite Ätzstoppschicht durch chemische Aufdampfung hergestellt. Bei einer Ausführungsform wird die erste Ätzstoppschicht so hergestellt, dass sie dünner als die zweite Ätzstoppschicht ist. Bei einer Ausführungsform umfasst das Bonden der Mehrzahl von Bauelement-Dies an den Bauelement-Wafer das Hybridbonden. Bei einer Ausführungsform umfasst das Verfahren weiterhin Folgendes: Ätzen der Mehrzahl von Bauelement-Dies, um weitere Öffnungen zu erzeugen; und Füllen der weiteren Öffnungen, um Durchkontaktierungen zu erzeugen, die durch Halbleitersubstrate der Mehrzahl von Bauelement-Dies hindurchgehen, wobei die weiteren Öffnungen und die erste und die zweite Öffnung gleichzeitig gefüllt werden.In some embodiments of the present invention, a method comprises the steps of: bonding a plurality of device dies to a device wafer; Establishing separation areas between the plurality of device dies; Etching the separation regions to create a first opening and a second opening passing through the separation regions, exposing bond pads of the device wafer to the first opening and the second opening and, during the etching of the separation regions, exposing the second etch stop layer to complete the etching is used; and filling the first opening and the second opening with a conductive material to produce a first via and a second via. The forming of the isolation regions comprises: forming a first etch stop layer having sidewall portions contacting the plurality of device dies and a bottom portion contacting an upper surface of the device wafer; Forming a first dielectric layer over the first etch stop layer; Forming a second etch stop layer over the first dielectric layer; and forming a second dielectric layer over the second etch stop layer. In one embodiment, the first etch stop layer, the first dielectric layer, and the second etch stop layer are formed by a conformal deposition process. In one embodiment, the first etch stop layer, the first dielectric layer, and the second etch stop layer are formed by chemical vapor deposition. In one embodiment, the first etch stop layer is made to be thinner than the second etch stop layer. In one embodiment, bonding the plurality of device dies to the device wafer comprises hybrid bonding. In an embodiment, the method further comprises: etching the plurality of device dies to create further openings; and filling the further openings to create vias that pass through semiconductor substrates of the plurality of device dies, wherein the further openings and the first and second openings are filled simultaneously.

Bei einigen Ausführungsformen der vorliegenden Erfindung weist ein Package Folgendes auf: einen ersten Bauelement-Die; einen zweiten Bauelement-Die und einen dritten Bauelement-Die, die an den ersten Bauelement-Die gebondet sind; einen Trennbereich zwischen dem zweiten Bauelement-Die und dem dritten Bauelement-Die; und eine Durchkontaktierung, die durch den Trennbereich hindurchgeht, um sie mit dem ersten Bauelement-Die elektrisch zu verbinden. Der Trennbereich weist Folgendes auf: eine erste Ätzstoppschicht, die Seitenwandteile, die den ersten und den zweiten Bauelement-Die kontaktieren, und einen unteren Teil aufweist, der eine Oberseite des ersten Bauelement-Dies kontaktiert; eine erste dielektrische Schicht über der ersten Ätzstoppschicht; eine zweite Ätzstoppschicht über der ersten dielektrischen Schicht; und eine zweite dielektrische Schicht über der zweiten Ätzstoppschicht. Bei einer Ausführungsform geht die Durchkontaktierung durch alle dielektrischen Schichten in dem Trennbereich hindurch. Bei einer Ausführungsform verjüngt sich die Durchkontaktierung, wobei obere Teile zunehmend breiter als jeweilige untere Teile sind. Bei einer Ausführungsform hat die erste Ätzstoppschicht eine Dicke, die kleiner als eine Dicke der zweiten Ätzstoppschicht ist. Bei einer Ausführungsform sind die erste Ätzstoppschicht, die erste dielektrische Schicht und die zweite Ätzstoppschicht konforme Schichten.In some embodiments of the present invention, a package comprises: a first device die; a second device die and a third device die bonded to the first device die; a separation area between the second device die and the third device die; and a via that passes through the isolation region to electrically connect to the first device die. The separation region includes: a first etch stop layer having sidewall portions contacting the first and second device die and a bottom portion contacting an upper surface of the first device die; a first dielectric layer over the first etch stop layer; a second etch stop layer over the first dielectric layer; and a second dielectric layer over the second etch stop layer. In one embodiment, the via passes through all of the dielectric layers in the isolation region. In one embodiment, the via tapers, with upper portions becoming progressively wider than respective lower portions. In one embodiment, the first etch stop layer has a thickness that is less than a thickness of the second etch stop layer. In one embodiment, the first etch stop layer, the first dielectric layer, and the second etch stop layer are conformal layers.

Vorstehend sind Merkmale verschiedener Ausführungsformen beschrieben worden, sodass Fachleute die Aspekte der vorliegenden Erfindung besser verstehen können. Fachleuten dürfte klar sein, dass sie die vorliegende Erfindung ohne Weiteres als eine Grundlage zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erreichen der gleichen Ziele und/oder zum Erzielen der gleichen Vorzüge wie bei den hier vorgestellten Ausführungsformen verwenden können. Fachleute dürften ebenfalls erkennen, dass solche äquivalenten Auslegungen nicht von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abweichen und dass sie hier verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abzuweichen.Features of various embodiments have been described above so that those skilled in the art can better understand the aspects of the present invention. Those skilled in the art will appreciate that they may readily use the present invention as a basis for designing or modifying other methods and structures to achieve the same objects and / or advantages of the same as the embodiments presented herein. Those skilled in the art should also recognize that such equivalent interpretations do not depart from the spirit and scope of the present invention and that they may make various changes, substitutions and alterations here without departing from the spirit and scope of the present invention.

Claims (20)

Verfahren mit den folgenden Schritten: Bonden eines ersten Bauelement-Dies und eines zweiten Bauelement-Dies an einen dritten Bauelement-Die; Herstellen einer Mehrzahl von Spaltfüllschichten, die zwischen dem ersten Bauelement-Die und dem zweiten Bauelement-Die verlaufen; Durchführen eines ersten Ätzprozesses, um eine erste dielektrische Schicht in der Mehrzahl von Spaltfüllschichten zu ätzen, sodass eine Öffnung entsteht, wobei eine erste Ätzstoppschicht, die zu der Mehrzahl von Spaltfüllschichten gehört und sich unter der ersten dielektrischen Schicht befindet, zum Beenden des ersten Ätzprozesses dient; Verlängern der Öffnung durch die erste Ätzstoppschicht; Durchführen eines zweiten Ätzprozesses, um die Öffnung durch eine zweite dielektrische Schicht, die zu der Mehrzahl von Spaltfüllschichten gehört und sich unter der ersten Ätzstoppschicht befindet, zu verlängern, wobei der zweite Ätzprozess auf einer zweiten Ätzstoppschicht in der Mehrzahl von Spaltfüllschichten endet, Verlängern der Öffnung durch die zweite Ätzstoppschicht; und Füllen der Öffnung mit einem leitfähigen Material, um eine Durchkontaktierung herzustellen.A method comprising the steps of: bonding a first device die and a second device die to a third device die; Producing a plurality of gap filling layers extending between the first device die and the second device die; Performing a first etching process to etch a first dielectric layer in the plurality of gap fill layers to form an opening, wherein a first etch stop layer associated with the plurality of gap fill layers and located below the first dielectric layer is for terminating the first etch process ; Extending the opening through the first etch stop layer; Performing a second etch process to extend the opening through a second dielectric layer associated with the plurality of gap fill layers and located below the first etch stop layer, wherein the second etch process is on a second etch process Etching stop layer in the plurality of gap filling layers ends, extending the opening through the second etching stop layer; and filling the opening with a conductive material to make a via. Verfahren nach Anspruch 1, wobei das Bonden des ersten Bauelement-Dies und des zweiten Bauelement-Dies das Hybridbonden umfasst.Method according to Claim 1 wherein the bonding of the first device die and the second device die comprises hybrid bonding. Verfahren nach Anspruch 1 oder 2, wobei die zweite Ätzstoppschicht eine Siliziumnitridschicht umfasst.Method according to Claim 1 or 2 wherein the second etch stop layer comprises a silicon nitride layer. Verfahren nach einem der vorhergehenden Ansprüche, wobei die zweite Ätzstoppschicht, die zweite dielektrische Schicht und die erste Ätzstoppschicht konforme dielektrische Schichten sind.The method of any one of the preceding claims, wherein the second etch stop layer, the second dielectric layer, and the first etch stop layer are conformal dielectric layers. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Verlängern der Öffnung durch die erste Ätzstoppschicht das Ätzen der ersten Ätzstoppschicht unter Verwendung der zweiten dielektrischen Schicht als eine Ätzstoppschicht umfasst.The method of claim 1, wherein extending the opening through the first etch stop layer comprises etching the first etch stop layer using the second dielectric layer as an etch stop layer. Verfahren nach einem der vorhergehenden Ansprüche, das vor dem Herstellen der Mehrzahl von Spaltfüllschichten weiterhin das Dünnen des ersten Bauelement-Dies und des zweiten Bauelement-Dies umfasst.The method of claim 1, further comprising thinning the first device die and the second device die before producing the plurality of gap fill layers. Verfahren nach einem der vorhergehenden Ansprüche, das vor dem Herstellen der Mehrzahl von Spaltfüllschichten weiterhin das Planarisieren des ersten Bauelement-Dies und des zweiten Bauelement-Dies umfasst, um Durchkontaktierungen in dem ersten Bauelement-Die und dem zweiten Bauelement-Die freizulegen.The method of claim 1, further comprising planarizing the first device die and the second device die prior to forming the plurality of gap fill layers to expose vias in the first device die and the second device die. Verfahren nach einem der vorhergehenden Ansprüche, wobei der erste Bauelement-Die, der zweite Bauelement-Die, der dritte Bauelement-Die und die Mehrzahl von Spaltfüllschichten frei von organischen dielektrischen Materialien sind.The method of any one of the preceding claims, wherein the first device die, the second device die, the third device die, and the plurality of gap fill layers are free of organic dielectric materials. Verfahren nach einem der vorhergehenden Ansprüche, das weiterhin das Herstellen einer Umverteilungsleitung über dem ersten Bauelement-Die und dem zweiten Bauelement-Die umfasst, wobei die Umverteilungsleitung mit der Durchkontaktierung elektrisch verbunden wird.The method of claim 1, further comprising establishing a redistribution line over the first device die and the second device die, wherein the redistribution line is electrically connected to the via. Verfahren mit den folgenden Schritten: Bonden einer Mehrzahl von Bauelement-Dies an einen Bauelement-Wafer; Herstellen von Trennbereichen zwischen der Mehrzahl von Bauelement-Dies, wobei das Herstellen der Trennbereiche Folgendes umfasst: Herstellen einer ersten Ätzstoppschicht, die Seitenwandteile, die die Mehrzahl von Bauelement-Dies kontaktieren, und einen unteren Teil aufweist, der eine Oberseite des Bauelement-Wafers kontaktiert, Herstellen einer ersten dielektrischen Schicht über der ersten Ätzstoppschicht, Herstellen einer zweiten Ätzstoppschicht über der ersten dielektrischen Schicht, und Herstellen einer zweiten dielektrischen Schicht über der zweiten Ätzstoppschicht; Ätzen der Trennbereiche, um eine erste Öffnung zu erzeugen, die durch die Trennbereiche hindurchgeht, wobei Bondpads des Bauelement-Wafers zu der ersten Öffnung freigelegt werden und während des Ätzens der Trennbereiche die zweite Ätzstoppschicht zum Beenden des Ätzens dient; und Füllen der ersten Öffnung mit einem leitfähigen Material, um eine erste Durchkontaktierung und eine zweite Durchkontaktierung herzustellen.Procedure with the following steps: Bonding a plurality of device dies to a device wafer; Producing separation regions between the plurality of device dies, wherein producing the separation regions comprises: Forming a first etch stop layer having sidewall portions contacting the plurality of device dies and a bottom portion contacting an upper surface of the device wafer, Producing a first dielectric layer over the first etch stop layer, Forming a second etch stop layer over the first dielectric layer, and Forming a second dielectric layer over the second etch stop layer; Etching the separation regions to create a first opening that passes through the isolation regions, exposing bond pads of the device wafer to the first opening, and during the etching of the isolation regions, serving the second etch stop layer to complete the etching; and Filling the first opening with a conductive material to produce a first via and a second via. Verfahren nach Anspruch 10, wobei die erste Ätzstoppschicht, die erste dielektrische Schicht und die zweite Ätzstoppschicht mit einem konformen Abscheidungsverfahren hergestellt werden.Method according to Claim 10 wherein the first etch stop layer, the first dielectric layer and the second etch stop layer are formed by a conformal deposition method. Verfahren nach Anspruch 10 oder 11, wobei die erste Ätzstoppschicht, die erste dielektrische Schicht und die zweite Ätzstoppschicht durch chemische Aufdampfung hergestellt werden.Method according to Claim 10 or 11 wherein the first etch stop layer, the first dielectric layer, and the second etch stop layer are formed by chemical vapor deposition. Verfahren nach einem der Ansprüche 10 bis 12, wobei die erste Ätzstoppschicht so hergestellt, dass sie dünner als die zweite Ätzstoppschicht ist.Method according to one of Claims 10 to 12 wherein the first etch stop layer is made to be thinner than the second etch stop layer. Verfahren nach einem der Ansprüche 10 bis 13, wobei das Bonden der Mehrzahl von Bauelement-Dies an den Bauelement-Wafer das Hybridbonden umfasst.Method according to one of Claims 10 to 13 wherein bonding the plurality of device dies to the device wafer comprises hybrid bonding. Verfahren nach einem der Ansprüche 10 bis 14, das weiterhin Folgendes umfasst: Ätzen der Mehrzahl von Bauelement-Dies, um eine zweite Öffnung zu erzeugen; und Füllen der zweiten Öffnung, um Durchkontaktierungen so herzustellen, dass sie durch Halbleitersubstrate der Mehrzahl von Bauelement-Dies hindurchgehen, wobei die erste Öffnung und die zweite Öffnung gleichzeitig gefüllt werden.Method according to one of Claims 10 to 14 , further comprising: etching the plurality of device dies to create a second opening; and filling the second opening to make vias to pass through semiconductor substrates of the plurality of device dies, wherein the first opening and the second opening are filled simultaneously. Package mit: einem ersten Bauelement-Die; einem zweiten Bauelement-Die und einem dritten Bauelement-Die, die an den ersten Bauelement-Die gebondet sind; einem Trennbereich zwischen dem zweiten Bauelement-Die und dem dritten Bauelement-Die, wobei der Trennbereich Folgendes aufweist: eine erste Ätzstoppschicht, die Seitenwandteile, die den ersten Bauelement-Die und den zweiten Bauelement-Die kontaktieren, und einen unteren Teil aufweist, der eine Oberseite des ersten Bauelement-Dies kontaktiert, eine erste dielektrische Schicht über der ersten Ätzstoppschicht, eine zweite Ätzstoppschicht über der ersten dielektrischen Schicht, und eine zweite dielektrische Schicht über der zweiten Ätzstoppschicht; und einer Durchkontaktierung, die durch den Trennbereich hindurchgeht, um sie mit dem ersten Bauelement-Die elektrisch zu verbinden.Package comprising: a first component die; a second device die and a third device die bonded to the first device die; a separation region between the second device die and the third device die, the isolation region comprising: a first etch stop layer, the sidewall parts contacting the first device die and the second device die, and a bottom part comprising a top surface of the first device die, a first dielectric layer over the first etch stop layer, a second etch stop layer over the first dielectric layer, and a second dielectric layer over the second etch stop layer; and a via that passes through the isolation region to electrically connect to the first device die. Package nach Anspruch 16, wobei die Durchkontaktierung durch alle dielektrischen Schichten in dem Trennbereich hindurchgeht.Package after Claim 16 wherein the via passes through all of the dielectric layers in the isolation region. Package nach Anspruch 16 oder 17, wobei sich die Durchkontaktierung verjüngt, wobei obere Teile zunehmend breiter als jeweilige untere Teile sind.Package after Claim 16 or 17 , wherein the via tapers, wherein upper parts are increasingly wider than respective lower parts. Package nach einem der Ansprüche 16 bis 18, wobei die erste Ätzstoppschicht eine Dicke hat, die kleiner als eine Dicke der zweiten Ätzstoppschicht ist.Package after one of Claims 16 to 18 wherein the first etch stop layer has a thickness that is less than a thickness of the second etch stop layer. Package nach einem der Ansprüche 16 bis 19, wobei die erste Ätzstoppschicht, die erste dielektrische Schicht und die zweite Ätzstoppschicht konforme Schichten sind.Package after one of Claims 16 to 19 wherein the first etch stop layer, the first dielectric layer, and the second etch stop layer are conformal layers.
DE102018123499.6A 2017-11-15 2018-09-25 Process control for package manufacturing Pending DE102018123499A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762586305P 2017-11-15 2017-11-15
US62/586,305 2017-11-15
US16/121,861 US10784247B2 (en) 2017-11-15 2018-09-05 Process control for package formation
US16/121,861 2018-09-05

Publications (1)

Publication Number Publication Date
DE102018123499A1 true DE102018123499A1 (en) 2019-05-16

Family

ID=66335822

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018123499.6A Pending DE102018123499A1 (en) 2017-11-15 2018-09-25 Process control for package manufacturing

Country Status (2)

Country Link
US (1) US20230145063A1 (en)
DE (1) DE102018123499A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111146147A (en) * 2019-12-30 2020-05-12 中芯集成电路(宁波)有限公司 Semiconductor device integration structure and method
CN113539951A (en) * 2021-06-09 2021-10-22 北京大学 Silicon-based fan-out type packaging wiring method

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111146147A (en) * 2019-12-30 2020-05-12 中芯集成电路(宁波)有限公司 Semiconductor device integration structure and method
CN111146147B (en) * 2019-12-30 2023-04-28 中芯集成电路(宁波)有限公司 Semiconductor device integrated structure and method
CN113539951A (en) * 2021-06-09 2021-10-22 北京大学 Silicon-based fan-out type packaging wiring method

Also Published As

Publication number Publication date
US20230145063A1 (en) 2023-05-11

Similar Documents

Publication Publication Date Title
DE102018102719A1 (en) Forming metal bonds with recesses
DE102016100270B4 (en) BOND STRUCTURES AND PROCESSES FOR THEIR MANUFACTURE
DE102019116993A1 (en) OPUFFER MEMORY DESIGN FOR PACKAGE INTEGRATION
DE102015114903B4 (en) Three-dimensional integrated circuit structure and bonded structure
DE102018124695A1 (en) Integrate passive devices in package structures
DE102016100012B4 (en) METHOD OF MANUFACTURING BOND STRUCTURES
DE102017127227B4 (en) Connection structure and method
DE102019117763B4 (en) SIDE PANEL PROTECTION FOR METAL CONTACT HILLS
DE102016101777B4 (en) Process for processing a wafer and method
DE102016101287B4 (en) SEMICONDUCTOR DEVICE STRUCTURE AND FORMATION METHOD
DE102018130035B4 (en) PACKAGE AND PROCEDURE
DE102015105950A1 (en) Buffer layer (s) on a stacked structure with a via
DE102019118362A1 (en) STRUCTURING A POLYMER LAYER TO REDUCE TENSION
DE102019127076B4 (en) FILM STRUCTURE FOR BOND PAD AND METHOD OF PRODUCTION
DE102021110267A1 (en) Deep partition power delivery with deep trench capacitor
DE102016100025A1 (en) Structure and production method for a chip package
DE102016101089B4 (en) Multiple impact process for bonding
DE102021103804A1 (en) Passive structure on SoIC
DE102019128619A1 (en) SEMICONDUCTOR DEVICE AND METHOD FOR THEIR PRODUCTION
DE102016100323A1 (en) Method for reducing dual damascene distortion
DE102020135142B4 (en) TSV STRUCTURE WITH MULTIPLE COATINGS AND METHOD FOR THE PRODUCTION THEREOF
DE102020132089B4 (en) PASSIVATION STRUCTURE WITH INCREASED THICKNESS FOR METAL PADS AND METHOD FOR THE PRODUCTION THEREOF
DE102022100083A1 (en) HETEROGENOUS DIELECTRIC BOND SCHEME
DE102018123499A1 (en) Process control for package manufacturing
DE102021112653A1 (en) Semiconductor package and method of manufacturing a semiconductor package

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication