KR102540536B1 - Tsv structure and method forming same - Google Patents

Tsv structure and method forming same Download PDF

Info

Publication number
KR102540536B1
KR102540536B1 KR1020210025616A KR20210025616A KR102540536B1 KR 102540536 B1 KR102540536 B1 KR 102540536B1 KR 1020210025616 A KR1020210025616 A KR 1020210025616A KR 20210025616 A KR20210025616 A KR 20210025616A KR 102540536 B1 KR102540536 B1 KR 102540536B1
Authority
KR
South Korea
Prior art keywords
liner
semiconductor substrate
layer
opening
dielectric
Prior art date
Application number
KR1020210025616A
Other languages
Korean (ko)
Other versions
KR20220021390A (en
Inventor
밍-추 충
쿠-펭 양
창-지우 우
웬-치 치오우
첸-후아 유
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/139,030 external-priority patent/US11527439B2/en
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20220021390A publication Critical patent/KR20220021390A/en
Application granted granted Critical
Publication of KR102540536B1 publication Critical patent/KR102540536B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

방법은, 반도체 기판 위에 복수의 유전체층을 형성하는 단계, 개구를 형성하기 위해 복수의 유전체층 및 반도체 기판을 에칭하는 단계, 개구 내로 연장되는 제1 라이너를 퇴적시키는 단계, 및 제1 라이너 위에 제2 라이너를 퇴적시키는 단계를 포함한다. 제2 라이너는 개구 내로 연장된다. 방법은, 관통 비아를 형성하기 위해 개구 내에 도전성 물질을 충전시키는 단계, 및 반도체 기판의 양면에 도전성 피처를 형성하는 단계를 더 포함한다. 도전성 피처는 관통 비아를 통해 전기적으로 상호연결된다.The method includes forming a plurality of dielectric layers over a semiconductor substrate, etching the plurality of dielectric layers and the semiconductor substrate to form an opening, depositing a first liner extending into the opening, and a second liner over the first liner. It includes the step of depositing. A second liner extends into the opening. The method further includes filling a conductive material into the opening to form a through via, and forming conductive features on both sides of the semiconductor substrate. The conductive features are electrically interconnected through through vias.

Figure R1020210025616
Figure R1020210025616

Description

TSV 구조물 및 그를 형성하는 방법{TSV STRUCTURE AND METHOD FORMING SAME}TSV structure and method of forming the same {TSV STRUCTURE AND METHOD FORMING SAME}

[우선권 청구 및 상호-참조][Priority claim and cross-reference]

본 출원은, 2020년 9월 22일에 출원되었고 발명의 명칭이 "Novel TSV Structure"인 미국 가출원 제63/081,502호의 이익을 청구하며, 이 미국 가출원은 본 명세서에 참조로서 통합된다.This application claims the benefit of US Provisional Application No. 63/081,502, filed on September 22, 2020, entitled "Novel TSV Structure", which is incorporated herein by reference.

실리콘 관통 비아(TSV, Through-Silicon Via)는, 디바이스 다이의 양면에 있는 도전성 피처가 상호연결될 수 있도록, 디바이스 다이 내의 전기적 경로로서 사용된다. TSV의 형성 공정은, 개구를 형성하기 위해 반도체 기판을 에칭하는 단계, TSV를 형성하기 위해 도전성 물질로 개구를 충전시키는 단계, 후면으로부터 반도체 기판의 부분을 제거하기 위해 후면 그라인딩 공정을 수행하는 단계, 및 TSV에 연결되도록 반도체 기판의 후면 상에 전기 커넥터를 형성하는 단계를 포함한다.Through-Silicon Vias (TSVs) are used as electrical pathways within the device die so that conductive features on both sides of the device die can be interconnected. The process for forming a TSV includes etching the semiconductor substrate to form an opening, filling the opening with a conductive material to form a TSV, performing a backside grinding process to remove a portion of the semiconductor substrate from the backside, and forming electrical connectors on the backside of the semiconductor substrate to connect to the TSVs.

본 개시의 양상은 다음의 상세한 설명을 첨부 도면과 함께 읽음으로써 가장 잘 이해된다. 업계의 표준 관행에 따라서, 다양한 피처가 비례에 맞게 도시지 않았다는 점에 유의해야 한다. 실제로, 논의의 명료함을 위해, 다양한 피처의 치수가 임의적으로 증가 또는 감소될 수 있다.
도 1, 도 2, 도 3a, 도 3b, 도 3c, 도 3d, 도 3e, 도 3f, 도 3g, 도 4 내지 도 13, 도 14a, 도 14b, 도 14c, 도 14d, 도 14e, 도 14f, 및 도 14g는, 일부 실시예에 따른 관통 비아를 포함하는 다이의 형성 시의 중간 단계의 단면도를 도시한다.
도 15는 일부 실시예에 따른 관통 비아의 평면도를 도시한다.
도 16은, 일부 실시예에 따른 점진적으로 감소되는 하단 부분을 갖는 유전체 라이너를 도시한다.
도 17 내지 도 19는, 일부 실시예에 따른 관통 비아를 포함하는 다이의 패키징 시의 중간 단계의 단면도를 도시한다.
도 20은, 일부 실시예에 따른 다중 라이너 관통 비아를 포함하는 다이를 형성하기 위한 공정 흐름을 도시한다.
Aspects of the present disclosure are best understood by reading the following detailed description taken in conjunction with the accompanying drawings. It should be noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. Indeed, for clarity of discussion, the dimensions of various features may be arbitrarily increased or decreased.
1, 2, 3a, 3b, 3c, 3d, 3e, 3f, 3g, 4 to 13, 14a, 14b, 14c, 14d, 14e, 14f , and FIG. 14G shows a cross-sectional view of an intermediate stage in the formation of a die including through vias in accordance with some embodiments.
15 shows a top view of a through via in accordance with some embodiments.
16 shows a dielectric liner with a progressively decreasing lower portion in accordance with some embodiments.
17-19 show cross-sectional views of intermediate stages in packaging a die including through vias in accordance with some embodiments.
20 shows a process flow for forming a die including multiple through-liner vias in accordance with some embodiments.

다음의 개시는, 본 발명의 상이한 특징을 구현하기 위한 여러 상이한 실시예 또는 예를 제공한다. 본 개시를 단순화하기 위하여, 아래에는 컴포넌트 및 배열의 특정한 예가 설명되어 있다. 이들은 물론 단지 예일 뿐이며, 제한하도록 의도되지 않는다. 예컨대, 다음 설명에서의 제2 피처 위에서의 또는 제2 피처 상에서의 제1 피처의 형성은, 제1 피처와 제2 피처가 직접 접촉하는 상태로 형성되는 실시예를 포함할 수 있으며, 제1 피처와 제2 피처가 직접 접촉하지 않을 수 있도록 제1 피처와 제2 피처 사이에 추가적인 피처가 형성될 수 있는 실시예도 포함할 수 있다. 또한, 본 개시는 참조 번호 및/또는 문자를 다양한 예에서 반복할 수 있다. 이러한 반복은 간략화 및 명료화를 목적으로 한 것이며, 그러한 반복 자체는 논의되는 다양한 실시예 및/또는 구성 간의 관계에 영향을 주는 것은 아니다.The following disclosure provides several different embodiments or examples for implementing different features of the present invention. To simplify the present disclosure, specific examples of components and arrangements are described below. These are of course only examples and are not intended to be limiting. For example, formation of a first feature on or on a second feature in the following description may include an embodiment in which the first feature and the second feature are formed in direct contact, and the first feature An embodiment in which an additional feature may be formed between the first feature and the second feature may also be included so that the first feature and the second feature do not directly contact each other. In addition, the present disclosure may repeat reference numbers and/or letters in various examples. This repetition is for the purpose of simplicity and clarity, and such repetition itself does not in itself affect the relationship between the various embodiments and/or configurations discussed.

또한, 도면에 도시된 바와 같은 또 다른 요소나 피처에 대한 한 요소나 피처의 관계를 설명하기 위하여, "아래에 놓이는", "아래", "하부", "위에 놓이는", 및 "상부" 등과 같은 공간 상대적 용어가 설명의 용이성을 위해 본 명세서에서 사용될 수 있다. 공간 상대적 용어는, 도면에 도시된 배향에 더하여, 사용 중 또는 동작 중인 디바이스의 상이한 배향을 망라하도록 의도된다. 장치는 다르게 배향될 수도 있으며(90도 회전되거나 다른 배향으로 회전됨), 본 명세서에서 사용되는 공간 상대적 기술어는 마찬가지로 적절히 해석될 수 있다.Also, "underlying", "below", "lower", "overlying", and "above" etc. are used to describe the relationship of one element or feature to another element or feature as shown in the figures. The same spatially relative terminology may be used herein for ease of explanation. Spatially relative terms are intended to encompass different orientations of the device in use or in operation, in addition to the orientations shown in the figures. The device may be otherwise oriented (rotated 90 degrees or rotated to other orientations) and the spatially relative descriptors used herein may likewise be interpreted appropriately.

일부 실시예에 따라서 관통 비아를 위한 다중층 라인너를 포함하는 다이 및 그를 형성하는 방법이 제공된다. 다이는, 상이한 물질로 형성되며 상이한 높이를 가질 수 있는 복수의 라이너를 포함한다. 예컨대, 외측 라이너는 확산 배리어로서 작용하도록 밀도가 높은 물질로 형성될 수 있으며, 기생 커패시턴스를 감소시키도록 얇을 수 있다. 내측 라이너는 더 두꺼울 수 있으며, 외측 라이너보다 더 낮은 k 값을 가질 수 있다. 다중층 설계를 사용하면, 반도체 기판과 같은 다른 피처와 관통 비아 사이의 기생 커패시턴스가 불리하게 증가하지 않으면서, 관통 비아의 라이너는 확산을 방지하기 위한 개선된 능력을 가질 수 있다. 일부 실시예에 따라서 다이의 형성 시의 중간 단계가 예시된다. 일부 실시예의 몇몇 변형예가 논의된다. 다양한 도면 및 예시적 실시예에 걸쳐, 유사한 요소를 표시하기 위해 유사한 참조 번호가 사용된다.According to some embodiments, a die including a multilayer liner for a through via and a method of forming the same are provided. The die includes a plurality of liners formed of different materials and which may have different heights. For example, the outer liner can be formed of a dense material to act as a diffusion barrier, and can be thin to reduce parasitic capacitance. The inner liner may be thicker and may have a lower k value than the outer liner. Using a multilayer design, the liner of a through-via may have an improved ability to prevent diffusion without detrimentally increasing the parasitic capacitance between the through-via and other features, such as a semiconductor substrate. An intermediate step in the formation of a die is illustrated according to some embodiments. Several variations of some embodiments are discussed. Like reference numbers are used throughout the various drawings and illustrative embodiments to indicate like elements.

도 1, 도 2, 도 3a, 도 3b, 도 3c, 도 3d, 도 3e, 도 3f, 도 3g, 도 4 내지 도 13, 도 14a, 도 14b, 도 14c, 도 14d, 도 14e, 도 14f, 및 도 14g는, 본 개시의 일부 실시예에 따른 관통 비아를 포함하는 다이의 형성 시의 중간 단계의 단면도를 도시한다. 대응하는 공정은, 도 20에 도시된 공정 흐름(200)에도 개략적으로 반영되어 있다.1, 2, 3a, 3b, 3c, 3d, 3e, 3f, 3g, 4 to 13, 14a, 14b, 14c, 14d, 14e, 14f , and FIG. 14G shows a cross-sectional view of an intermediate stage in the formation of a die including through vias in accordance with some embodiments of the present disclosure. The corresponding process is also schematically reflected in the process flow 200 shown in FIG. 20 .

도 1은 웨이퍼(20)의 단면도를 도시한다. 본 개시의 일부 실시예에 따라서, 웨이퍼(20)는, 능동 디바이스 및 가능하게는 수동 디바이스를 포함하는 디바이스 웨이퍼이거나 그러한 디바이스 웨이퍼를 포함할 수 있으며, 이는 집적 회로 디바이스(26)로서 표시되어 있다. 웨이퍼(20)는 웨이퍼 내에 복수의 칩/다이(22)를 포함할 수 있으며, 칩(22) 중 하나가 도시되어 있다. 본 개시의 대안적인 실시예에 따라서, 웨이퍼(20)는, 능동 디바이스가 없는 인터포저 웨이퍼이며, 수동 디바이스를 포함할 수 있거나 그렇지 않을 수 있다.1 shows a cross-sectional view of a wafer 20 . In accordance with some embodiments of the present disclosure, wafer 20 is or may include a device wafer that includes active devices and possibly passive devices, which is denoted as integrated circuit device 26 . Wafer 20 may include a plurality of chips/dies 22 within the wafer, one of chips 22 being shown. According to an alternative embodiment of the present disclosure, wafer 20 is an interposer wafer without active devices and may or may not include passive devices.

본 개시의 일부 실시예에 따라서, 웨이퍼(20)는 반도체 기판(24), 및 반도체 기판(24)의 상단 표면에 형성된 피처를 포함한다. 반도체 기판(24)은 결정질 실리콘, 결정질 게르마늄, 실리콘 게르마늄, 탄소 도핑된 실리콘, 또는 GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, GaInAsP 등과 같은 III-V족 화합물 반도체로 형성되거나 이를 포함할 수 있다. 반도체 기판(24) 내의 활성 영역을 격리하기 위하여 반도체 기판(24) 내에 얕은 트렌치 격리(STI, Shallow Trench Isolation) 영역(도시되지 않음)이 형성될 수 있다.In accordance with some embodiments of the present disclosure, wafer 20 includes a semiconductor substrate 24 and features formed on a top surface of semiconductor substrate 24 . The semiconductor substrate 24 may be formed of or include a group III-V compound semiconductor such as crystalline silicon, crystalline germanium, silicon germanium, carbon-doped silicon, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, GaInAsP, or the like. A shallow trench isolation (STI) region (not shown) may be formed in the semiconductor substrate 24 to isolate an active region in the semiconductor substrate 24 .

본 개시의 일부 실시예에 따라서, 웨이퍼(20)는, 반도체 기판(24)의 상단 표면 상에 형성된 집적 회로 디바이스(26)를 포함한다. 일부 실시예에 따라서 집적 회로 디바이스(26)는 CMOS(Complementary Metal-Oxide Semiconductor) 트랜지스터, 저항기, 커패시터, 및 다이오드 등을 포함할 수 있다. 집적 회로 디바이스(26)의 세부사항은 본 명세서에서 예시되지 않는다. 대안적인 실시예에 따라서, 웨이퍼(20)는 (능동 디방이스가 없는) 인터포저를 형성하기 위해 사용되고, 기판(24)은 반도체 기판 또는 유전체 기판일 수 있다.In accordance with some embodiments of the present disclosure, wafer 20 includes an integrated circuit device 26 formed on a top surface of a semiconductor substrate 24 . Integrated circuit device 26 may include complementary metal-oxide semiconductor (CMOS) transistors, resistors, capacitors, diodes, and the like, according to some embodiments. Details of the integrated circuit device 26 are not illustrated herein. According to an alternative embodiment, wafer 20 is used to form an interposer (without active device) and substrate 24 may be a semiconductor substrate or a dielectric substrate.

층간 유전체(ILD)(28)는 반도체 기판(24) 위에 형성되고, 집적 회로 디바이스(26) 내의 트랜지스터(도시되지 않음)의 게이트 스택 사이의 공간을 충전시킨다. 일부 실시예에 따라서, ILD(28)는 실리콘 산화물, 인규산염 유리(PSG, Phospho Silicate Glass), 붕소 규산염 유리(BSG, Boro Silicate Glass), 붕소 도핑된 인규산염 유리(BPSG, Boron-doped Phospho Silicate Glass), 또는 불소 도핑된 규산염 유리(FSG, Fluorine-doped Silicate Glass) 등으로 형성된다. ILD(28)는 스핀 코팅 또는 유동가능 화학적 기상 증착(FCVD, Flowable Chemical Vapor Deposition) 등을 사용하여 형성될 수 있다. 본 개시의 일부 실시예에 따라서, ILD(28)는 또한 플라즈마 강화 화학적 기상 증착(PECVD, Plasma Enhanced Chemical Vapor Deposition) 또는 저압 화학적 기상 증착(LPCVD, Low Pressure Chemical Vapor Deposition) 등과 같은 퇴적 방법을 사용하여 형성될 수 있다.An interlayer dielectric (ILD) 28 is formed over the semiconductor substrate 24 and fills the space between the gate stacks of transistors (not shown) in the integrated circuit device 26 . According to some embodiments, the ILD 28 is silicon oxide, Phospho Silicate Glass (PSG), Boro Silicate Glass (BSG), Boron-doped Phospho Silicate Glass (BPSG). Glass) or fluorine-doped silicate glass (FSG, Fluorine-doped Silicate Glass). The ILD 28 may be formed using spin coating or flowable chemical vapor deposition (FCVD) or the like. According to some embodiments of the present disclosure, ILD 28 may also be formed using a deposition method such as Plasma Enhanced Chemical Vapor Deposition (PECVD) or Low Pressure Chemical Vapor Deposition (LPCVD). can be formed

콘택트 플러그(30)가 ILD(28) 내에 형성되고, 집적 회로 디바이스(26)를 그 위에 놓이는 금속 라인 및 비아에 전기적으로 연결시키기 위해 사용된다. 본 개시의 일부 실시예에 따라서, 콘택트 플러그(30)는 텅스텐, 알루미늄, 구리, 티타늄, 탄탈룸, 티타늄 질화물, 탄탈룸, 질화물, 이들의 합금, 및/또는 이들의 다중층으로부터 선택되는 도전성 물질로 형성되거나 이를 포함한다. 콘택트 플러그(30)의 형성은, ILD(28) 내에 콘택트 개구를 형성하는 단계, 콘택트 개구 내에 도전성 물질을 충전시키는 단계, 및 콘택트 플러그(30)의 상단 표면이 ILD(28)의 상단 표면과 수평을 이루도록 (화학적 기계적 연마(CMP, Chemical Mechanical Polish) 공정 또는 기계적 그라인딩 공정과 같은) 평탄화 공정을 수행하는 단계를 포함할 수 있다.A contact plug 30 is formed in the ILD 28 and is used to electrically connect the integrated circuit device 26 to the metal lines and vias overlying it. According to some embodiments of the present disclosure, contact plug 30 is formed of a conductive material selected from tungsten, aluminum, copper, titanium, tantalum, titanium nitride, tantalum, nitride, alloys thereof, and/or multiple layers thereof. is or contains Formation of the contact plug 30 involves forming a contact opening in the ILD 28, filling the contact opening with a conductive material, and making the top surface of the contact plug 30 flush with the top surface of the ILD 28. It may include performing a planarization process (such as a chemical mechanical polish (CMP) process or a mechanical grinding process) to achieve

ILD(28) 및 콘택트 플러그(30) 위에는 상호연결 구조물(32)이 있다. 상호연결 구조물(32)은, 유전체층(38)(금속간 유전체(IMD, Inter-metal Dielectric)로서도 지칭됨) 및 에칭 정지층(37) 내에 형성되는 금속 라인(34) 및 비아(36)를 포함한다. 동일한 레벨에 있는 금속 라인은 이후 금속층으로서 총칭된다. 본 개시의 일부 실시예에 따라서, 상호연결 구조물(32)은, 비아(36)를 통해 상호연결된 금속 라인(34)을 포함하는 복수의 금속층을 포함한다. 금속 라인(34) 및 비아(36)는 구리 또는 구리 합금으로 형성될 수 있으며, 다른 금속으로 형성될 수도 있다. 본 개시의 일부 실시예에 따라서, 유전체층(38)은 로우-k 유전체 물질로 형성된다. 로우-k 유전체 물질의 유전 상수(k 값)는, 예컨대, 약 3.0보다 더 낮을 수 있다. 유전체층(38)은 탄소 함유 로우-k 유전체 물질, 수소 실세스퀴옥산(HSQ, Hydrogen SilsesQuioxane), 또는 메틸 실세스퀴옥산(MSQ, MethylSilsesQuioxane) 등을 포함할 수 있다. 본 개시의 일부 실시예에 따라서, 유전체층(38)의 형성은, 유전체층(38) 내에 포로겐(porogen) 함유 유전체 물질을 퇴적시킨 후, 경화 공정을 수행하여 포로겐을 축출하는 단계를 포함하며, 따라서 잔존 유전체층(38)은 다공성이다. 에칭 정지층(37)은 실리콘 질화물, 실리콘 탄화물, 실리콘 산화탄화물, 또는 실리콘 산화질화물 등으로 형성되거나 이를 포함할 수 있다.Over the ILD 28 and contact plug 30 is an interconnection structure 32 . Interconnect structure 32 includes metal lines 34 and vias 36 formed in dielectric layer 38 (also referred to as Inter-metal Dielectric (IMD)) and etch stop layer 37. do. Metal lines at the same level are hereinafter collectively referred to as metal layers. In accordance with some embodiments of the present disclosure, interconnect structure 32 includes a plurality of metal layers including metal lines 34 interconnected through vias 36 . The metal lines 34 and vias 36 may be formed of copper or a copper alloy, or may be formed of other metals. In accordance with some embodiments of the present disclosure, dielectric layer 38 is formed of a low-k dielectric material. The dielectric constant (k value) of the low-k dielectric material may be lower than about 3.0, for example. Dielectric layer 38 may include a carbon-containing low-k dielectric material, Hydrogen SilsesQuioxane (HSQ), MethylSilsesQuioxane (MSQ), or the like. In accordance with some embodiments of the present disclosure, forming dielectric layer 38 includes depositing a porogen-containing dielectric material in dielectric layer 38 and then performing a curing process to expel the porogen; Thus, the remaining dielectric layer 38 is porous. The etch stop layer 37 may be formed of or include silicon nitride, silicon carbide, silicon oxycarbide, or silicon oxynitride.

유전체층(38) 내의 금속 라인(34) 및 비아(36)의 형성은, 단일 다마신(damascene) 공정 및/또는 이중 다마신 공정을 포함할 수 있다. 금속 라인 또는 비아를 형성하기 위한 단일 다마신 공정에서, 유전체층(38) 중 하나 내에 트렌치 또는 비아 개구가 먼저 형성되고, 뒤이어서 트렌치 또는 비아 개구를 도전성 물질로 충전시킨다. 이후, 유전체층의 상단 표면보다 더 높은 도전성 물질의 과잉 부분을 제거하기 위해 CMP 공정과 같은 평탄화 공정이 수행되어, 대응하는 트렌치 또는 비아 개구 내에 금속 라인 또는 비아를 남긴다. 이중 다마신 공정에서, 유전체층 내에 트렌치와 비아 개구 둘 다가 형성되고, 비아 개구는 트렌치 아래에 놓이고 트렌치에 연결된다. 이후, 금속 라인 및 비아를 각각 형성하기 위해 트렌치 및 비아 개구 내에 도전성 물질이 충전된다. 도전성 물질은 확산 배리어층, 및 확산 배리어층 위의 구리 함유 금속 물질을 포함할 수 있다. 확산 배리어층은 티타늄, 티타늄 질화물, 탄탈룸, 또는 탄탈룸 질화물 등을 포함할 수 있다.The formation of metal lines 34 and vias 36 in dielectric layer 38 may include a single damascene process and/or a dual damascene process. In a single damascene process for forming a metal line or via, a trench or via opening is first formed in one of the dielectric layers 38, followed by filling the trench or via opening with a conductive material. A planarization process, such as a CMP process, is then performed to remove excess portions of the conductive material higher than the top surface of the dielectric layer, leaving metal lines or vias in the corresponding trench or via openings. In a dual damascene process, both trenches and via openings are formed in the dielectric layer, and the via openings lie beneath and connect to the trenches. A conductive material is then filled into the trench and via openings to form metal lines and vias, respectively. The conductive material may include a diffusion barrier layer and a copper-containing metal material over the diffusion barrier layer. The diffusion barrier layer may include titanium, titanium nitride, tantalum, tantalum nitride, or the like.

금속 라인(34)은, 유전체층(38)의 상단층인 상단 유전체층(유전체층(38A)으로서 표시됨) 내의 금속 라인, 금속 패드, 또는 비아(34A로서 표시됨)와 같은 상단 도전성 (금속) 피처를 포함한다. 일부 실시예에 따라서, 유전체층(38A)은, 유전체층(38)의 하부 층의 물질과 유사한 로우-k 유전체 물질로 형성된다. 상단 유전체층(38A) 내의 금속 라인(34)은 또한, 구리 또는 구리 합금으로 형성될 수 있으며, 이중 다마신 구조물 또는 단일 다마신 구조물을 포함할 수 있다.Metal line 34 includes a top conductive (metal) feature, such as a metal line, metal pad, or via 34A in a top dielectric layer that is the top layer of dielectric layer 38 (indicated as dielectric layer 38A). . In accordance with some embodiments, dielectric layer 38A is formed of a low-k dielectric material similar to the material of the underlying layer of dielectric layer 38 . Metal line 34 in top dielectric layer 38A may also be formed of copper or a copper alloy, and may include a dual damascene structure or a single damascene structure.

일부 실시예에 따라서, 에칭 정지층(40)은 상단 유전체층(38A) 및 상단 금속층 상에 퇴적된다. 에칭 정지층(40)은 실리콘 질화물, 실리콘 탄화물, 실리콘 산화탄화물, 또는 실리콘 산화질화물 등으로 형성되거나 이를 포함할 수 있다.In accordance with some embodiments, etch stop layer 40 is deposited over top dielectric layer 38A and top metal layer. The etch stop layer 40 may be formed of or include silicon nitride, silicon carbide, silicon oxycarbide, or silicon oxynitride.

에칭 정지층(40) 위에 패시베이션층(42)(때때로 passivation-1 또는 pass-1로서 지칭됨)이 형성된다. 일부 실시예에 따라서, 패시베이션층(42)은, 대략적으로 실리콘 산화물의 유전 상수와 같거나 그보다 더 큰 유전 상수를 갖는 비-로우-k 유전체 물질로 형성된다. 패시베이션층(42)은, 무도핑 규산염 유리(USG, Undoped Silicate Glass), 실리콘 질화물(SiN), 실리콘 산화물(SiO2), 실리콘 산화질화물(SiON), 실리콘 산화탄화물(SiOC), 또는 실리콘 탄화물(SiC) 등, 이들의 조합, 및/또는 이들의 다중층으로부터 선택되는 물질을 포함할 수 있으며 이에 제한되지 않는 비유기 유전체 물질로 형성되거나 그러한 비유기 유전체 물질을 포함할 수 있다. 일부 실시예에 따라서, 상단 유전체층(38A)과 금속 라인(34)의 상단 표면은 서로 수평을 이룬다. 따라서, 패시베이션층(42)은 평면형 층일 수 있다.A passivation layer 42 (sometimes referred to as passivation-1 or pass-1) is formed over the etch stop layer 40 . According to some embodiments, passivation layer 42 is formed of a non-low-k dielectric material having a dielectric constant approximately equal to or greater than that of silicon oxide. The passivation layer 42 may include undoped silicate glass (USG), silicon nitride (SiN), silicon oxide (SiO 2 ), silicon oxynitride (SiON), silicon oxide carbide (SiOC), or silicon carbide ( SiC), etc., combinations thereof, and/or multiple layers thereof, and may be formed of or include an inorganic dielectric material. According to some embodiments, top surfaces of top dielectric layer 38A and metal line 34 are flush with each other. Thus, the passivation layer 42 may be a planar layer.

일부 실시예에 따라서, 패시베이션층(42) 위에 유전체층(44)이 퇴적된다. 도 20에 도시된 공정 흐름(200)에서 각 공정은 공정(202)으로서 도시되어 있다. 유전체층(44)은, 패시베이션층(42)의 물질과는 상이한 물질로 형성되거나 그러한 물질을 포함하며, SiC, SiN, SiON, 또는 SiOC 등으로 형성되거나 그러한 물질을 포함할 수 있다.Dielectric layer 44 is deposited over passivation layer 42 in accordance with some embodiments. Each process in process flow 200 shown in FIG. 20 is shown as process 202 . The dielectric layer 44 is formed of or includes a material different from the material of the passivation layer 42 and may be formed of or include a material such as SiC, SiN, SiON, or SiOC.

도 2를 참조하면, 에칭 마스크(46)가 형성된 후 패터닝된다. 일부 실시예에 따라서, 에칭 마스크(46)는 포토레지스트를 포함하며, TiN 또는 BN 등으로 형성된 하드 마스크를 포함할 수 있거나 그렇지 않을 수 있다. 이후, 유전체층(44)을 비롯한 유전체층, 패시베이션층(42), 에칭 정지층(40), IMD(38), 에칭 정지층(37), ILD(28) 등을 관통하는 개구를 형성하기 위해 이방성 에칭 공정이 수행된다. 개구(48)가 기판(24)의 중간 레벨까지 연장되도록 반도체 기판(24)은 더 에칭되며, 중간 레벨은 반도체 기판(24)의 상단 표면(24A)과 하단 표면 사이에 있다. 이와 같이 개구(48)가 형성된다. 도 20에 도시된 공정 흐름(200)에서 각 공정은 공정(204)으로서 도시되어 있다. 개구(48)는 반도체 관통 비아(TSV, Through-Semiconductor Via)(때때로 실리콘 관통 비아(Through-Silicon Via)로서도 지칭됨)를 형성하기 위해 사용되며, 따라서 이후 TSV 개구(48)로서 지칭된다. 이방성 에칭 공정은, 상이한 물질로 형성되는 유전체층을 에칭하기 위해 그리고 반도체 기판(24)을 에칭하기 위해 상이한 에칭 가스를 채용하는 복수의 에칭 공정을 포함한다.Referring to FIG. 2 , an etching mask 46 is formed and then patterned. In accordance with some embodiments, etch mask 46 includes photoresist and may or may not include a hard mask formed of TiN or BN or the like. Thereafter, anisotropic etching to form openings through dielectric layers including dielectric layer 44, passivation layer 42, etch stop layer 40, IMD 38, etch stop layer 37, ILD 28, etc. process is carried out. The semiconductor substrate 24 is further etched such that the opening 48 extends to an intermediate level of the substrate 24, which intermediate level is between the top surface 24A and the bottom surface of the semiconductor substrate 24. The opening 48 is thus formed. Each process in process flow 200 shown in FIG. 20 is shown as process 204 . Opening 48 is used to form a Through-Semiconductor Via (TSV) (sometimes also referred to as a Through-Silicon Via) and is therefore referred to hereinafter as TSV opening 48 . The anisotropic etching process includes a plurality of etching processes employing different etching gases for etching the semiconductor substrate 24 and for etching the dielectric layer formed of different materials.

일부 실시예에 따라서, TSV 개구(48)는 상단 폭(W1), 및 상단 폭(W1)보다 더 작은 하단 폭(W2)을 갖는다. TSV 개구(48)는, 기울어지고 직선인 에지(48E)를 가질 수 있으며, 직선 에지(48E)의 기울기 각도(α)는 90도보다 더 작고, 예컨대, 약 80도와 약 90도 사이의 범위 내에 있다. 일부 실시예에 따라서 개구(48)의 종횡비(H1/W1)는 약 2와 약 10 사이의 범위 내에 있을 수 있다. TSV 개구(48)의 형성 후에, 예컨대, 애싱 공정을 통해, 에칭 마스크(46)가 제거된다.According to some embodiments, the TSV opening 48 has a top width W1 and a bottom width W2 smaller than the top width W1. The TSV opening 48 may have a slanted straight edge 48E, and the slant angle α of the straight edge 48E is less than 90 degrees, such as within a range between about 80 degrees and about 90 degrees. there is. According to some embodiments, the aspect ratio H1/W1 of opening 48 may be in a range between about 2 and about 10. After formation of the TSV openings 48, the etching mask 46 is removed, for example, through an ashing process.

도 3a를 참조하면, 제1 라이너(50)가 퇴적된다. 도 20에 도시된 공정 흐름(200)에서 각 공정은 공정(206)으로서 도시되어 있다. 라이너(50)는 TSV 개구(48) 외부의 수평 부분, 및 TSV 개구(48) 내로 연장되는 수직 부분을 포함한다. 일부 실시예에 따라서, 라이너(50)는 실리콘 질화물, 실리콘 탄화물, 실리콘 산화질화물, 또는 실리콘 산화탄화물 등, 또는 이들의 조합과 같은 유전체 물질로 형성되거나 그러한 유전체 물질을 포함한다. 대안적인 실시예에 따라서, 라이너(50)는 Ti, TiN, Ta, 또는 TaN 등, 또는 이들의 조합과 같은 도전성 물질로 형성되거나 그러한 도전성 물질을 포함한다. 높은 k 값을 가질 수 있는 라이너(50)가 기생 커패시터의 기생 커패시턴스의 불리한 증가를 야기하지 않도록, 라이너(50)의 두께(T1)는 작다. 예컨대, 라이너(50)의 두께(T1)는 약 2Å과 약 500Å 사이의 범위 내에 있을 수 있으며, 두께(T1)는 수직 부분의 중간 높이에서 측정될 수 있다. 퇴적 방법은 플라즈마 강화 화학적 기상 증착(PECVD), 최종 원자층 퇴적(ALD, Atomic Layer Deposition), 또는 물리적 기상 증착(PVD, Physical Vapor Deposition) 등을 포함할 수 있다. 라이너(50)의 형성을 위한 전구체는 SiCl4, SiH2Cl2, Si2Cl6, 또는 Si3Cl8 등과 같은 실리콘 함유 전구체를 포함할 수 있고, 예컨대, SiN이 형성되어야 할 때는, NH3와 같은 질소 함유 전구체를 포함할 수 있다. 일부 실시예에 따라서, 라이너(50)는 확산을 방지하기 위한 양호한 능력을 가지며, 바람직하지 않은 물질이 라이너(50)를 관통하는 것을 방지할 수 있다.Referring to FIG. 3A, a first liner 50 is deposited. Each process in process flow 200 shown in FIG. 20 is shown as process 206 . The liner 50 includes a horizontal portion outside the TSV opening 48 and a vertical portion extending into the TSV opening 48 . According to some embodiments, liner 50 is formed of or includes a dielectric material such as silicon nitride, silicon carbide, silicon oxynitride, or silicon oxycarbide, or a combination thereof. According to an alternative embodiment, liner 50 is formed of or includes a conductive material such as Ti, TiN, Ta, or TaN, or a combination thereof. The thickness T1 of the liner 50 is small so that the liner 50, which may have a high k value, does not cause an adverse increase in the parasitic capacitance of the parasitic capacitor. For example, the thickness T1 of the liner 50 may be in the range of between about 2 Å and about 500 Å, and the thickness T1 may be measured at the mid-height of the vertical portion. The deposition method may include plasma enhanced chemical vapor deposition (PECVD), final atomic layer deposition (ALD), or physical vapor deposition (PVD). A precursor for forming the liner 50 may include a silicon-containing precursor such as SiCl 4 , SiH 2 Cl 2 , Si 2 Cl 6 , or Si 3 Cl 8 . For example, when SiN is to be formed, NH 3 Nitrogen-containing precursors such as According to some embodiments, the liner 50 has good ability to prevent diffusion and can prevent undesirable substances from penetrating the liner 50 .

일부 실시예에 따라서, TSV 개구(48)의 하단 부분의 측벽이 커버되지 않으면서, 라이너(50)가 컨포멀하지 않은(non-conformal) 층이고, 라이너(50)가 TSV 개구(48)의 상단 부분의 측벽을 커버하도록 라이너(50)의 퇴적의 공정 조건이 조정된다. 일부 실시예에 따라서, PECVD가 사용되며, 라이너(50)에 대해 바람직한 프로파일을 달성하도록 일부 공정 조건이 조정된다. 조정되는 공정 조건은 공정 가스의 압력, Si/N 가스 유량비 등을 포함할 수 있으며, Si/N 가스 유량비는 질소 함유 가스의 유량에 대한 실리콘 함유 가스의 유량의 비율이다. 예컨대, 공정 가스의 압력을 높이는 것은, (높이(H2)가 감소되도록) 라이너(50)가 TSV 개구(48)의 하단을 향해 덜 연장되도록 할 수 있으며, 압력을 감소시키는 것은, 라이너(50)가 TSV 개구(48)의 하단을 향해 더 연장되도록 할 수 있다. Si/N 가스 유량비를 증가시키는 것은, 라이너(50)가 TSV 개구(48)의 하단을 향해 덜 연장되도록 할 수 있으며, Si/N 가스 유량비를 감소시키는 것은, 라이너(50)가 TSV 개구(48)의 하단을 향해 더 연장되도록 할 수 있다. 압력과 Si/N 가스 유량비의 올바른 조합을 포함하는 올바른 공정 조건을 선택함으로써, 라이너(50)의 하단은 바람직한 높이에 위치될 수 있다. 예컨대, 도 3a에 도시된 바와 같이, 하단(50bot)은, 반도체 기판(24)의 상단 표면(24T)과 수평을 이루는(또는 실질적으로 수평을 이루는) 레벨에 있으며, 예컨대, 높이 차이는 약 100nm보다 더 작다.According to some embodiments, liner 50 is a non-conformal layer, and liner 50 is a layer of TSV opening 48, with sidewalls of bottom portions of TSV opening 48 uncovered. The process conditions of deposition of the liner 50 are adjusted to cover the sidewall of the top portion. According to some embodiments, PECVD is used and some process conditions are adjusted to achieve a desired profile for the liner 50 . The process conditions to be adjusted may include the pressure of the process gas, the Si/N gas flow rate, and the like, and the Si/N gas flow rate is a ratio of the flow rate of the silicon-containing gas to the flow rate of the nitrogen-containing gas. For example, increasing the pressure of the process gas may cause the liner 50 to extend less toward the bottom of the TSV opening 48 (so that the height H2 is reduced), and reducing the pressure may cause the liner 50 to may be further extended toward the lower end of the TSV opening 48. Increasing the Si/N gas flow ratio may cause the liner 50 to extend less toward the bottom of the TSV opening 48, and decreasing the Si/N gas flow ratio may cause the liner 50 to extend less toward the bottom of the TSV opening 48. ) may be further extended toward the bottom of the By choosing the right process conditions, including the right combination of pressure and Si/N gas flow ratio, the bottom of the liner 50 can be positioned at the desired height. For example, as shown in FIG. 3A, the lower end 50bot is at a level (or substantially level) with the upper surface 24T of the semiconductor substrate 24, and the height difference is, for example, about 100 nm. smaller than

도 3b는 대안적인 실시예에 따른 라이너(50)의 형성을 도시하며, 여기서 라이너(50)의 하단(50bot)은 반도체 기판(24)의 상단 표면(24T)보다 더 높다. 예컨대, 유전체층(38)의 상단층의 측벽은 라이너(50)에 의해 커버될 수 있으며, 유전체층(38)의 일부 하부층의 측벽은 라이너(50)에 의해 커버되지 않는다. 이들 실시예는, 유전체층(38)의 하단층이 유전체층(38)의 상단층보다 더 높은 k 값을 가질 때 적용될 수 있으며, 따라서 라이너(50)는, 더 낮은 k 값을 갖는(예컨대, 3.8보다 더 낮거나 약 3.5 또는 약 3.0보다 더 낮은 k 값을 갖는) 유전체층(38)의 측벽을 커버하도록 형성되고, 더 높은(예컨대, 약 3.5 또는 3.8보다 더 높은) k 값을 갖는 유전체층(38)의 측벽은 보호되지 않는다. 결과적인 TSV와 그를 둘러싸는 도전성 물질 또는 반도체 물질 사이에 기생 커패시터가 형성될 수 있다는 것이 이해되며, TSV와 반도체 기판(24) 사이의 기생 커패시턴스는 기생 커패시턴스의 주요 기여자이다. 따라서, (후속적으로 형성되는 라이너(52)(도 4)보다 더 높은 k 값을 갖는) 라이너(50)가 도 3a 및 도 3b에 도시된 바와 같이 반도체 기판(24) 내로 연장되지 않으면, 기생 커패시턴스가 감소될 수 있다.3B shows the formation of a liner 50 according to an alternative embodiment, wherein the bottom 50bot of the liner 50 is higher than the top surface 24T of the semiconductor substrate 24 . For example, sidewalls of upper layers of dielectric layer 38 may be covered by liner 50 and sidewalls of some lower layers of dielectric layer 38 are not covered by liner 50 . These embodiments may be applied when the bottom layer of dielectric layer 38 has a higher k value than the top layer of dielectric layer 38, so the liner 50 may have a lower k value (eg, less than 3.8). of a dielectric layer 38 having a higher k value (e.g., greater than about 3.5 or about 3.8) formed to cover sidewalls of the dielectric layer 38 (having a lower or lower k value of about 3.5 or less than about 3.0). The side walls are not protected. It is understood that a parasitic capacitor may form between the resulting TSV and the conductive or semiconductor material surrounding it, with the parasitic capacitance between the TSV and the semiconductor substrate 24 being a major contributor to the parasitic capacitance. Thus, if the liner 50 (which has a higher k value than the subsequently formed liner 52 (FIG. 4)) does not extend into the semiconductor substrate 24 as shown in FIGS. 3A and 3B, the parasitic Capacitance can be reduced.

도 3c는 또 다른 대안적인 실시예에 따른 라이너(50)의 형성을 도시하며, 라이너(50)의 하단(50bot)은 반도체 기판(24)의 상단 표면(24T)보다 더 낮고, TSV 개구(48)의 하단보다 더 높다. 도 3c에서의 라이너(50)의 형성은, 전술한 바와 같이 올바른 공정 조건을 선택함으로써 달성될 수 있다.3C illustrates the formation of a liner 50 according to another alternative embodiment, wherein the bottom 50bot of the liner 50 is lower than the top surface 24T of the semiconductor substrate 24 and the TSV opening 48 ) is higher than the lower end of Formation of the liner 50 in FIG. 3C can be accomplished by selecting the correct process conditions as described above.

도 3d는 또 다른 대안적인 실시예에 따른 라이너(50)의 형성을 도시하며, 라이너(50)는, TSV 개구(48)의 하단 표면을 비롯하여, TSV 개구(48)에 노출된 모든 표면을 커버한다. 일부 실시예에 따라서, 도 3d에서의 라이너(50)는 PECVD를 사용하여 형성될 수 있고, 전술한 바와 같이 올바른 공정 조건을 선택함으로써 달성될 수 있다. 대안적인 실시예에 따라서, 라이너(50)는, ALD 또는 CVD 등과 같은 컨포멀한(conformal) 퇴적 방법을 사용하여 형성될 수 있다. 결과적인 라이너(50)는 따라서 컨포멀할 수 있으며, 예컨대, 약 20퍼센트 또는 약 10퍼센트보다 더 작은 두께 변동을 갖는 수평 부분 및 수직 부분을 가질 수 있다.3D illustrates the formation of a liner 50 according to another alternative embodiment, wherein the liner 50 covers all surfaces exposed to the TSV aperture 48, including the bottom surface of the TSV aperture 48. do. According to some embodiments, the liner 50 in FIG. 3D can be formed using PECVD, which can be achieved by selecting the right process conditions as described above. According to an alternative embodiment, liner 50 may be formed using a conformal deposition method such as ALD or CVD. The resulting liner 50 may thus be conformal, and may have horizontal and vertical portions with thickness variations of less than about 20 percent or about 10 percent, for example.

도 3a, 도 3b, 도 3c, 및 도 3d에 도시된 바와 같은 라이너(50)는 단일층 유전체 라이너일 수 있거나 이중층 라이너와 같은 복합 라이너일 수 있다. 도 3a, 도 3b, 도 3c, 및 도 3d는, 유전체 (서브) 라이너(50A 및 50B)를 포함하는 예시 이중층 라이너(50)를 도시한다. 도 3a, 도 3b, 도 3c, 및 도 3d에서의 라이너(50)는 또한 단일층 라이너일 수 있다는 것이 이해된다. 따라서, 라이너(50A 및 50B)를 분리하는 라인은, 이들 라인이 존재할 수 있거나 존재하지 않을 수 있다는 것을 나타내기 위해 파선으로서 도시되어 있다. 일부 실시예에 따라서, 라이너(50A 및 50B)는 상이한 물질로 형성되거나, 상이한 조성을 갖는 동일한 물질로 형성된다. 예컨대, 유전체 라이너 둘 다는 실리콘 질화물 또는 실리콘 산화질화물로 형성될 수 있지만, 라이너(50A)의 질소 원자 백분율은 라이너(50B) 내의 질소 원자 백분율보다 더 높거나 더 낮을 수 있다. 라이너(50A 및 50B)는 분리된 공정에서 형성될 수 있으며, 동일한 공정 챔버 내에서 형성될 수 있거나(또는 그렇지 않을 수 있음), 그 사이에 진공 브레이크(vacuum break) 없이 인-시츄(in-situ) 형성될 수 있다(또는 그렇지 않을 수 있음). 따라서, 도 3a, 도 3b, 도 3c, 및 도 3d에는 상세히 도시되지 않았지만, 라이너(50A 및 50B)는, 일부 실시예에 따라서 도 3e, 도 3f, 및 도 3g에 도시된 바와 같이, 상이한 두께로 연장될 수 있다.The liner 50 as shown in FIGS. 3A, 3B, 3C, and 3D may be a single layer dielectric liner or may be a composite liner such as a dual layer liner. 3A, 3B, 3C, and 3D show an exemplary double-layer liner 50 that includes dielectric (sub) liners 50A and 50B. It is understood that the liner 50 in FIGS. 3A, 3B, 3C, and 3D may also be a single layer liner. Accordingly, the lines separating liners 50A and 50B are shown as broken lines to indicate that these lines may or may not be present. According to some embodiments, liners 50A and 50B are formed of different materials or the same material with different compositions. For example, both dielectric liners may be formed of silicon nitride or silicon oxynitride, but the atomic percentage of nitrogen in liner 50A may be higher or lower than the atomic percentage of nitrogen in liner 50B. Liners 50A and 50B may be formed in separate processes, may be formed within the same process chamber (or may not be), or may be formed in-situ without a vacuum break in between. ) may be formed (or may not be). Accordingly, although not shown in detail in FIGS. 3A, 3B, 3C, and 3D, liners 50A and 50B may have different thicknesses, as shown in FIGS. 3E, 3F, and 3G, in accordance with some embodiments. can be extended to

도 3e, 도 3f, 및 도 3g는 일부 실시예에 따라 도 3a, 도 3b, 도 3c, 및 도 3d에 도시된 바와 같은 이중층 라이너(50)의 일부 세부사항을 도시한다. 라이너(50A 및 50B)의 도시된 하단 레벨은 예시라는 것이 이해되며, 라이너(50A 및 50B) 각각의 하단은 임의의 조합으로 TSV 개구(48)의 상단과 하단 사이의 임의의 레벨에 있을 수 있다. 예컨대, 라이너(50A 및 50B) 각각의 하단은 도 3a, 도 3b, 도 3c, 및 도 3d에 도시된 임의의 레벨에 있을 수 있다. 도 3e는, 라이너(50B)가 라이너(50A)보다 더 깊이 TSV 개구(48) 내로 연장되는 실시예를 도시한다. 도 3f는, 라이너(50B)가 라이너(50A)와 동일한 깊이로 TSV 개구(48) 내로 연장되는 실시예를 도시한다. 도 3g는, 라이너(50B)가 TSV 개구(48) 내로 라이너(50A)보다 덜 연장되는 실시예를 도시한다.3E, 3F, and 3G show some details of a double layer liner 50 as shown in FIGS. 3A, 3B, 3C, and 3D according to some embodiments. It is understood that the illustrated bottom level of liners 50A and 50B is exemplary, and the bottom of each of liners 50A and 50B may be at any level between the top and bottom of TSV opening 48 in any combination. . For example, the bottom of each of liners 50A and 50B may be at any level shown in FIGS. 3A, 3B, 3C, and 3D. 3E shows an embodiment in which liner 50B extends deeper into TSV opening 48 than liner 50A. 3F shows an embodiment in which liner 50B extends into TSV opening 48 to the same depth as liner 50A. 3G shows an embodiment in which liner 50B extends less into TSV opening 48 than liner 50A.

도 3a 내지 도 3g에 도시된 바와 같은 실시예에서, 라이너(50)(및 서브층(50A 및 50B))는 상이한 깊이로 퇴적되므로, 공정 변동은, 라이너(50)의 상이한 부분이 동일한 깊이 또는 상이한 깊이로 연장되게 할 수 있다. 예컨대, 도 3a 내지 도 3g 각각에서, 개구(48)의 좌측의 라이너(50)의 부분은, 개구(48)의 우측의 라이너(50)의 부분과 동일한 깊이로, 또는 그보다 더 크거나 더 작은 깊이로 연장될 수 있다. 또한, 라이너(50)의 하단 단부 부분은, (균일한 두께이기보다는) 점진적으로 감소되는 두께를 가질 수 있다. 예컨대, 도 16은, 점진적으로 감소되는 두께를 갖는 라이너(50)의 하단 부분을 도시한다. 또한, 도 16은, 유전체 라이너(50)의 상이한 부분이 TSV 개구(48)의 상이한 깊이로 연장될 수 있다는 것을 도시한다. 일부 실시예에 따라서 깊이 차이(ΔH)는 약 100nm보다 더 클 수 있다.In embodiments such as those shown in FIGS. 3A-3G , since the liner 50 (and sublayers 50A and 50B) are deposited to different depths, process variations do not allow different portions of the liner 50 to be of the same depth or depth. It can be extended to different depths. For example, in each of FIGS. 3A-3G , the portion of the liner 50 to the left of the opening 48 is at the same depth as, greater or smaller than, the portion of the liner 50 to the right of the opening 48. depth can be extended. Also, the lower end portion of the liner 50 may have a progressively reduced thickness (rather than a uniform thickness). For example, FIG. 16 shows a bottom portion of a liner 50 having a progressively reduced thickness. 16 also shows that different portions of dielectric liner 50 can extend to different depths of TSV opening 48 . The depth difference ΔH may be greater than about 100 nm according to some embodiments.

도 4를 참조하면, 제1 라이너(50) 상에 제2 라이너(52)가 퇴적된다. 도 20에 도시된 공정 흐름(200)에서 각 공정은 공정(208)으로서 도시되어 있다. 일부 실시예에 따라서, 유전체 라이너(52)는 라이너(50)의 물질과는 상이한 물질로 형성된다. 예컨대, 유전체 라이너(52)는, 실리콘 산화물 또는 실리콘 산화질화물 등과 같은 유전체 물질로 형성되거나 그러한 유전체 물질을 포함할 수 있다. 따라서, 라이너(52)는 대안적으로 유전체 라이너(52)로서 지칭된다. 유전체 라이너(52)의 수평 부분과 수직 부분이 서로에 가까운 두께를 갖도록, 예컨대, 약 20퍼센트 또는 약 10퍼센트보다 더 작은 변동을 갖도록, 유전체 라이너(52)는 컨포멀한 층으로서 퇴적된다. 퇴적 방법은 원자층 퇴적(ALD) 또는 화학적 기상 증착(CVD, Chemical Vapor Deposition) 등을 포함할 수 있다. 유전체 라이너(52)의 두께(T2)는 약 500Å과 약 2,500Å 사이의 범위 내에 있을 수 있다. 라이너(50 및 52)는 또한 다중층 라이너로서 총칭된다. 일부 실시예에 따라서 비율(T1:T2)은 약 0.001:1과 약 0.5:1 사이의 범위 내에 있을 수 있다.Referring to FIG. 4 , a second liner 52 is deposited on the first liner 50 . Each process in process flow 200 shown in FIG. 20 is shown as process 208 . According to some embodiments, dielectric liner 52 is formed of a material different from that of liner 50 . For example, dielectric liner 52 may be formed of or include a dielectric material such as silicon oxide or silicon oxynitride. Accordingly, liner 52 is alternatively referred to as dielectric liner 52 . Dielectric liner 52 is deposited as a conformal layer such that the horizontal and vertical portions of dielectric liner 52 have thicknesses that are close to each other, eg, with variations of less than about 20 percent or about 10 percent. The deposition method may include atomic layer deposition (ALD) or chemical vapor deposition (CVD). The thickness T2 of the dielectric liner 52 may be in a range between about 500 angstroms and about 2,500 angstroms. Liners 50 and 52 are also collectively referred to as multilayer liners. According to some embodiments, the ratio T1:T2 may be in a range between about 0.001:1 and about 0.5:1.

라이너(50 및 52)는 상이한 밀도를 가질 수 있다. 일부 실시예에 따라서, 유전체 라이너(50)는 라이너(52)보다 밀도가 더 높다. 예컨대, 라이너(50)는 약 3g/cm3와 약 10g/cm3 사이의 범위 내의 밀도(DS50)를 가질 수 있다. 유전체 라이너(52)는 약 2.5g/cm3와 약 4g/cm3 사이의 범위 내의 밀도(DS52)를 가질 수 있다. 밀도 차이(DS52 - DS50)는 약 0.5g/cm3보다 더 클 수 있고, 약 0.5g/cm3와 약 7g/cm3 사이의 범위 내에 있을 수 있다.Liners 50 and 52 may have different densities. According to some embodiments, dielectric liner 50 has a higher density than liner 52 . For example, the liner 50 may have a density DS50 within a range between about 3 g/cm 3 and about 10 g/cm 3 . The dielectric liner 52 may have a density DS52 in a range of between about 2.5 g/cm 3 and about 4 g/cm 3 . The density difference (DS52 - DS50) may be greater than about 0.5 g/cm 3 and may be in a range between about 0.5 g/cm 3 and about 7 g/cm 3 .

도 5는 금속 시드층(54)의 퇴적을 도시한다. 도 20에 도시된 공정 흐름(200)에서 각 공정은 공정(210)으로서 도시되어 있다. 일부 실시예에 따라서, 금속 시드층(54)은 물리적 기상 증착(PVD)을 통해 형성된다. 금속 시드층(54)은, 예컨대, 구리로 형성된, 단일층일 수 있거나, 예컨대, 도전성 배리어층, 및 도전성 배리어층 상의 구리층을 포함하는, 복수의 층을 포함할 수 있다. 도전성 배리어층은 TiN, Ti, TaN, 또는 Ta 등으로 형성하거나 그러한 물질을 포함할 수 있다.5 shows the deposition of a metal seed layer 54 . Each process in process flow 200 shown in FIG. 20 is shown as process 210 . In accordance with some embodiments, the metal seed layer 54 is formed via physical vapor deposition (PVD). The metal seed layer 54 may be a single layer, eg formed of copper, or may include a plurality of layers, eg, including a conductive barrier layer and a copper layer on the conductive barrier layer. The conductive barrier layer may be formed of or include TiN, Ti, TaN, or Ta.

도 6은, 구리 또는 구리 합금과 같은 금속 물질일 수 있는, 도전성 물질(56)의 퇴적을 도시한다. 도 20에 도시된 공정 흐름(200)에서 각 공정은 공정(212)으로서 도시되어 있다. 퇴적 공정은 전기화학 도금(ECP, electrochemical plating) 또는 무전해 도금 등을 사용하여 수행될 수 있다. 도금은, 도금되는 도전성 물질(56)의 상단 표면이 라이너(50 또는 52)의 상단 표면보다 더 높아질 때까지 수행된다.6 shows the deposition of a conductive material 56, which may be a metallic material such as copper or a copper alloy. Each process in process flow 200 shown in FIG. 20 is shown as process 212 . The deposition process may be performed using electrochemical plating (ECP) or electroless plating. Plating is performed until the top surface of the conductive material 56 being plated is higher than the top surface of the liner 50 or 52 .

도 7은 도전성 물질(56)의 상단 표면을 평탄화하기 위해 수행되는 평탄화 공정을 도시하며, 이는 CMP 공정 또는 기계적 그라인딩 공정일 수 있다. 도 20에 도시된 공정 흐름(200)에서 각 공정은 공정(214)으로서 도시되어 있다. 일부 실시예에 따라서, 도 7에 도시된 바와 같이, 평탄화 공정은 유전체층(42)을 정지층으로서 사용하여 수행된다. 대안적인 실시예에 따라서, 평탄화 공정은 유전체층(44)(도 6)과 같은 다른 유전체층을 CMP 정지층으로서 사용하여 수행된다. 따라서, 잔존 도전성 물질(56)의 상단 표면은 유전체층(44)의 상단 표면과 동일 평면 내에 있을 것이다. 금속 시드층(54) 및 도전성 물질(56)의 잔존 부분은 이후 관통 비아(61)로서 총칭된다.7 shows a planarization process performed to planarize the top surface of conductive material 56, which may be a CMP process or a mechanical grinding process. Each process in process flow 200 shown in FIG. 20 is shown as process 214 . According to some embodiments, as shown in FIG. 7, the planarization process is performed using dielectric layer 42 as a stop layer. According to an alternative embodiment, the planarization process is performed using another dielectric layer, such as dielectric layer 44 (FIG. 6), as the CMP stop layer. Thus, the top surface of the remaining conductive material 56 will be coplanar with the top surface of the dielectric layer 44 . The remaining portions of the metal seed layer 54 and the conductive material 56 are collectively referred to as through vias 61 hereinafter.

도 7 내지 도 13은 일부 실시예에 따른 상부 피처의 형성을 도시한다. 이들 공정은 예라는 것이 이해되며, 본 개시에 의해 임의의 다른 연결 방식이 고려된다. 도 7을 더 참조하면, 상단 금속 라인/패드(34)에 연결하기 위한 비아(58)가 형성된다. 도 20에 도시된 공정 흐름(200)에서 각 공정은 공정(216)으로서 도시되어 있다. 일부 실시예에 따라서, 비아(58)는 단일 다마신 공정을 통해 형성된다. 형성 공정은, 개구를 형성하기 위해 패시베이션층(42) 및 그 아래에 놓이는 에칭 정지층(37)을 에칭하고, (예컨대, 티타늄, 티타늄 질화물, 탄탈룸, 또는 탄탈룸 질화물 등으로 형성된) 도전성 배리어를 퇴적시키고, 구리 또는 텅스텐 등과 같은 도전성 물질을 도금하는 단계를 포함할 수 있다. 이후 과잉 물질을 제거하기 위해 CMP 공정이 수행되어, 비아(58)를 남길 수 있다.7-13 illustrate the formation of top features in accordance with some embodiments. It is understood that these processes are examples, and any other connection scheme is contemplated by this disclosure. Referring further to FIG. 7 , vias 58 for connection to the top metal line/pad 34 are formed. Each process in process flow 200 shown in FIG. 20 is shown as process 216 . According to some embodiments, via 58 is formed through a single damascene process. The formation process etches passivation layer 42 and underlying etch stop layer 37 to form openings, and deposits a conductive barrier (e.g., formed of titanium, titanium nitride, tantalum, tantalum nitride, etc.) and plating a conductive material such as copper or tungsten. A CMP process may then be performed to remove excess material, leaving vias 58 .

도 8을 참조하면, 일부 실시예에 따라서, 유전체 격리층(60)이 퇴적된다. 도 20에 도시된 공정 흐름(200)에서 각 공정은 공정(218)으로서 도시되어 있다. 격리층(60)의 물질은 라이너(50)를 형성하기 위한 후보 물질의 동일한 그룹으로부터 선택될 수 있고, 라이너(50)의 물질과 동일하거나 그와는 상이할 수 있다. 예컨대, 라이너(50)가 실리콘 질화물로 형성될 때, 격리층(60)은 실리콘 질화물 또는 실리콘 탄화물로 형성될 수 있다.Referring to FIG. 8 , a dielectric isolation layer 60 is deposited, in accordance with some embodiments. Each process in process flow 200 shown in FIG. 20 is shown as process 218 . The material of the isolation layer 60 may be selected from the same group of candidate materials for forming the liner 50, and may be the same as or different from the material of the liner 50. For example, when the liner 50 is formed of silicon nitride, the isolation layer 60 may be formed of silicon nitride or silicon carbide.

도 9를 참조하면, 격리층(60)이 에칭되고, 패시베이션층(42) 위에 금속 패드(62)가 형성된다. 도 20에 도시된 공정 흐름(200)에서 각 공정은 공정(220)으로서 도시되어 있다. 금속 패드(62)는 알루미늄 패드 또는 알루미늄-구리 패드일 수 있으며, 다른 금속 물질이 사용될 수도 있다. 형성 공정은, 금속층을 퇴적시킨 후, 금속층을 패터닝하여 금속 패드(62)를 남기는 단계를 포함할 수 있다. 금속 패드(62)는 또한, 일부 실시예에 따라서 격리층(60) 바로 위에서 연장되는 몇몇 부분을 가질 수 있다. 이후 패시베이션층(64)(때때로 passivation-2로서 지칭됨)이 형성된다. 도 20에 도시된 공정 흐름(200)에서 각 공정은 공정(222)으로서 도시되어 있다. 패시베이션층(64)은 단일층 또는 복합층일 수 있고, 실리콘 산화물, 실리콘 질화물, USG, 또는 실리콘 산화질화물 등과 같은 비다공성 물질로 형성될 수 있다.Referring to FIG. 9 , the isolation layer 60 is etched and a metal pad 62 is formed on the passivation layer 42 . Each process in process flow 200 shown in FIG. 20 is shown as process 220 . The metal pad 62 may be an aluminum pad or an aluminum-copper pad, and other metal materials may be used. The formation process may include depositing the metal layer and then patterning the metal layer to leave the metal pads 62 . Metal pad 62 may also have some portion extending directly above isolation layer 60 according to some embodiments. A passivation layer 64 (sometimes referred to as passivation-2) is then formed. Each process in process flow 200 shown in FIG. 20 is shown as process 222 . The passivation layer 64 may be a single layer or a composite layer, and may be formed of a non-porous material such as silicon oxide, silicon nitride, USG, or silicon oxynitride.

그다음으로, 패시베이션층(64)의 몇몇 부분이 금속 패드(62)의 에지 부분을 커버하고 금속 패드(62)의 몇몇 부분이 패시베이션층(64)의 개구를 통해 노출되도록, 패시베이션층(64)이 패터닝된다. 이후, 예컨대, 유동가능한 형태로 폴리머층(66)을 디스펜싱한 후 폴리머층(66)을 경화킴으로써, 폴리머층(66)이 형성된다. 금속 패드(62)를 노출시키도록 폴리머층(66)이 패터닝된다. 도 20에 도시된 공정 흐름(200)에서 각 공정은 공정(222)으로서 또한 도시되어 있다. 폴리머층(66)은 폴리이미드 또는 폴리벤조옥사졸(PBO, polybenzoxazole) 등으로 형성될 수 있다.Next, the passivation layer 64 is formed such that some portions of the passivation layer 64 cover the edge portion of the metal pad 62 and some portions of the metal pad 62 are exposed through the opening of the passivation layer 64. patterned. The polymer layer 66 is then formed, for example, by dispensing the polymer layer 66 in a flowable form and then curing the polymer layer 66 . Polymer layer 66 is patterned to expose metal pad 62 . Each process in process flow 200 shown in FIG. 20 is also shown as process 222 . The polymer layer 66 may be formed of polyimide or polybenzoxazole (PBO).

이후, 도 10에 도시된 바와 같이, 그 아래에 놓이는 금속 패드(62)에 전기적으로 연결하기 위해 언더 범프 야금(UBM, Under-Bump-Metallurgies)(68) 및 도전성 영역(70)이 형성된다. 도 20에 도시된 공정 흐름(200)에서 각 공정은 공정(224)으로서 도시되어 있다. UBM(68) 및 도전성 영역(70)의 형성 공정은, 패시베이션층(64) 및 폴리머층(66) 내의 개구 내로 연장되는 블랭킷 금속 시드층을 퇴적시키고, 금속 시드층 상에 패터닝된 도금 마스크를 형성하고, 도전성 영역(70)을 도금하고, 도금 마스크를 제거하고, 이전에 도금 마스크에 의해 커버되었던 블랭킷 금속 시드층의 부분을 에칭하는 단계를 포함할 수 있다. 블랭킷 금속 시드층의 잔존 부분은 UBM(68)으로서 지칭된다. 금속 시드층은 티타늄층, 및 티타늄층 위의 구리층을 포함할 수 있다. 도전성 영역(70)은 구리, 니켈, 팔라듐, 알루미늄, 금, 이들의 합금, 및/또는 이들의 다중층을 포함할 수 있다. 도전성 영역(70) 각각은, SnAg 또는 유사한 물질로 형성될 수 있는 솔더 영역으로 캐핑될 수 있거나 그렇지 않을 수 있는, 구리 영역을 포함할 수 있다. 일부 실시예에 따라서, 도전성 영역(70)은 웨이퍼(20) 내의 상단 유전체층의 상단 표면보다 더 높이 돌출되며, 솔더 본딩 또는 직접적인 금속 대 금속 본딩 등을 위해 사용될 수 있다. 대안적인 실시예에 따라서, 유전체층(71)이, 도전성 영역(70)의 상단 표면과 동일 평면 내에 있는 상단 표면을 갖도록 형성되며, 하이브리드 본딩을 위해 사용될 수 있다.Then, as shown in FIG. 10, Under-Bump-Metallurgies (UBM) 68 and a conductive region 70 are formed to electrically connect to the underlying metal pad 62. Each process in process flow 200 shown in FIG. 20 is shown as process 224 . The formation process of UBM 68 and conductive region 70 deposits a blanket metal seed layer that extends into openings in passivation layer 64 and polymer layer 66, and forms a patterned plating mask on the metal seed layer. and plating the conductive region 70, removing the plating mask, and etching portions of the blanket metal seed layer previously covered by the plating mask. The remaining portion of the blanket metal seed layer is referred to as UBM 68 . The metal seed layer may include a titanium layer and a copper layer over the titanium layer. Conductive region 70 may include copper, nickel, palladium, aluminum, gold, alloys thereof, and/or multiple layers thereof. Each of the conductive regions 70 may include a copper region, which may or may not be capped with a solder region that may be formed of SnAg or a similar material. According to some embodiments, conductive region 70 protrudes higher than the top surface of the top dielectric layer in wafer 20 and may be used for solder bonding or direct metal-to-metal bonding. According to an alternative embodiment, dielectric layer 71 is formed with a top surface that is coplanar with the top surface of conductive region 70 and can be used for hybrid bonding.

도 11 내지 도 13은 반도체 기판(24)의 후면 상에 피처를 형성하기 위한 공정을 도시한다. 도 20에 도시된 공정 흐름(200)에서 각 공정은 공정(226)으로서 도시되어 있다. 도 11을 참조하면, TSV(61)가 드러날 때까지 기판(24)의 부분을 제거하기 위해 후면 그라인딩 공정이 수행된다. 그다음으로, 도 12에 도시된 바와 같이, TSV(61)가 반도체 기판(24)의 후면 표면 밖으로 돌출되도록, (예컨대, 에칭을 통해) 반도체 기판(24)이 약간 리세싱된다.11-13 show a process for forming features on the back side of a semiconductor substrate 24 . Each process in process flow 200 shown in FIG. 20 is shown as process 226 . Referring to Figure 11, a backside grinding process is performed to remove portions of substrate 24 until TSVs 61 are exposed. Next, as shown in FIG. 12 , the semiconductor substrate 24 is slightly recessed (eg, via etching) such that the TSVs 61 protrude out of the back surface of the semiconductor substrate 24 .

그다음으로, 도 12에 도시된 바와 같이, 유전체층(72)이 퇴적되고, TSV(61)를 다시 노출시키기 위한 CMP 공정 또는 기계적 그라인딩 공정이 뒤잇는다. TSV(61)는 따라서 유전체층(72)을 관통한다. 일부 실시예에 따라서, 유전체층(72)은 실리콘 산화물 또는 실리콘 질화물 등으로 형성된다. 도 13을 참조하면, TSV(61)와 접촉하는 패드 부분을 포함하는 RDL(74)이 형성된다. 일부 실시예에 따라서 RDL(74)은 알루미늄, 구리, 니켈, 또는 티타늄 등으로 형성될 수 있다.Next, as shown in FIG. 12, dielectric layer 72 is deposited, followed by a CMP process or mechanical grinding process to expose TSVs 61 again. TSV 61 thus penetrates dielectric layer 72 . According to some embodiments, dielectric layer 72 is formed of silicon oxide or silicon nitride, or the like. Referring to FIG. 13, an RDL 74 including a pad portion contacting the TSV 61 is formed. According to some embodiments, RDL 74 may be formed of aluminum, copper, nickel, titanium, or the like.

도 14a는 유전체층(76) 및 전기 커넥터(78)의 형성을 도시한다. 일부 실시예에 따라서, 전기 커넥터(78)는, RDL(74)의 패드 상에 솔더 볼을 도금하고 솔더 볼을 리플로잉함으로써 형성될 수 있는, 솔더 영역을 포함한다. 대안적인 실시예에 따라서, 전기 커넥터(78)는, 리플로잉가능하지 않은 (비-솔더) 금속 물질로 형성된다. 예컨대, 전기 커넥터(78)는 구리 패드 또는 필라로서 형성될 수 있고, 니켈 캐핑층을 포함할 수 있거나 그렇지 않을 수 있다. 전기 커넥터(78)는, 그를 둘러싸는 유전체층 밖으로 돌출될 수 있고, 솔더 본딩 또는 직접적인 금속 대 금속 본딩을 위해 사용될 수 있다. 대안적으로, 디바이스(22)가 하이브리드 본딩을 위해 사용될 수 있도록, 전기 커넥터(78)의 하단 표면은 유전체층(76)의 하단 표면과 동일 평면 내에 있을 수 있다. 웨이퍼(20)의 전면 상에 있는 유전체층(71)이 형성될 수 있거나 형성되지 않을 수 있다는 것을 나타내기 위해 파선을 사용하여, 유전체층(71)이 또한 도 14a에 도시되어 있다. 도 14b, 도 14c, 도 14d, 도 14e, 도 14f, 및 도 14g에는 도시되어 있지 않지만, 유전체층(71)은 또한 이들 도면에 도시된 구조물 내에 형성될 수 있다. 일부 실시예에 따라서, 예컨대, 스크라이브 라인(80)을 통해 절단함으로써, 소잉(sawing) 공정을 통해 웨이퍼(20)가 개별화된다.14A shows the formation of dielectric layer 76 and electrical connector 78 . According to some embodiments, electrical connector 78 includes a solder region, which may be formed by plating a solder ball onto a pad of RDL 74 and reflowing the solder ball. According to an alternative embodiment, electrical connector 78 is formed from a non-reflowable (non-solder) metallic material. For example, electrical connectors 78 may be formed as copper pads or pillars, and may or may not include a nickel capping layer. Electrical connector 78 may protrude out of the dielectric layer surrounding it and may be used for solder bonding or direct metal to metal bonding. Alternatively, the bottom surface of electrical connector 78 can be coplanar with the bottom surface of dielectric layer 76 so that device 22 can be used for hybrid bonding. Dielectric layer 71 is also shown in FIG. 14A, using broken lines to indicate that dielectric layer 71 on the front side of wafer 20 may or may not be formed. Although not shown in FIGS. 14B, 14C, 14D, 14E, 14F, and 14G, dielectric layer 71 may also be formed within the structure shown in these figures. According to some embodiments, wafer 20 is singulated through a sawing process, eg, by cutting through scribe line 80 .

도 14b, 도 14c, 도 14d, 도 14e, 도 14f, 및 도 14g는, 도 3b, 도 3c, 도 3d, 도 3e, 도 3f, 및 도 3g에 도시된 구조물에 기초하여 형성된 구조물을 각각 도시한다. 도 14b, 도 14c, 도 14d, 도 14e, 도 14f, 및 도 14g에 도시된 구조물을 형성하기 위한 공정 및 물질의 세부사항은, 도 3b, 도 3c, 도 3d, 도 3e, 도 3f, 및 도 3g 각각의 논의 및 도 4 내지 도 13의 논의를 참조하여 확인할 수 있다. 도 14a, 도 14b, 도 14c, 및 도 14d 각각에서, 라이너(50) 내에 파선이 그려져 있으며, 이는, 라이너(50)가 단일층 라이너일 수 있거나, 서브 라이너(50A 및 50B)를 포함하는 이증층 라이너일 수 있다는 것을 나타낸다. 또한, 라이너(50A)의 하단은 각 라이너(50B)의 하단보다 더 낮거나, 그와 수평을 이루거나, 그보다 더 높을 수 있다. 도 14a에서, 라이너(50)는, 반도체 기판(24)의 상단 표면(24T)과 수평을 이루는 하단 단부(50bot)를 갖는다. 유전체 라이너(50)가 2개의 서브 라이너(50A 및 50B)를 가질 때, 서브 라이너(50A 및 50B) 중 하나는, 상단 표면(24T)과 수평을 이루는 하단 단부(50bot)를 가지며, 다른 하나의 하단 단부(50bot)는 반도체 기판(24)의 상단 표면(24T)보다 더 높거나, 더 낮거나, 그와 수평을 이룰 수 있다. 도 14b는, 라이너(50)의 하단 단부(50bot)(또는 서브 라이너(50A 및 50B) 중 적어도 하나)가 상단 표면(24T)보다 더 높다는 것을 도시한다. 도 14c는, 라이너(50)의 하단 단부(또는 서브 라이너(50A 및 50B) 중 적어도 하나)가 상단 표면(24T)보다 더 낮다는 것을 도시한다. 도 14d는, 라이너(50)의 하단 단부(및 서브 라이너(50A 및 50B))가 반도체 기판(24)의 하단 표면까지 연장된다는 것을 도시한다. 도 14e는, 서브 라이너(50A)가, 서브 라이너(50B)의 하단보다 더 높은 하단을 갖는다는 것을 도시한다. 도 14f는, 서브 라이너(50A)가, 서브 라이너(50B)와 동일한 레벨까지 연장된다는 것을 도시한다. 도 14g는, 서브 라이너(50A)가 서브 라이너(50B)보다 더 낮게 연장된다는 것을 도시한다.14B, 14C, 14D, 14E, 14F, and 14G show structures formed based on the structures shown in FIGS. 3B, 3C, 3D, 3E, 3F, and 3G, respectively. do. Details of the processes and materials for forming the structures shown in FIGS. 14b, 14c, 14d, 14e, 14f, and 14g are shown in FIGS. Reference can be made to the discussion of each of FIG. 3G and the discussion of FIGS. 4-13 . In each of FIGS. 14A, 14B, 14C, and 14D, broken lines are drawn within the liner 50, indicating that the liner 50 can be a single layer liner or includes sub-liners 50A and 50B. indicating that it may be a layer liner. Further, the lower end of liner 50A may be lower than, level with, or higher than the lower end of each liner 50B. In FIG. 14A , the liner 50 has a bottom end 50bot flush with the top surface 24T of the semiconductor substrate 24 . When the dielectric liner 50 has two sub-liners 50A and 50B, one of the sub-liners 50A and 50B has a lower end 50bot parallel to the top surface 24T, and the other one has a lower end 50bot. The bottom end 50bot may be higher than, lower than, or flush with the top surface 24T of the semiconductor substrate 24 . 14B shows that the bottom end 50bot of the liner 50 (or at least one of the sub liners 50A and 50B) is higher than the top surface 24T. 14C shows that the bottom end of liner 50 (or at least one of subliners 50A and 50B) is lower than top surface 24T. 14D shows that the bottom end of the liner 50 (and sub liners 50A and 50B) extends to the bottom surface of the semiconductor substrate 24 . 14E shows that the sub liner 50A has a lower end higher than the lower end of the sub liner 50B. 14F shows that the sub liner 50A extends to the same level as the sub liner 50B. 14G shows that the sub liner 50A extends lower than the sub liner 50B.

전술한 예에서, TSV(61)의 상단 단부는 패시베이션층(42)의 상단 표면과 수평을 이룬다. 대안적인 실시예에 따라서, TSV(61)의 상단 단부는, (적용가능할 때마다) 패시베이션층(42)의 상단 표면보다 더 낮은 임의의 다른 레벨에 있을 수 있다. 예컨대, TSV(61)의 상단 표면은 상호연결 구조물(32) 내의 상단 금속층의 상단 표면과 동일 평면 내에 있을 수 있고, 상호연결 구조물(32) 내의 임의의 다른 유전체층의 상단 표면은 ILD(28)의 상단 표면과 동일 평면 내에 있거나, 기판(24)의 상단 표면과 동일 평면 내에 있을 수 있다.In the above example, the top end of TSV 61 is flush with the top surface of passivation layer 42 . According to an alternative embodiment, the top end of TSV 61 may be at any other level lower than the top surface of passivation layer 42 (where applicable). For example, the top surface of TSV 61 can be coplanar with the top surface of the top metal layer in interconnect structure 32, and the top surface of any other dielectric layer in interconnect structure 32 can be the top surface of ILD 28. It may be coplanar with the top surface or may be coplanar with the top surface of the substrate 24 .

도 15는 TSV(61)의 평면도를 도시한다. 일부 실시예에 따라서, 라이너(50A 및 50B) 각각 및 유전체 라이너(52)는 링을 형성하며, 링은 원형 형상 또는 (육각형 형상 또는 팔각형 형상과 같은) 다각형 형상 등을 가질 수 있다. 금속 시드층(54)은(도전성 물질(56)의 물질과는 상이한 물질을 포함한다면), 구분가능할 수 있다.15 shows a plan view of TSV 61. According to some embodiments, each of liners 50A and 50B and dielectric liner 52 form a ring, which ring may have a circular shape or a polygonal shape (such as a hexagonal shape or an octagonal shape), or the like, according to some embodiments. The metal seed layer 54 may be distinguishable (provided it includes a material different from that of the conductive material 56).

도 16은 일부 실시예에 따른 TSV(61) 및 라이너(50 및 52)를 도시한다. 라이너(50)(및 서브층(50A 및 50B))의 하단 단부는, 점진적으로 감소되는 두께를 가질 수 있으며, 상부는 각 하단 부분보다 더 두껍다. 앞서 언급된 바와 같이, 공정 변동으로 인해, 라이너(50)의 상이한 부분은 상이한 레벨까지 연장될 수 있다. 또한, 개별 아일랜드(island)를 형성하도록 라이너(50)의 상부로부터 분리된 라이너(50)의 몇몇 부분(50')이 존재할 수 있거나 존재하지 않을 수 있다.16 shows TSV 61 and liners 50 and 52 according to some embodiments. The bottom end of liner 50 (and sub-layers 50A and 50B) can have a progressively reduced thickness, with the top being thicker than each bottom portion. As noted above, due to process variations, different portions of the liner 50 may extend to different levels. Additionally, there may or may not be some portion 50' of the liner 50 that is separated from the top of the liner 50 to form a separate island.

도 17 내지 도 19는, 디바이스(22)를 그 안에 포함하는 패키지(81)(도 19)의 형성 시의 중간 단계를 도시한다. 디바이스(22)는 개략적으로 도시되어 있다는 것이 이해되며, (TSV의 라이너와 같은) 디바이스(22)의 세부사항은 전술한 개시를 참조하여 확인할 수 있다. 도 17을 참조하면, 디바이스(22)가 디바이스(82)에 본딩된다. 본딩은 하이브리드 본딩을 통해 수행될 수 있으며, 유전체층(71) 및 전기 커넥터(도전성 영역)(70)가 디바이스(82)의 표면 유전체층(84) 및 본드 패드(86)에 각각 본딩된다. 디바이스(82)는 디바이스 다이, 패키지 기판, 인터포저, 또는 패키지 등일 수 있다.17-19 show an intermediate step in the formation of a package 81 (FIG. 19) containing the device 22 therein. It is understood that device 22 is shown schematically, and details of device 22 (such as the liner of a TSV) can be found with reference to the foregoing disclosure. Referring to FIG. 17 , device 22 is bonded to device 82 . Bonding can be performed through hybrid bonding, where dielectric layer 71 and electrical connector (conductive region) 70 are bonded to surface dielectric layer 84 and bond pad 86 of device 82, respectively. Device 82 may be a device die, package substrate, interposer, package, or the like.

도 18은 반도체 기판(24)에 후면 그라인딩 공정이 수행된 후의, 그리고 에칭을 통한 반도체 기판(24)의 리세싱 후의 구조물을 도시한다. 따라서, TSV(61)는 반도체 기판(24)의 후면 표면보다 더 높게 돌출된다. 그다음으로, 도 19에 도시된 바와 같이, 유전체층(72)이 퇴적되며, 유전체층(72)과 TSV(61)의 상단 표면이 수평을 이루도록 하기 위한 평탄화 공정이 뒤잇는다. 이후, 몰딩 화합물, 실리콘 질화물, 또는 실리콘 산화물 등, 또는 이들의 조합으로 형성되거나 이를 포함할 수 있는, 갭 충전 영역(90)이 형성된다. 이후, 전기 커넥터(78)를 포함하는 상호연결 구조물(92)이 디바이스(22) 및 갭 충전 영역(90) 위에 형성된다. TSV(61)를 통해 상호연결 구조물(92)이 디바이스(82)에 전기적으로 연결된다.18 shows the structure after the semiconductor substrate 24 has been subjected to a back surface grinding process and after recessing of the semiconductor substrate 24 through etching. Thus, the TSVs 61 protrude higher than the back surface of the semiconductor substrate 24 . Dielectric layer 72 is then deposited, as shown in FIG. 19, followed by a planarization process to level dielectric layer 72 and the top surface of TSV 61. A gap fill region 90 is then formed, which may be formed of or include a molding compound, silicon nitride, silicon oxide, or the like, or a combination thereof. Interconnect structures 92 including electrical connectors 78 are then formed over devices 22 and gap fill regions 90 . Interconnect structure 92 is electrically connected to device 82 via TSV 61 .

본 개시의 실시예는 몇몇 유리한 특징을 갖는다. 관통 비아를 위해 하나보다 더 많은 유전체 라이너를 형성함으로써, 각 디바이스의 전기적 성능이 더 안정적이다. 기생 커패시턴스가 감소될 수 있도록, 라이너는, (반도체 기판 내에 있지 않은 부분과 같은) TSV의 몇몇 부분의 측벽 상에 선택적으로 형성될 수 있다.Embodiments of the present disclosure have several advantageous features. By forming more than one dielectric liner for through vias, the electrical performance of each device is more stable. Liners can be selectively formed on the sidewalls of some portions of the TSV (such as portions not within the semiconductor substrate) so that parasitic capacitance can be reduced.

본 개시의 일부 실시예에 따라서, 방법은, 반도체 기판 위에 복수의 유전체층을 형성하는 단계; 개구를 형성하기 위해 복수의 유전체층 및 반도체 기판을 에칭하는 단계; 개구 내로 연장되는 제1 라이너를 퇴적시키는 단계; 제1 라이너 위에 제2 라이너를 퇴적시키는 단계 - 제2 라이너는 개구 내로 연장됨 - ; 관통 비아를 형성하기 위해 개구 내에 도전성 물질을 충전시키는 단계; 및 반도체 기판의 양면에 도전성 피처를 형성하는 단계 - 도전성 피처는 관통 비아를 통해 전기적으로 상호연결됨 - 를 포함한다. 실시예에서, 제1 라이너를 퇴적시키는 단계는, 컨포멀하지 않은 퇴적 방법을 사용하여 수행된다. 실시예에서, 제2 라이너를 퇴적시키는 단계는, 컨포멀한 퇴적 방법을 사용하여 수행된다. 실시예에서, 제1 라이너는, 개구의 제2 하단보다 더 높은 제1 하단을 갖도록 퇴적된다. 실시예에서, 제1 하단은 반도체 기판의 상단 표면과 수평을 이룬다. 실시예에서, 제1 하단은 반도체 기판의 상단 표면보다 더 높다. 실시예에서, 제1 하단은 반도체 기판의 상단 표면보다 더 낮다. 실시예에서, 제1 라이너를 퇴적시키는 단계는, 도전성 라이너를 퇴적시키는 단계를 포함하고, 제2 라이너를 퇴적시키는 단계는, 유전체 라이너를 퇴적시키는 단계를 포함한다. 실시예에서, 제1 라이너를 퇴적시키는 단계는, 실리콘 질화물을 퇴적시키는 단계를 포함하고, 제2 라이너를 퇴적시키는 단계는, 실리콘 산화물을 퇴적시키는 단계를 포함한다. 실시예에서, 제1 라이너를 퇴적시키는 단계는, 실리콘 탄화물을 퇴적시키는 단계를 포함하고, 제2 라이너를 퇴적시키는 단계는, 실리콘 산화물을 퇴적시키는 단계를 포함한다.According to some embodiments of the present disclosure, a method includes forming a plurality of dielectric layers over a semiconductor substrate; etching the plurality of dielectric layers and the semiconductor substrate to form an opening; depositing a first liner extending into the opening; depositing a second liner over the first liner, the second liner extending into the opening; filling the opening with a conductive material to form a through via; and forming conductive features on both sides of the semiconductor substrate, the conductive features electrically interconnected through through vias. In an embodiment, depositing the first liner is performed using a non-conformal deposition method. In an embodiment, depositing the second liner is performed using a conformal deposition method. In an embodiment, the first liner is deposited to have a first lower end higher than the second lower end of the opening. In an embodiment, the first bottom is flush with the top surface of the semiconductor substrate. In an embodiment, the first bottom is higher than the top surface of the semiconductor substrate. In an embodiment, the first bottom is lower than the top surface of the semiconductor substrate. In an embodiment, depositing the first liner includes depositing a conductive liner and depositing the second liner includes depositing a dielectric liner. In an embodiment, depositing the first liner includes depositing silicon nitride and depositing the second liner includes depositing silicon oxide. In an embodiment, depositing the first liner includes depositing silicon carbide and depositing the second liner includes depositing silicon oxide.

본 개시의 일부 실시예에 따라서, 구조물은, 반도체 기판; 반도체 기판 위의 복수의 유전체층; 복수의 유전체층 위의 제1 도전성 피처; 반도체 기판 아래에 놓이는 제2 도전성 피처; 반도체 기판 및 복수의 유전체층을 관통하는 관통 비아 - 관통 비아는 제1 도전성 피처와 제2 도전성 피처를 전기적으로 상호연결시킴 - ; 관통 비아를 에워싸는 제1 라이너; 및 제1 라이너를 에워싸는 제2 라이너 - 제2 라이너는, 제1 라이너보다 더 높은 밀도를 가짐 - 를 포함한다. 실시예에서, 제1 라이너는 관통 비아의 상단 부분과 물리적으로 접촉하고, 제2 라이너는 관통 비아의 하단 부분과 물리적으로 접촉한다. 실시예에서, 제2 라이너의 하단 단부는 반도체 기판의 상단 표면과 수평을 이룬다. 실시예에서, 제2 라이너의 하단 단부는 반도체 기판의 상단 표면보다 더 높다. 실시예에서, 제2 라이너의 하단 단부는 반도체 기판의 상단 표면보다 더 낮다. 실시예에서, 제1 라이너는 실리콘 산화물을 포함하고, 제2 라이너는 실리콘 질화물을 포함한다. 실시예에서, 제2 라이너는 제1 서브층, 및 제1 서브층을 에워싸는 제2 서브층을 포함하고, 제1 서브층 및 제2 서브층의 하단 단부는 상이한 레벨에 있다.According to some embodiments of the present disclosure, the structure includes a semiconductor substrate; a plurality of dielectric layers over the semiconductor substrate; a first conductive feature over the plurality of dielectric layers; a second conductive feature underlying the semiconductor substrate; a through via through the semiconductor substrate and the plurality of dielectric layers, the through via electrically interconnecting the first conductive feature and the second conductive feature; a first liner surrounding the through vias; and a second liner surrounding the first liner, the second liner having a higher density than the first liner. In an embodiment, the first liner physically contacts the top portion of the through via and the second liner physically contacts the bottom portion of the through via. In an embodiment, the bottom end of the second liner is flush with the top surface of the semiconductor substrate. In an embodiment, the bottom end of the second liner is higher than the top surface of the semiconductor substrate. In an embodiment, the bottom end of the second liner is lower than the top surface of the semiconductor substrate. In an embodiment, the first liner includes silicon oxide and the second liner includes silicon nitride. In an embodiment, the second liner includes a first sub-layer and a second sub-layer surrounding the first sub-layer, wherein bottom ends of the first sub-layer and the second sub-layer are at different levels.

본 개시의 일부 실시예에 따라서, 구조물은 다이를 포함한다. 다이는, 반도체 기판; 반도체 기판 위의 복수의 로우-k 유전체층; 반도체 기판 및 복수의 로우-k 유전체층을 관통하는 관통 비아; 관통 비아를 에워싸는 제1 라이너 - 제1 라이너는 관통 비아의 상단 단부와 하단 단부 둘 다까지 연장됨 - ; 제1 라이너를 에워싸는 제2 라이너 - 제2 라이너는 관통 비아보다 더 짧음 - ; 반도체 기판 위에 있고 다이의 상단 표면에 있는 제1 전기 커넥터; 및 반도체 기판 아래에 놓이고 다이의 하단 표면에 있는 제2 전기 커넥터 - 제1 전기 커넥터와 제2 전기 커넥터는 관통 비아를 통해 전기적으로 상호연결됨 - 를 포함한다. 실시예에서, 제2 라이너는 제1 라이너보다 밀도가 더 높다. 실시예에서, 제2 라이너는 제1 라이너보다 더 얇다.According to some embodiments of the present disclosure, the structure includes a die. The die includes a semiconductor substrate; a plurality of low-k dielectric layers over the semiconductor substrate; through-vias penetrating the semiconductor substrate and the plurality of low-k dielectric layers; a first liner enclosing the through-via, the first liner extending to both the top and bottom ends of the through-via; a second liner enclosing the first liner, the second liner being shorter than the through via; a first electrical connector on the semiconductor substrate and on the top surface of the die; and a second electrical connector under the semiconductor substrate and at a bottom surface of the die, wherein the first electrical connector and the second electrical connector are electrically interconnected through through vias. In an embodiment, the second liner has a higher density than the first liner. In an embodiment, the second liner is thinner than the first liner.

전술한 내용은, 당업자가 본 개시의 양상을 더 잘 이해할 수 있도록, 여러 실시예의 특징을 약술한다. 당업자는, 본 명세서에 소개된 실시예의 동일한 장점을 달성하기 위해 그리고/또는 동일한 목적을 수행하기 위해, 다른 공정 및 구조를 설계 또는 수정하기 위한 기초로서 본 개시를 수월하게 사용할 수 있다는 것을 이해해야 한다. 또한, 당업자는 그러한 등가 구성이 본 개시의 사상 및 범위로부터 벗어나지 않는다는 것과, 본 개시의 사상 및 범위로부터 벗어나지 않으면서 본 개시의 다양한 변경, 대체, 및 수정을 할 수 있다는 것을 인지해야 한다.The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. It should be appreciated that those skilled in the art may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments presented herein. In addition, those skilled in the art should appreciate that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that various changes, substitutions, and modifications can be made to the present disclosure without departing from the spirit and scope of the present disclosure.

<부기><Bookkeeping>

1. 방법에 있어서, 1. In the method,

반도체 기판 위에 복수의 유전체층을 형성하는 단계; forming a plurality of dielectric layers on a semiconductor substrate;

개구를 형성하기 위해 상기 복수의 유전체층 및 상기 반도체 기판을 에칭하는 단계; etching the plurality of dielectric layers and the semiconductor substrate to form an opening;

상기 개구 내로 연장되는 제1 라이너를 퇴적시키는 단계; depositing a first liner extending into the opening;

상기 제1 라이너 위에 제2 라이너를 퇴적시키는 단계 - 상기 제2 라이너는 상기 개구 내로 연장됨 - ; depositing a second liner over the first liner, the second liner extending into the opening;

관통 비아를 형성하기 위해 상기 개구 내에 도전성 물질을 충전시키는 단계; 및 filling the opening with a conductive material to form a through via; and

상기 반도체 기판의 양면에 도전성 피처를 형성하는 단계 - 상기 도전성 피처는 상기 관통 비아를 통해 전기적으로 상호연결됨 - forming conductive features on both sides of the semiconductor substrate, the conductive features electrically interconnected through the through vias;

를 포함하는, 방법.Including, method.

2. 제1항에 있어서, 상기 제1 라이너를 퇴적시키는 단계는, 컨포멀하지 않은(non-conformal) 퇴적 방법을 사용하여 수행되는, 방법.2. The method of clause 1, wherein depositing the first liner is performed using a non-conformal deposition method.

3. 제2항에 있어서, 상기 제2 라이너를 퇴적시키는 단계는, 컨포멀한(conformal) 퇴적 방법을 사용하여 수행되는, 방법.3. The method of clause 2, wherein depositing the second liner is performed using a conformal deposition method.

4. 제1항에 있어서, 상기 제1 라이너의 하단은 상기 개구의 하단보다 더 높은, 방법.4. The method of point 1 wherein the bottom of the first liner is higher than the bottom of the opening.

5. 제4항에 있어서, 상기 제1 라이너의 하단은 상기 반도체 기판의 상단 표면과 수평을 이루는, 방법.5. The method of clause 4 wherein the bottom of the first liner is flush with the top surface of the semiconductor substrate.

6. 제4항에 있어서, 상기 제1 라이너의 하단은 상기 반도체 기판의 상단 표면보다 더 높은, 방법.6. The method of clause 4 wherein the bottom of the first liner is higher than the top surface of the semiconductor substrate.

7. 제4항에 있어서, 상기 제1 라이너의 하단은 상기 반도체 기판의 상단 표면보다 더 낮은, 방법.7. The method of clause 4 wherein the bottom of the first liner is lower than the top surface of the semiconductor substrate.

8. 제1항에 있어서, 상기 제1 라이너를 퇴적시키는 단계는, 도전성 라이너를 퇴적시키는 단계를 포함하고, 상기 제2 라이너를 퇴적시키는 단계는, 유전체 라이너를 퇴적시키는 단계를 포함하는, 방법.8. The method of clause 1, wherein depositing the first liner comprises depositing a conductive liner and depositing the second liner comprises depositing a dielectric liner.

9. 제1항에 있어서, 상기 제1 라이너를 퇴적시키는 단계는, 실리콘 질화물을 퇴적시키는 단계를 포함하고, 상기 제2 라이너를 퇴적시키는 단계는, 실리콘 산화물을 퇴적시키는 단계를 포함하는, 방법.9. The method of clause 1, wherein depositing the first liner comprises depositing silicon nitride and depositing the second liner comprises depositing silicon oxide.

10. 제1항에 있어서, 상기 제1 라이너를 퇴적시키는 단계는, 실리콘 탄화물을 퇴적시키는 단계를 포함하고, 상기 제2 라이너를 퇴적시키는 단계는, 실리콘 산화물을 퇴적시키는 단계를 포함하는, 방법.10. The method of clause 1, wherein depositing the first liner comprises depositing silicon carbide and depositing the second liner comprises depositing silicon oxide.

11. 구조물에 있어서, 11. In the structure,

반도체 기판; semiconductor substrate;

상기 반도체 기판 위의 복수의 유전체층; a plurality of dielectric layers over the semiconductor substrate;

상기 복수의 유전체층 위의 제1 도전성 피처; a first conductive feature over the plurality of dielectric layers;

상기 반도체 기판 아래에 놓이는 제2 도전성 피처; a second conductive feature underlying the semiconductor substrate;

상기 반도체 기판 및 상기 복수의 유전체층을 관통하는 관통 비아 - 상기 관통 비아는 상기 제1 도전성 피처와 상기 제2 도전성 피처를 전기적으로 상호연결시킴 - ; a through via through the semiconductor substrate and through the plurality of dielectric layers, the through via electrically interconnecting the first conductive feature and the second conductive feature;

상기 관통 비아를 에워싸는 제1 라이너; 및 a first liner surrounding the through-via; and

상기 제1 라이너를 에워싸는 제2 라이너 - 상기 제2 라이너는, 상기 제1 라이너보다 더 높은 밀도를 가짐 - a second liner surrounding the first liner, the second liner having a higher density than the first liner;

를 포함하는, 구조물.Including, the structure.

12. 제11항에 있어서, 상기 제1 라이너는 상기 관통 비아의 상단 부분과 물리적으로 접촉하고, 상기 제2 라이너는 상기 관통 비아의 하단 부분과 물리적으로 접촉하는, 구조물.12. The structure of item 11, wherein the first liner physically contacts the top portion of the through-via and the second liner physically contacts the bottom portion of the through-via.

13. 제12항에 있어서, 상기 제2 라이너의 하단 단부는 상기 반도체 기판의 상단 표면과 수평을 이루는, 구조물.13. The structure of clause 12, wherein the bottom end of the second liner is flush with the top surface of the semiconductor substrate.

14. 제12항에 있어서, 상기 제2 라이너의 하단 단부는 상기 반도체 기판의 상단 표면보다 더 높은, 구조물.14. The structure of clause 12 wherein the bottom end of the second liner is higher than the top surface of the semiconductor substrate.

15. 제12항에 있어서, 상기 제2 라이너의 하단 단부는 상기 반도체 기판의 상단 표면보다 더 낮은, 구조물.15. The structure of clause 12, wherein the bottom end of the second liner is lower than the top surface of the semiconductor substrate.

16. 제11항에 있어서, 상기 제1 라이너는 실리콘 산화물을 포함하고, 상기 제2 라이너는 실리콘 질화물을 포함하는, 구조물.16. The structure of clause 11 wherein the first liner comprises silicon oxide and the second liner comprises silicon nitride.

17. 제11항에 있어서, 상기 제2 라이너는 제1 서브층, 및 상기 제1 서브층을 에워싸는 제2 서브층을 포함하고, 상기 제1 서브층 및 상기 제2 서브층의 하단 단부는 상이한 레벨에 있는, 구조물.17. The method of item 11, wherein the second liner includes a first sub-layer and a second sub-layer surrounding the first sub-layer, wherein lower ends of the first sub-layer and the second sub-layer are different. A structure in a level.

18. 구조물에 있어서, 18. In the structure,

다이 die

를 포함하며, 상기 다이는, Including, wherein the die,

반도체 기판; semiconductor substrate;

상기 반도체 기판 위의 복수의 로우-k 유전체층; a plurality of low-k dielectric layers over the semiconductor substrate;

상기 반도체 기판 및 상기 복수의 로우-k 유전체층을 관통하는 관통 비아; a through via passing through the semiconductor substrate and the plurality of low-k dielectric layers;

상기 관통 비아를 에워싸는 제1 라이너 - 상기 제1 라이너는 상기 관통 비아의 상단 단부와 하단 단부 둘 다까지 연장됨 - ; a first liner surrounding the through-via, the first liner extending to both upper and lower ends of the through-via;

상기 제1 라이너를 에워싸는 제2 라이너 - 상기 제2 라이너는 상기 관통 비아보다 더 짧음 - ; a second liner surrounding the first liner, the second liner being shorter than the through via;

상기 반도체 기판 위에 있고 상기 다이의 상단 표면에 있는 제1 전기 커넥터; 및 a first electrical connector over the semiconductor substrate and on a top surface of the die; and

상기 반도체 기판 아래에 놓이고 상기 다이의 하단 표면에 있는 제2 전기 커넥터 - 상기 제1 전기 커넥터와 상기 제2 전기 커넥터는 상기 관통 비아를 통해 전기적으로 상호연결됨 - a second electrical connector under the semiconductor substrate and at a bottom surface of the die, the first electrical connector and the second electrical connector electrically interconnected through the through via;

를 포함하는, 구조물.Including, the structure.

19. 제18항에 있어서, 상기 제2 라이너는 상기 제1 라이너보다 밀도가 더 높은, 구조물.19. The structure of clause 18, wherein the second liner has a higher density than the first liner.

20. 제18항에 있어서, 상기 제2 라이너는 상기 제1 라이너보다 더 얇은, 구조물.20. The structure of clause 18, wherein the second liner is thinner than the first liner.

Claims (10)

방법에 있어서,
반도체 기판 위에 복수의 유전체층들을 형성하는 단계;
개구를 형성하기 위해 상기 복수의 유전체층들 및 상기 반도체 기판을 에칭하는 단계;
상기 개구 내로 연장되는 제1 라이너를 퇴적시키는 단계 - 상기 제1 라이너의 제1 하단 단부는 상기 개구의 제2 하단 단부보다 더 높음 - ;
상기 제1 라이너 위에 제2 라이너를 퇴적시키는 단계 - 상기 제2 라이너는 상기 개구 내로 연장됨 - ;
관통 비아를 형성하기 위해 상기 개구 내에 도전성 물질을 충전시키는 단계; 및
상기 반도체 기판의 양면들에 도전성 피처들을 형성하는 단계 - 상기 도전성 피처들은 상기 관통 비아를 통해 전기적으로 상호연결됨 -
를 포함하고,
상기 제1 라이너는 상기 관통 비아보다 더 짧고, 상기 제2 라이너는 상기 관통 비아의 상단 단부와 하단 단부 둘 다까지 연장되는 것인, 방법.
in the method,
forming a plurality of dielectric layers over a semiconductor substrate;
etching the plurality of dielectric layers and the semiconductor substrate to form an opening;
depositing a first liner extending into the opening, a first bottom end of the first liner being higher than a second bottom end of the opening;
depositing a second liner over the first liner, the second liner extending into the opening;
filling the opening with a conductive material to form a through via; and
forming conductive features on both sides of the semiconductor substrate, the conductive features electrically interconnected through the through vias;
including,
wherein the first liner is shorter than the through via, and wherein the second liner extends to both the top and bottom ends of the through via.
구조물에 있어서,
반도체 기판;
상기 반도체 기판 위의 복수의 유전체층들;
상기 복수의 유전체층들 위의 제1 도전성 피처;
상기 반도체 기판 아래에 놓이는 제2 도전성 피처;
상기 반도체 기판 및 상기 복수의 유전체층들을 관통하는 관통 비아 - 상기 관통 비아는 상기 제1 도전성 피처와 상기 제2 도전성 피처를 전기적으로 상호연결시킴 - ;
상기 관통 비아를 에워싸는 제2 라이너; 및
상기 제2 라이너를 에워싸는 제1 라이너 - 상기 제1 라이너는 상기 제2 라이너보다 더 높은 밀도를 가지고, 상기 제1 라이너의 제1 하단 단부는 상기 관통 비아의 제2 하단 단부보다 더 높음 -
를 포함하고,
상기 제1 라이너는 상기 관통 비아보다 더 짧고, 상기 제2 라이너는 상기 관통 비아의 상단 단부와 하단 단부 둘 다까지 연장되는 것인, 구조물.
in the structure,
semiconductor substrate;
a plurality of dielectric layers over the semiconductor substrate;
a first conductive feature over the plurality of dielectric layers;
a second conductive feature underlying the semiconductor substrate;
a through via through the semiconductor substrate and through the plurality of dielectric layers, the through via electrically interconnecting the first conductive feature and the second conductive feature;
a second liner surrounding the through-via; and
a first liner enclosing the second liner, the first liner having a higher density than the second liner, a first lower end of the first liner being higher than a second lower end of the through via;
including,
wherein the first liner is shorter than the through via, and wherein the second liner extends to both the top and bottom ends of the through via.
제2항에 있어서, 상기 제2 라이너는 상기 관통 비아의 상단 부분과 물리적으로 접촉하고, 상기 제1 라이너는 상기 관통 비아의 하단 부분과 물리적으로 접촉하는, 구조물.3. The structure of claim 2, wherein the second liner physically contacts the top portion of the through via and the first liner physically contacts the bottom portion of the through via. 제3항에 있어서, 상기 제1 라이너의 하단 단부는 상기 반도체 기판의 상단 표면과 수평을 이루는, 구조물.4. The structure of claim 3, wherein the bottom end of the first liner is flush with the top surface of the semiconductor substrate. 제3항에 있어서, 상기 제1 라이너의 하단 단부는 상기 반도체 기판의 상단 표면보다 더 높은, 구조물.4. The structure of claim 3, wherein the bottom end of the first liner is higher than the top surface of the semiconductor substrate. 제3항에 있어서, 상기 제1 라이너의 하단 단부는 상기 반도체 기판의 상단 표면보다 더 낮은, 구조물.4. The structure of claim 3, wherein the bottom end of the first liner is lower than the top surface of the semiconductor substrate. 제2항에 있어서, 상기 제2 라이너는 실리콘 산화물을 포함하고, 상기 제1 라이너는 실리콘 질화물을 포함하는, 구조물.3. The structure of claim 2 wherein the second liner comprises silicon oxide and the first liner comprises silicon nitride. 제2항에 있어서, 상기 제1 라이너는 제1 서브층, 및 상기 제1 서브층을 에워싸는 제2 서브층을 포함하고, 상기 제1 서브층 및 상기 제2 서브층의 하단 단부들은 상이한 레벨들에 있는, 구조물.3. The method of claim 2, wherein the first liner includes a first sub-layer and a second sub-layer surrounding the first sub-layer, wherein bottom ends of the first sub-layer and the second sub-layer are at different levels. in the structure. 구조물에 있어서,
다이
를 포함하며, 상기 다이는,
반도체 기판;
상기 반도체 기판 위의 복수의 로우-k 유전체층들;
상기 반도체 기판 및 상기 복수의 로우-k 유전체층들을 관통하는 관통 비아;
상기 관통 비아를 에워싸는 제2 라이너 - 상기 제2 라이너는 상기 관통 비아의 상단 단부와 하단 단부 둘 다까지 연장됨 - ;
상기 제2 라이너를 에워싸는 제1 라이너 - 상기 제1 라이너는 상기 관통 비아보다 더 짧음 - ;
상기 반도체 기판 위에 있고 상기 다이의 상단 표면에 있는 제1 전기 커넥터; 및
상기 반도체 기판 아래에 놓이고 상기 다이의 하단 표면에 있는 제2 전기 커넥터 - 상기 제1 전기 커넥터와 상기 제2 전기 커넥터는 상기 관통 비아를 통해 전기적으로 상호연결됨 -
를 포함하는, 구조물.
in the structure,
die
Including, wherein the die,
semiconductor substrate;
a plurality of low-k dielectric layers over the semiconductor substrate;
a through via passing through the semiconductor substrate and the plurality of low-k dielectric layers;
a second liner surrounding the through-via, the second liner extending to both upper and lower ends of the through-via;
a first liner surrounding the second liner, the first liner being shorter than the through via;
a first electrical connector over the semiconductor substrate and on a top surface of the die; and
a second electrical connector under the semiconductor substrate and at a bottom surface of the die, the first electrical connector and the second electrical connector electrically interconnected through the through via;
Including, the structure.
제9항에 있어서, 상기 제1 라이너는 상기 제2 라이너보다 더 얇은, 구조물.10. The structure of claim 9, wherein the first liner is thinner than the second liner.
KR1020210025616A 2020-08-13 2021-02-25 Tsv structure and method forming same KR102540536B1 (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US202063065110P 2020-08-13 2020-08-13
US63/065,110 2020-08-13
US202063081502P 2020-09-22 2020-09-22
US63/081,502 2020-09-22
US17/139,030 US11527439B2 (en) 2020-09-22 2020-12-31 TSV structure and method forming same
US17/139,030 2020-12-31

Publications (2)

Publication Number Publication Date
KR20220021390A KR20220021390A (en) 2022-02-22
KR102540536B1 true KR102540536B1 (en) 2023-06-05

Family

ID=78786920

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210025616A KR102540536B1 (en) 2020-08-13 2021-02-25 Tsv structure and method forming same

Country Status (4)

Country Link
KR (1) KR102540536B1 (en)
CN (1) CN113764334A (en)
DE (1) DE102021100529A1 (en)
TW (1) TWI775321B (en)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090315154A1 (en) * 2008-06-19 2009-12-24 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US20130299950A1 (en) 2012-05-11 2013-11-14 Sematech, Inc. Semiconductor structure with buried through substrate vias
US20130334669A1 (en) * 2012-06-19 2013-12-19 Chien-Li Kuo Semiconductor device
JP2015079960A (en) 2013-10-15 2015-04-23 三星電子株式会社Samsung Electronics Co.,Ltd. Integrated circuit element and method for manufacturing the same

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6539625B2 (en) * 2001-01-11 2003-04-01 International Business Machines Corporation Chromium adhesion layer for copper vias in low-k technology
US7786584B2 (en) * 2007-11-26 2010-08-31 Infineon Technologies Ag Through substrate via semiconductor components
US8399354B2 (en) * 2009-01-13 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with low-K dielectric liner
US9305865B2 (en) * 2013-10-31 2016-04-05 Micron Technology, Inc. Devices, systems and methods for manufacturing through-substrate vias and front-side structures
TWI441281B (en) * 2012-04-12 2014-06-11 Ind Tech Res Inst Dual damascene structure having through silicon via and manufacturing method thereof
US9620488B2 (en) * 2015-08-19 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional integrated circuit structure and bonded structure

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090315154A1 (en) * 2008-06-19 2009-12-24 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US20130299950A1 (en) 2012-05-11 2013-11-14 Sematech, Inc. Semiconductor structure with buried through substrate vias
US20130334669A1 (en) * 2012-06-19 2013-12-19 Chien-Li Kuo Semiconductor device
JP2015079960A (en) 2013-10-15 2015-04-23 三星電子株式会社Samsung Electronics Co.,Ltd. Integrated circuit element and method for manufacturing the same

Also Published As

Publication number Publication date
TW202207309A (en) 2022-02-16
TWI775321B (en) 2022-08-21
KR20220021390A (en) 2022-02-22
DE102021100529A1 (en) 2022-02-17
CN113764334A (en) 2021-12-07

Similar Documents

Publication Publication Date Title
KR102111419B1 (en) Forming metal bonds with recesses
US11527439B2 (en) TSV structure and method forming same
US20240021509A1 (en) Multi-Liner TSV Structure and Method Forming Same
US11450567B2 (en) Package component with stepped passivation layer
US20220384331A1 (en) Passivation Structure With Increased Thickness for Metal Pads
US20210375672A1 (en) Redistribution Lines Having Nano Columns and Method Forming Same
KR102540536B1 (en) Tsv structure and method forming same
KR102406103B1 (en) Structure and method for forming integrated high density mim capacitor
KR20230005732A (en) Dummy stacked structures surrounding tsvs and method forming the same
CN113363158B (en) Semiconductor device and method of forming the same
US11935826B2 (en) Capacitor between two passivation layers with different etching rates
US20230378052A1 (en) Capacitor between two passivation layers with different etching rates
US20220384259A1 (en) Semiconductor Structure with Pull-in Planarization Layer and Method Forming the Same
US20230178446A1 (en) Highly Protective Wafer Edge Sidewall Protection Layer
US20230154765A1 (en) Oxygen-Free Protection Layer Formation in Wafer Bonding Process

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant