DE102017127260B4 - Halbleiterverfahren zum Schutz von Wafern vor Verunreinigung der Abschrägung - Google Patents

Halbleiterverfahren zum Schutz von Wafern vor Verunreinigung der Abschrägung Download PDF

Info

Publication number
DE102017127260B4
DE102017127260B4 DE102017127260.7A DE102017127260A DE102017127260B4 DE 102017127260 B4 DE102017127260 B4 DE 102017127260B4 DE 102017127260 A DE102017127260 A DE 102017127260A DE 102017127260 B4 DE102017127260 B4 DE 102017127260B4
Authority
DE
Germany
Prior art keywords
wafer
protective layer
resist layer
coating
chemical solution
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102017127260.7A
Other languages
English (en)
Other versions
DE102017127260A1 (de
Inventor
An-Ren Zi
Joy Cheng
Ching-Yu Chang
Chin-Hsiang Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102017127260A1 publication Critical patent/DE102017127260A1/de
Application granted granted Critical
Publication of DE102017127260B4 publication Critical patent/DE102017127260B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/2026Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure for the removal of unwanted material, e.g. image or background correction
    • G03F7/2028Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure for the removal of unwanted material, e.g. image or background correction of an edge bead on wafers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Materials For Photolithography (AREA)

Abstract

Verfahren (100), welches umfasst:Beschichten (102) eines Randabschnitts eines Wafers mit einer ersten chemischen Lösung, die ein chemisches Gemisch aus einer säurelabilen Gruppe, einer Löslichkeits-Steuereinheit und einem thermischen Säuregenerator enthält;Härten der ersten chemischen Lösung, um eine erste Schutzschicht auf dem Randabschnitt des Wafers auszubilden;Aufbringen (104) einer Resistschicht auf eine Vorderseite des Wafers;Entfernen (106) der ersten Schutzschicht durch eine erste Entfernungs-Lösung; undDurchführen (108) eines Prozesses der Belichtung der Resistschicht, wobei der Prozess der Belichtung der Resistschicht nach dem Entfernen der ersten Schutzschicht durchgeführt wird.

Description

  • STAND DER TECHNIK
  • Der Industriezweig der integrierten Schaltungen (Integrated Circuits, ICs) hat ein exponentielles Wachstum erfahren. Dank technologischer Fortschritte im Bereich der Materialien und des Entwurfs von ICs wurden Generationen von ICs entwickelt, wobei jede Generation aus kleineren und komplexeren Schaltungen besteht als die vorhergehende Generation. Im Verlaufe der Entwicklung der ICs hat sich die Funktionsdichte (d. h. die Anzahl miteinander verbundener Bauelemente pro Chipfläche) im Allgemeinen erhöht, während sich die Geometriegröße (d. h. die kleinste Komponente oder Leitung, die unter Anwendung eines Herstellungsverfahrens erzeugt werden kann) verkleinert hat. Dieser Downscaling-Prozess bietet im Allgemeinen Vorteile durch die Erhöhung der Effizienz der Produktion und die Senkung der zugehörigen Kosten. Dieses Downscaling hat auch die Komplexität der Verarbeitung und Herstellung von ICs erhöht, und damit diese Vorteile erzielt werden können, sind ähnliche Entwicklungen im Bereich der Verarbeitung und Herstellung von ICs erforderlich. Beispielsweise wurden fortschrittliche Technologien der lithographischen Strukturierung implementiert, um verschiedene Strukturen, wie etwa Gateelektroden und Metallleiterbahnen, auf Halbleiterwafern auszubilden. Eine Technologie der lithographischen Strukturierung umfasst das Aufbringen eines Resistmaterials auf die Oberfläche eines Halbleiterwafers.
  • Bei dem existierenden Verfahren zur Aufbringung von Resist, wie etwa Rotationsbeschichtung, wird das Resistmaterial auf allen Bereichen eines Wafers gebildet, einschließlich der Ränder des Wafers, sogar bis zur Rückseite des Wafers. Das Resistmaterial auf den Rändern und der Rückseite des Wafers führt während des Beschichtungsprozesses und nachfolgender Prozesse (wie etwa Entwickeln) zu verschiedenen, mit einer Verunreinigung zusammenhängenden Problemen und Schwierigkeiten, wie etwa einer Verunreinigung des Spannfutters der Beschichtungsanlage oder der Spur. Eine Ansammlung des Resistmaterials an den Rändern des Wafers beeinträchtigt dann die Stabilität der Strukturierung am Waferrand und verursacht fehlerhafte Messwerte der Höhenausrichtung (Leveling) während des Lithographieprozesses. Zum Beispiel erhöht das Vorhandensein des Resistmaterials auf der Abschrägung (Bevel) und der Rückseite nicht nur die Wahrscheinlichkeit einer starken örtlichen Überhitzung (Hotspot), sondern birgt auch die Möglichkeit in sich, nachfolgende Bearbeitungswerkzeuge zu verunreinigen. In anderen Beispielen führt ein existierendes Beschichtungsverfahren zu erheblichen Rückständen von Resist an den Rändern und der Abschrägung von Wafern, welche ein Ablösen des Resists hervorrufen und eine schlechte Ausbeute zur Folge haben können. Es werden verschiedene Verfahren angewendet oder vorgeschlagen, um den Problemen Rechnung zu tragen, wie Spülen des Randwulsts, Spülen der Rückseite und zusätzliche Beschichtung. Jedoch wurde durch Spülen des Randwulsts und Spülen der Rückseite der unerwünschte „Hump“ (Höcker) erzeugt, welcher eine potentielle Fehlerquelle bei den nachfolgenden Prozessen ist. In anderen Fällen ruft die zusätzliche Beschichtung weitere Verunreinigungen des Wafers und des Lithographiesystems hervor oder führt zu zusätzlichen Problemen der Effizienz und Effektivität beim Fertigungsdurchsatz. Dementsprechend kann es wünschenswert sein, ein System und ein Verfahren zu dessen Verwendung bereitzustellen, welche die oben erläuterten Nachteile nicht aufweisen. Die Druckschrift US 2009 / 0 201 485 A1 offenbart ein Substrat zur Verwendung in einem lithografischen Projektionsgerät, wobei das Substrat eine Versiegelungsbeschichtung umfasst, die mindestens einen Teil einer Grenzfläche zwischen zwei Schichten auf dem Substrat bedeckt und sich nicht bis zu einem mittleren Abschnitt des Substrats erstreckt. Die Druckschrift US 2017 / 0 032 961 A1 offenbart ein Verfahren zum Strukturieren eines Halbleiterbauelements, wobei das Verfahren erstens das Bilden eines Fotolacks über einem Substrat umfasst, wobei der Fotolack ein Polymer mit einem Rückgrat umfasst, und zweitens das Durchführen eines ersten Belichtungsprozesses, der das Rückgrat des Polymers bricht, und drittens Durchführen eines zweiten Belichtungsprozesses, um eine strukturierte Fotoresistschicht zu bilden. Die Druckschrift US 2009 / 0 239 179 A1 offenbart Monomere, die für die Synthese von Polymeren nützlich sind, die eine hohe Transparenz gegenüber Strahlung von bis zu 500 nm und die Wirkung haben, die Säurediffusion zu kontrollieren, so dass die Polymere als Basisharz zur Formulierung von strahlungsempfindlichen Resistzusammensetzungen verwendet werden können. Die Druckschrift US 9 711 367 B1 offenbart ein Halbleiterherstellungsverfahren, das zuerst das Modifizieren eines Randabschnitts eines Wafers umfasst, um zu verhindern, dass der Randabschnitt von einer Resistschicht beschichtet wird; und zweitens Auftragen einer Resistschicht auf die vordere Oberfläche des Wafers, wobei die Resistschicht frei von dem Randabschnitt des Wafers ist; und drittens Durchführen eines Belichtungsprozesses für die Resistschicht.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung werden aus der folgenden ausführlichen Beschreibung in Verbindung mit den beigefügten Figuren am besten verständlich. Es ist anzumerken, dass entsprechend der üblichen Praxis in der Industrie verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Vielmehr können die Abmessungen der verschiedenen Merkmale im Interesse der Klarheit der Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1 ist ein Flussdiagramm eines Verfahrens zur Herstellung integrierter Schaltungen, das gemäß einigen Ausführungsformen oder Beispiele gestaltet ist.
    • 2A ist eine Schnittansicht eines Wafers, der gemäß einigen Ausführungsformen oder Beispiele konstruiert ist.
    • 2B ist eine Draufsicht des Wafers von 1, der gemäß einigen Ausführungsformen oder Beispiele konstruiert ist.
    • 3A, 3B und 3C sind Schnittansichten des Wafers in einer Fertigungsstufe, der gemäß verschiedenen Ausführungsformen oder Beispiele konstruiert ist.
    • 4 ist eine schematische Ansicht und Schnittansicht des Wafers und einer bei dem in 1 dargestellten Verfahren verwendeten Beschichtungsvorrichtung, die gemäß einigen Ausführungsformen oder Beispiele konstruiert ist.
    • 5 veranschaulicht eine chemische Struktur der ALG in der Schutzschicht von 3A, 3B und 3C gemäß verschiedenen Ausführungsformen oder Beispiele.
    • 6 veranschaulicht eine chemische Struktur des TAG in der Schutzschicht von 3A, 3B und 3C gemäß verschiedenen Ausführungsformen oder Beispiele.
    • 7 ist eine schematische Ansicht und Schnittansicht des Wafers und einer bei dem in 1 dargestellten Verfahren verwendeten Beschichtungsvorrichtung, die gemäß einigen Ausführungsformen oder Beispiele konstruiert ist.
    • 8 ist eine schematische Ansicht und Schnittansicht des Wafers und einer bei dem in 1 dargestellten Verfahren verwendeten Beschichtungsvorrichtung, die gemäß einigen Ausführungsformen oder Beispiele konstruiert ist.
    • 9 ist eine schematische Ansicht eines in der Beschichtungsvorrichtung von 8 verwendeten „Blockers“, der gemäß einigen Ausführungsformen oder Beispiele konstruiert ist.
    • 10, 11, 12, 13 und 14 sind Schnittansichten des Wafers in einer Fertigungsstufe, der gemäß verschiedenen Ausführungsformen oder Beispiele konstruiert ist.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Weiterhin können sich in der vorliegenden Offenbarung Bezugszahlen und/oder - buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und stellt an sich noch keinen Zusammenhang zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen her.
  • Weiterhin können Begriffe, die räumliche Beziehungen bezeichnen, wie „unter“, „unterhalb“, „untere(r)“, „über“, „obere(r)“ und dergleichen, hier zur Vereinfachung der Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu (einem) anderen Element(en) oder Merkmal(en) zu beschreiben, wie in den Figuren dargestellt. Die räumliche Beziehungen bezeichnenden Begriffe sollen andere Ausrichtungen der in Verwendung oder in Betrieb befindlichen Vorrichtung, zusätzlich zu der in den Figuren abgebildeten Ausrichtung, mit einschließen. Falls zum Beispiel die Vorrichtung in den Figuren umgedreht wird, würden sich dann Elemente, die als „unter“ oder „unterhalb von“ anderen Elementen oder Merkmalen beschrieben sind, „über“ den anderen Elementen oder Merkmalen befinden. Somit kann der als Beispiel gewählte Begriff „unter“ sowohl eine Ausrichtung „über“ als auch „unter“ mit einschließen. Die Vorrichtung kann anders ausgerichtet (um 90 Grad gedreht oder in eine andere Ausrichtung bewegt) werden, und die hier verwendeten Begriffe zur Beschreibung räumlicher Beziehungen können ebenfalls entsprechend interpretiert werden.
  • 1 zeigt ein Flussdiagramm eines Verfahrens 100 zur Herstellung integrierter Schaltungen gemäß einigen Ausführungsformen. 2A, 2B, 3A, 3B, 3C, 4, 7, 8 und 10-14 zeigen Schnittansichten und Draufsichten eines Wafers 200 in verschiedenen Fertigungsstufen gemäß einigen Ausführungsformen. Das Verfahren 100, der Wafer 200 und die bei dem Verfahren verwendeten Systeme werden unter Bezugnahme auf diese und andere Figuren zusammen beschrieben.
  • Das Verfahren 100 umfasst einen Arbeitsgang 102 des Beschichtens eines Randabschnitts des Wafers 200. Bei der vorliegenden Ausführungsform ist der Wafer 200 ein Halbleiterwafer, wie etwa ein Siliziumwafer. Bei einigen Ausführungsformen kann der Wafer 200 einen anderen elementaren Halbleiter (wie etwa Germanium), einen Verbindungshalbleiter (wie etwa Siliziumgermanium, Siliziumkarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid); oder Kombinationen davon umfassen. Bei den Ausführungsformen können diese Halbleitermaterialfilme vorteilhafterweise auf dem Siliziumwafer epitaktisch aufgewachsen werden. Bei einigen anderen Ausführungsformen kann der Wafer 200 ein Substrat aus einem anderen Material sein.
  • Der Wafer 200 weist eine Vorderseite 200A und eine Rückseite 200B auf, die einander gegenüberliegen. Eine oder mehrere integrierte Schaltungen sind auf der Vorderseite 200A des Wafers 200 ausgebildet oder teilweise ausgebildet, oder sie sollen ausgebildet werden. Daher weist die Vorderseite 200A des Wafers 200 eine strukturierte Materialschicht oder eine zu strukturierende Materialschicht auf. Zum Beispiel kann die Vorderseite 200A verschiedene Isolationsmerkmale (wie etwa Flachgraben-Isolationsmerkmale), verschiedene dotierte Merkmale (wie etwa dotierte Wannen oder dotierte Source- und Drain-Merkmale), verschiedene Bauelemente (wie etwa Transistoren, Dioden, Bildsensoren oder Widerstände), verschiedene leitende Merkmale (wie etwa Kontakte, Metallleitungen und/oder Durchkontaktierungen einer Verbindungsstruktur), Packaging-Materialschichten (wie etwa Bondflächen und/oder eine Passivierungsschicht) oder eine Kombination davon aufweisen. Auf einem vollständig hergestellten Halbleiterwafer können alle oben genannten Materialschichten und Strukturen auf der Vorderseite 200A des Halbleiterwafer 200 vorhanden sein. Im vorliegenden Beispiel ist die Herstellung des Halbleiterwafers 200 noch im Gange, und es kann eine Untermenge der oben genannten Materialschichten auf der Vorderseite 200A ausgebildet sein.
  • Der Wafer 200, wie etwa eine obere Materialschicht auf dem Wafer oder ein Siliziumsubstrat des Wafers, ist durch einen Lithographieprozess zu strukturieren. Der Wafer 200 weist einen Schaltungsbereich 202 und einen den Schaltungsbereich 202 umgebenden Randabschnitt 204 auf. Der Schaltungsbereich 202 ist ein Bereich des Wafers 200, innerhalb dessen die integrierten Schaltungen auf der Oberseite 200A des Wafers ausgebildet sind. Der Schaltungsbereich 202 weist mehrere integrierte Schaltungen auf, welche dann am Ende der Fertigungskette getrennt werden, um mehrere integrierte Schaltungschips auszubilden. Der Schaltungsbereich 202 weist außerdem Ritzlinien zwischen den integrierten Schaltungschips auf. In den Ritzlinien können für verschiedene Test-, Überwachungs- und Fertigungszwecke verschiedene Teststrukturen ausgebildet sein. Der Randabschnitt 204 des Wafers 200 ist ein Bereich ohne Schaltung und ist während der Fertigung nicht zu strukturieren. Der Randabschnitt 204 umfasst den Abschnitt am Rand der Vorderseite 200A und kann ferner die Einfassungsfläche und den Randabschnitt der Rückseite 200B des Wafers umfassen. Im Arbeitsgang 102 wird der Randabschnitt 204 mit einer Schutzschicht (oder ersten Schutzschicht) 206 beschichtet, wie in 3A dargestellt, welche den Randabschnitt 204 des Wafers 200 vor direkter Abscheidung und Ausbildung von Resistmaterial auf ihm schützt. Die Beschichtung des Randabschnitts 204 des Wafers 200 kann durch einen geeigneten Mechanismus gemäß verschiedenen Ausführungsformen implementiert werden.
  • Der Randabschnitt 204 wird selektiv beschichtet, um eine Schutzschicht 206 auf dem Randabschnitt 204 des Wafers 200 auszubilden. Die Schutzschicht 206 wird gebildet, um verschiedene Probleme zu vermeide, die durch die auf den Randabschnitt des Wafers aufgebrachte Resistschicht verursacht werden. Zu diesen Problemen gehören Ablösen (Peeling), Verlaufen (Leveling) und Verunreinigungen (insbesondere Metallverunreinigungen durch das beim EUV-Lithographieverfahren verwendete Resist). Bei einigen Ausführungsformen umfasst das selektive Beschichtungsverfahren Sprühbeschichten, um die Schutzschicht 206 auf dem Randabschnitt 204 des Wafers 200 auszubilden. Insbesondere kann bei dem Sprühbeschichtungsprozess eine Sprühbeschichtungsvorrichtung 400 verwendet werden, wie in 4 dargestellt. Die Sprühbeschichtungsvorrichtung 400 weist eine Waferstufe 402 auf, die dazu bestimmt ist, den Wafer 200 für das Sprühbeschichten zu befestigen. Die Waferstufe 402 ist in der Lage, um die Achse 404 zu rotieren, so dass sich der darauf befestigte Wafer 200 ebenfalls dreht. Die Sprühbeschichtungsvorrichtung 400 weist außerdem eine Sprühspitze 406 auf, die dazu bestimmt ist, eine chemische Schutzlösung aufzusprühen, wie etwa eine polymere Lösung aus einer mit der Sprühspitze verbundenen Chemikalienzufuhrvorrichtung 408. Die Sprühspitze 406 ist dafür ausgelegt, auf den Randabschnitt 204 des Wafers 200 ausgerichtet zu werden, und ist in der Lage, die chemische Lösung auf diesen aufzusprühen. Der Arbeitsgang 102 umfasst das Aufsprühen der chemischen Lösung auf den Randabschnitt 204 des Wafers 200 und das gleichzeitige Rotieren des Wafers 200, so dass die chemische Lösung im Rotationsbeschichtungsverfahren (Spin-on-Verfahren) auf den Randabschnitt 204 aufgebracht wird. In einigen Beispielen hat die Schutzschicht 206 eine Dicke, die im Bereich zwischen 50 nm und 100 nm liegt.
  • Bei der vorliegenden Ausführungsform umfasst die chemische Lösung, die auf den Randabschnitt 204 des Wafers 200 aufzubringen ist, ein chemisches Gemisch aus einer säurelabilen Gruppe (Acid-Labile Group, ALG), einer Löslichkeits-Steuereinheit und einem thermischen Säuregenerator (Thermal Acid Generator, TAG). Die chemische Lösung umfasst ferner ein geeignetes Lösungsmittel, wie etwa ein organisches Lösungsmittel oder wässriges Lösungsmittel. Ein thermischer Prozess mit einer geeigneten Brenntemperatur löst dann die Freisetzung von Säure durch den TAG aus; die erzeugte Säure reagiert dann mit der ALG, was zur Bildung einer Polymermaterialschicht als die Schutzschicht führt. Im vorliegenden Beispiel verbindet sich die Löslichkeits-Steuereinheit chemisch mit der ALG, ausgelöst durch die erzeugte Säure, um die vernetzte Polymermaterialschicht zu bilden. In anderen Beispielen kann die chemische Lösung ferner andere Monomere enthalten. In diesem Falle wird die ALG zunächst chemisch an das Monomer gebunden. Die erzeugte Säure reagiert mit der ALG, was bewirkt, dass die ALG von dem Monomer abgespalten wird und das Monomer sich mit der Löslichkeits-Steuereinheit verbindet, um ein Polymer zu bilden. Die chemische Lösung ist gegenüber dem thermischen Prozess empfindlich, ist jedoch frei von einer photoempfindlichen Zusammensetzung und ist von dem Resist verschieden.
  • Bei einigen Ausführungsformen enthält die ALG ein t-Butoxycarbonyl (tBOC). 5 zeigt weitere Beispiele der ALG 500 gemäß anderen Ausführungsformen. Kohlenstoff und Wasserstoff sind in den obigen Formeln gemäß der Konvention nicht bezeichnet. Bei einigen Ausführungsformen ist der TAG aus NH4 +C4F9SO3 - und NH4 +CF3SO3 - ausgewählt. 6 zeigt weitere Beispiele des TAG 600 gemäß anderen Ausführungsformen. Kohlenstoff und Wasserstoff sind in den obigen Formeln gemäß der Konvention nicht bezeichnet. Bei einigen Ausführungsformen ist die Löslichkeits-Steuereinheit aus Lacton, Ester, Ether, Keton und einer Kombination davon ausgewählt.
  • Die ALG, die Löslichkeits-Steuereinheit und der TAG sind in der chemischen Lösung in einem bestimmten Verhältnis gemischt. Das Gesamtgewicht Wo der ALG und der Löslichkeits-Steuereinheit in der chemischen Lösung wird als Bezugsgröße verwendet. Das Gewicht der ALG, bezogen auf das Gesamtgewicht Wo, beträgt zwischen 30 % und 70 %. Das Gewicht der Löslichkeits-Steuereinheit, bezogen auf das Gesamtgewicht Wo, beträgt zwischen 70 % und 30 %. Das Gewicht des TAG, bezogen auf das Gesamtgewicht Wo, beträgt zwischen 3 % und 20 %.
  • Die Löslichkeits-Steuereinheit ist dafür ausgelegt, die Löslichkeit der Schutzschicht in einer bestimmten, zu ihrer Entfernung verwendeten Chemikalie zu steuern. Somit kann die Schutzschicht durch diese spezielle Entfernungs-Chemikalie entfernt werden, während die Resistschicht verbleiben kann. Anders ausgedrückt, diese spezielle Entfernungs-Chemikalie ist in der Lage, die chemischen Gruppen der Schutzschicht 206, die der Löslichkeits-Steuereinheit entsprechen, aufzulösen, und ist daher in der Lage, die Schutzschicht aufzulösen. Da die Resistschicht frei von der Löslichkeits-Steuereinheit ist, verbleibt die Resistschicht in der Entfernungs-Chemikalie. Bei der vorliegenden Offenbarung ist diese spezielle Entfernungs-Chemikalie eine chemische Lösung (oder Entfernungs-Lösung), die eine Mischung von Propylenglykolmethylether (PGME) und Propylenglykolmethyletheracetat (PGMEA) enthält. Bei der vorliegenden Ausführungsform enthält die Entfernungs-Lösung vorteilhafterweise 70 % PGME und 30 % PGMEA und wird daher auch mit OK73 bezeichnet.
  • Der Arbeitsgang 102 umfasst ferner einen Härtungsprozess, um die schützende chemische Lösung zu härten, um die Schutzschicht 206 zu bilden, wie etwa ein Härten bei erhöhter Temperatur oder durch Ultraviolettbestrahlung, wodurch bewirkt wird, dass sich die aufgebrachte chemische Lösung vernetzt, um ein Polymermaterial als die Schutzschicht 206 am Randabschnitt 204 zu bilden, wie weiter oben beschrieben. Bei einigen Ausführungsformen ist der Härtungsprozess ein Prozess des thermischen Brennens mit einer Brenntemperatur, die genügend hoch ist, um das Freigeben von Säure durch den TAG auszulösen. In diesem Zusammenhang wird der TAG so gewählt, dass die Brenntemperatur bei dem thermischen Härtungsprozess nahe bei der Temperatur TPEB des Post-Belichtungs-Brennens liegt, wie etwa TPEB±20 °C, wie etwa in einem Bereich zwischen 130 °C und 170 °C. Der thermische Härtungsprozess kann eine Dauer von etwa 60 Sekunden haben.
  • Bei einigen Beispielen umfasst ein selektiver Beschichtungsmechanismus die Verwendung eines „Blockers“ 702, der eine spezielle Konstruktion aufweist, wie in 7 in einer Draufsicht dargestellt. Der Blocker 702 ist mit einer solchen Form, Größe und Konfiguration konstruiert, dass er verhindert, dass der Schaltungsbereich 202 des Wafers 200 durch die schützende chemische Lösung beschichtet wird. Zum Beispiel weist der Blocker 702 eine runde Form auf, wobei die Größe mit dem Schaltungsbereich 202 des Wafers 200 übereinstimmt und diesen bedeckt. In einigen Beispielen kann ein Blocker 802 für diesen Zweck eine andere Form haben, um wirksam zu verhindern, dass der Schaltungsbereich 202 des Wafers 200 durch die schützende chemische Lösung beschichtet wird, wie in 8 in einer Schnittansicht dargestellt ist. 9 ist eine schematische Ansicht des Blockers 802. Der Blocker 802 umfasst eine runde Platte 902 und eine vorhangartige Umrandung 904, die miteinander verbunden sind. Während des selektiven Beschichtungsprozesses wird der Blocker 802 so positioniert, dass der Schaltungsbereich 202 des Wafers im Wesentlichen von oben und von der Seite bedeckt ist, so dass die schützende chemische Lösung nicht in den Schaltungsbereich 202 abgegeben werden kann. Insbesondere hat die runde Platte 902 einen Radius, der gleich oder nahezu gleich dem Radius R des Schaltungsbereichs 202 des Wafers 200 ist.
  • Es wird wieder auf 1 Bezug genommen; nach der Ausbildung der Schutzschicht 206 auf dem Randabschnitt 204 des Wafers 200 durch den Arbeitsgang 102 wird das Verfahren 100 mit einem Arbeitsgang 104 des Beschichtens des Wafers 200 mit einer Resistschicht 208 fortgesetzt, wie in 3A dargestellt. Insbesondere erfolgt das Beschichten mit der Resistschicht 208 auf der Vorderseite 200A des Wafers 200 im Schaltungsbereich 202, während die Resistschicht 208 von dem Randabschnitt 204 ferngehalten wird. Alternativ dazu kann aufgrund der Oberflächenspannung und von Unterschieden in der Zusammensetzung zwischen dem Wafer, der Schutzschicht und dem Resistmaterial der Rand der Resistschicht 208 eine andere Geometrie aufweisen, etwa die eines abgerundeten Randes, wie in 3B dargestellt. In anderen Beispielen kann sich die Resistschicht 208 bis zum Randabschnitt 204 erstrecken, jedoch im Wesentlichen auf der Schutzschicht 206 angeordnet sein, wie in 3C dargestellt.
  • Die Resistschicht 208 ist gegenüber Strahlung empfindlich, die bei einem lithographischen Belichtungsprozess verwendet wird, und weist eine Widerstandsfähigkeit gegenüber Ätzung (oder Implantation) auf. Bei einer Ausführungsform wird die Resistschicht 208 durch einen Rotationsbeschichtungsprozess gebildet. Bei einigen Ausführungsformen wird die Resistschicht 208 weiterhin mit einem Weichbrennverfahren (Soft Baking) behandelt. Bei einigen Ausführungsformen ist die Resistschicht 208 empfindlich gegenüber einer Strahlung, wie etwa i-Linien-Licht, tiefes Ultraviolettlicht (Deep Ultraviolet, DUV) (z. B. Strahlung von 248 nm durch Kryptonfluorid- (KrF-) Excimerlaser oder Strahlung von 193 nm durch Argonfluorid-(ArF-) Excimerlaser), ein extremes Ultraviolettlicht (z. B. Licht von 135 nm), ein Elektronenstrahl (E-Strahl) und ein Ionenstrahl. In einigen Beispielen ist die Resistschicht 208 in einem Positiv-Entwickler löslich, nachdem er der Strahlung ausgesetzt wurde.
  • Die Resistschicht 208 kann eine photoempfindliche Chemikalie, ein polymeres Material und ein Lösungsmittel enthalten. Bei einigen Ausführungsformen wird für die Resistschicht 208 ein chemisch verstärktes (Chemical Amplification, CA) Resistmaterial verwendet. Zum Beispiel ist das CA Resistmaterial ein Positivresist und enthält ein Polymermaterial, welches für einen Entwickler löslich wird, nachdem das polymere Material mit Säure umgesetzt wurde. In einem anderen Beispiel ist das CA Resistmaterial ein Negativresist und enthält ein Polymermaterial, welches für einen Entwickler wie etwa eine basische Lösung unlöslich wird, nachdem das Polymer mit Säure umgesetzt wurde. In noch einem anderen Beispiel enthält das CA Resistmaterial ein Polymermaterial, welches seine Polarität ändert, nachdem das Polymer mit Säure umgesetzt wurde, so dass entweder belichtete Abschnitte oder nicht belichtete Abschnitte dann während eines Entwicklungsprozesses entfernt werden, in Abhängigkeit vom Typ des Entwicklers (organisches Lösungsmittel oder wässriges Lösungsmittel). In einem Beispiel enthält der CA Resist einen Photosäuregenerator (Photo-Acid Generator, PAG) als die photoempfindliche Chemikalie und kann eine andere empfindliche Chemikalie enthalten, wie etwa einen Sensibilisator, wie er in einem EUV CA Resistmaterial verwendet wird. Das Polymermaterial in einem CA Resistmaterial kann ferner eine säurelabile Gruppe enthalten. Wie oben erwähnt, ist die Schutzschicht 206 ein vernetztes Polymer, so dass sie während der Resistbeschichtung nicht gelöst wird.
  • Es wird auf 1 und 10 Bezug genommen; das Verfahren 100 wird mit einem Arbeitsgang 106 fortgesetzt, indem die Schutzschicht 206 von dem Wafer durch die spezielle Entfernungs-Lösung entfernt wird, welche die Schutzschicht 206 selektiv entfernt, wie etwa die Entfernungs-Lösung OK73 im vorliegenden Beispiel. Somit ist der Randabschnitt 204 des Wafers 200 frei von der Resistschicht 208. Da weiterhin die Entfernungs-Lösung dafür ausgelegt ist, die Schutzschicht 206 selektiv zu entfernen, verbleibt die Resistschicht 208 nach dem Entfernen der Schutzschicht 206. Die Schutzschicht 206 wird vor der Anwendung eines Belichtungsprozesses auf die Resistschicht entfernt, da die Schutzschicht 206 Verunreinigungen auf das Lithographiesystem (das verwendet wird, um den Belichtungsprozess durchzuführen) und die folgenden Wafer, die in dem Lithographiesystem belichtet werden sollen, übertragen kann.
  • Bei dem Verfahren 100 werden verschiedene Arbeitsgänge, wie etwa Rotationsbeschichten, Brennen, Entfernen der Schutzschicht und Entwickeln, in einem Cluster-Tool durchgeführt, welches als „Track“ (oder „Clean Track“) bezeichnet wird. Der Track enthält mehrere Stufen, die dazu bestimmt sind, einen Wafer für eine chemische Bearbeitung oder thermische Bearbeitung zu befestigen, und die als chemische Stufen bzw. thermische Stufen bezeichnet werden. Jede chemische Stufe ist dafür ausgelegt, den befestigten Wafer in Rotation zu versetzen und durch eine Sprühspitze eine Chemikalie auf den Wafer abzugeben, während der Wafer rotiert. Chemische Stufen können zum Rotationsbeschichten, Entwickeln, Reinigen und Entfernen (wie etwa Resistentfernung) verwendet werden. Eine thermische Stufe ist dazu bestimmt, einen Wafer zu befestigen und den befestigten Wafer zu erwärmen, wobei sie als eine Heizplatte fungiert. Thermische Stufen können für verschiedene Brennvorgänge verwendet werden, wie etwa Post-Belichtungs-Brennen (post-exposure baking). Ein Wafer kann für verschiedene chemische und thermische Bearbeitungsvorgänge zu verschiedenen Stufen im Track befördert werden. Bei der vorliegenden Ausführungsform des Verfahrens 100 sind die Arbeitsgänge 104 und 106 auf ein und derselben chemischen Stufe (in der folgenden Beschreibung als eine erste chemische Stufe bezeichnet) des Tracks implementiert, um die Effizienz zu erhöhen. Insbesondere wird, wenn der Wafer zu der ersten chemischen Stufe transportiert wird, eine erste Sprühspitze (oder Düse oder Sprühkopf) so positioniert, dass sie eine erste Chemikalie (das heißt die Lösung von Resistmaterial) zur Resistbeschichtung auf den auf der ersten chemischen Stufe befestigten Wafer 200 abgibt, und danach wird eine zweite Sprühspitze so positioniert, dass sie eine zweite Chemikalie (das heißt die Entfernungs-Lösung; spezieller, OK73 bei der vorliegenden Ausführungsform) auf den Wafer 200 abgibt, der zum Entfernen der ersten Schutzschicht 206 auf der ersten chemischen Stufe verbleibt.
  • Es wird auf 1 und 11 Bezug genommen; das Verfahren 100 wird mit einem Arbeitsgang 108 fortgesetzt, indem ein Belichtungsprozess durchgeführt wird, bei dem die Resistschicht 208 in einem Lithographiesystem gegenüber einer Strahlung 1110 exponiert wird. Wie erwähnt, kann die Strahlung 1110 eine i-Linie, eine DUV-Strahlung, eine EUV-Strahlung oder eine andere geeignete Strahlung sein. Der Arbeitsgang 108 kann in Luft, in einer Flüssigkeit (Immersionslithographie) oder in einem Vakuum (z. B. für EUV-Lithographie und E-Strahl-Lithographie) durchgeführt werden. Bei einigen Ausführungsformen wird das Strahlenbündel 1110 mit einer Maske 1112 strukturiert, wie etwa einer transmissiven Maske oder einer reflektiven Maske, was Techniken zur Erhöhung der Auflösung beinhalten kann, wie etwa Phasenverschiebung, Schrägbeleuchtung (Off-Axis Illumination, OAI) und/oder optische Nahbereichskorrektur (Optical Proximity Correction, OPC). Bei einigen anderen Ausführungsformen wird das Strahlenbündel direkt mit einer vordefinierten Struktur moduliert, wie etwa einem IC-Layout, ohne Verwendung einer Maske (wie etwa unter Verwendung eines digitalen Strukturgenerators oder eines Direktschreibverfahrens). Bei der beispielhaften Ausführungsform wird das Strahlenbündel auf eine transmissive Maske 1112 gerichtet, welche ein transparentes Substrat (wie etwa Quarzglas) 1114 und eine strukturierte lichtundurchlässige Schicht (wie etwa Chrom) 1116 umfasst.
  • Nach dem Arbeitsgang 108 wird eine latente Struktur auf der Resistschicht 208 ausgebildet. Als die latente Struktur einer Resistschicht wird die freiliegende Struktur auf der Resistschicht bezeichnet, welche eventuell zu einer physischen Resiststruktur wird, wie etwa durch einen Entwicklungsprozess. Die latente Struktur der Resistschicht 208 umfasst nicht exponierte Abschnitte 208a und exponierte Abschnitte 208b. Im vorliegenden Beispiel, in dem ein CA Resistmaterial mit PAG verwendet wird, werden in den exponierten Abschnitten 208b während des Belichtungsprozesses Säuren erzeugt. In der latenten Struktur werden die exponierten Abschnitte 208b der Resistschicht 208 physikalisch oder chemisch verändert. In einigen Beispielen wird für die exponierten Abschnitte 208b der Schutz beseitigt, indem eine Polaritätsänderung für Zweiton-Entwicklung (Dual-Tone Imaging) hervorgerufen wird. In anderen Beispielen werden die exponierten Abschnitte 208b in der Polymerisation verändert, wie etwa depolymerisiert, wie bei Positivresist, oder vernetzt, wie bei Negativresist.
  • Es wird auf 1 und 12 Bezug genommen; das Verfahren 100 wird dann mit einem Arbeitsgang 110 fortgesetzt, indem nach dem Belichtungsprozess beim Arbeitsgang 108 und vor den nachfolgenden Arbeitsgängen, wie etwa Post-Belichtungs-Brennen und Entwickeln, der Randabschnitt 204 des Wafers 200 mit einer zweiten Schutzschicht 1202 beschichtet wird. Somit wird der Randabschnitt 204 des Wafers 200 vor eventuellen Verunreinigungen während dieser Arbeitsgänge geschützt. Die zweite Schutzschicht 1202 ist im Wesentlichen der ersten Schutzschicht 206 ähnlich, was Zusammensetzung und Bildung anbelangt. Zum Beispiel wird die chemische Lösung zuerst auf den Randabschnitt 204 des Wafers 200 durch Rotationsbeschichtung aufgebracht und wird anschließend gehärtet, um ein Polymermaterial als die zweite Schutzschicht 1202 auszubilden. Die chemische Lösung enthält eine chemische Mischung einer ALG, einer Löslichkeits-Steuereinheit und eines TAG. Die chemische Lösung umfasst ferner ein geeignetes Lösungsmittel, wie etwa ein organisches Lösungsmittel oder wässriges Lösungsmittel. Ein thermischer Prozess mit einer geeigneten Brenntemperatur löst dann die Freisetzung von Säure durch den TAG aus; die erzeugte Säure reagiert dann mit der ALG, was zur Bildung des Polymermaterials führt.
  • Es wird auf 1 Bezug genommen; das Verfahren 100 wird dann mit einem Arbeitsgang 112 fortgesetzt, indem ein Prozess des Post-Belichtungs-Brennens (Post-Exposure Baking, PEB) des Wafers 200 und insbesondere der auf den Wafer 200 aufgebrachten Resistschicht 208 durchgeführt wird. Während des PEB-Prozesses werden mehr Säuren erzeugt, und die exponierten Abschnitte des Resistmaterials 208 werden chemisch verändert (sie werden zum Beispiel hydrophiler oder hydrophober). Bei einer speziellen Ausführungsform kann der PEB-Prozess in einer Wärmekammer bei einer Temperatur im Bereich zwischen 90 °C und 130 °C durchgeführt werden. Der PEB-Prozess kann eine Dauer von etwa 60 Sekunden haben.
  • Es wird auf 1 und 13 Bezug genommen; das Verfahren 100 wird dann mit einem Arbeitsgang 114 fortgesetzt, indem die exponierte Resistschicht 208 in einem Entwickler entwickelt wird, der gemäß einigen Ausführungsformen konstruiert ist. Durch den Entwicklungsprozess wird eine strukturierte Resistschicht ausgebildet. Bei einigen Ausführungsformen erfährt die Resistschicht 208 eine Polaritätsänderung nach dem Arbeitsgang 106, und es kann ein Zweiton-Entwicklungsprozess implementiert werden. In einigen Beispielen wird die Resistschicht 208 von einem nichtpolaren Zustand (hydrophoben Zustand) zu einem polaren Zustand (hydrophilen Zustand) verändert, danach werden die exponierten Abschnitte 208b durch ein wässriges Lösungsmittel entfernt (Positive Tone Imaging), wie etwa Tetramethylammoniumhydroxid (TMAH), oder, alternativ dazu, werden die nicht exponierten Abschnitte 208a durch ein organisches Lösungsmittel entfernt (Negative Tone Imaging), wie etwa Butylacetat. In einigen anderen Beispielen wird die Resistschicht 208 von einem polaren Zustand zu einem nichtpolaren Zustand verändert, danach werden die exponierten Abschnitte 208b durch ein organisches Lösungsmittel entfernt (Positive Tone Imaging), oder die nicht exponierten Abschnitte 208a werden durch ein wässriges Lösungsmittel entfernt (Negative Tone Imaging).
  • Im vorliegenden Beispiel, das in 13 dargestellt ist, werden die exponierten Abschnitte 208b in dem Prozess des Entwickelns entfernt. Ferner ist in diesem Beispiel in 13 die strukturierte Resistschicht durch zwei Leitungsstrukturen dargestellt. Die nachfolgende Erläuterung trifft jedoch ebenso auf Resiststrukturen zu, die durch Gräben dargestellt sind.
  • Es wird auf 1 und 14 Bezug genommen; das Verfahren 100 wird dann mit einem Arbeitsgang 116 fortgesetzt, indem die zweite Schutzschicht 1201 von dem Wafer durch eine spezielle Entfernungs-Lösung entfernt wird, wie im vorliegenden Beispiel. Der Arbeitsgang 116 ist im Wesentlichen dem Arbeitsgang 106 ähnlich. Zum Beispiel ist die Entfernungs-Lösung dafür ausgelegt, die Schutzschicht 1202 selektiv zu entfernen (welche dieselbe Zusammensetzung wie die erste Schutzschicht 206 hat), die Resistschicht 208 verbleibt nach dem Entfernen der zweiten Schutzschicht 1202. Die zweite Schutzschicht 1202 wird nach dem Entwicklungsprozess im Arbeitsgang 114 entfernt. Insbesondere werden die Arbeitsgänge 114 und 116 nacheinander auf derselben chemischen Stufe (als eine zweite chemische Stufe bezeichnet) des Tracks implementiert, zur Erhöhung der Effizienz und des Fertigungsdurchsatzes. Wenn der Wafer 200 zu der zweiten chemischen Stufe transportiert wird, eine erste Sprühspitze so positioniert, dass sie eine erste Chemikalie (das heißt den Entwickler) auf den auf der zweiten chemischen Stufe befestigten Wafer 200 abgibt, und danach wird eine zweite Sprühspitze so positioniert, dass sie eine zweite Chemikalie (das heißt die Entfernungs-Lösung; spezieller, OK73 bei der vorliegenden Ausführungsform) auf den Wafer 200 abgibt, der zum Entfernen der zweiten Schutzschicht 1202 auf der zweiten chemischen Stufe verbleibt.
  • Es wird nach wie vor auf 1 und 15 Bezug genommen; das Verfahren100 umfasst einen Arbeitsgang 118, indem der Wafer 200 einem Fertigungsprozess unterzogen wird, unter Verwendung der strukturierten Resistschicht als Maske, so dass der Fertigungsprozess nur auf die Abschnitte des Wafers 200 innerhalb der Öffnungen der strukturierten Resistschicht angewendet wird, während andere Abschnitte, die von der strukturierten Resistschicht bedeckt sind, vor Einwirkungen des Fertigungsprozesses geschützt sind. Bei einigen Ausführungsformen umfasst der Fertigungsprozess einen Ätzprozess, der auf den Wafer 200 (eine obere Materialschicht auf dem Wafer) angewendet wird, unter Verwendung der strukturierten Resistschicht als Ätzmaske, wodurch die Struktur von der strukturierten Resistschicht auf den Wafer (oder die obere Materialschicht auf dem Wafer) übertragen wird. Bei alternativen Ausführungsformen umfasst der Fertigungsprozess einen Ionenimplantationsprozess, der auf den Wafer 200 angewendet wird, unter Verwendung der strukturierten Resistschicht als Implantationsmaske, wodurch verschiedene dotierte Merkmale in dem Wafer 200 ausgebildet werden.
  • In einem Beispiel ist die obere Materialschicht eine Hartmaskenschicht. Zur Realisierung dieser Ausführungsform wird die Struktur zuerst von der strukturierten Resistschicht auf die Hartmaskenschicht übertragen, danach auf andere Schichten des Wafers 200. Zum Beispiel kann die Hartmaskenschicht durch Öffnungen der strukturierten Resistschicht hindurch unter Anwendung eines Trockenätz- (Plasmaätz-), eines Nassätz- und/oder anderer Ätzverfahren geätzt werden. Zum Beispiel kann ein Trockenätzprozess ein sauerstoffhaltiges Gas, ein fluorhaltiges Gas, ein chlorhaltiges Gas, ein bromhaltiges Gas, ein iodhaltiges Gas, andere geeignete Gase und/oder Plasmen und/oder Kombinationen davon implementieren. Die strukturierte Resistschicht kann während des Ätzens der Hartmaskenschicht vollständig oder teilweise verbraucht werden. Bei einer Ausführungsform kann ein eventuell verbleibender Abschnitt der strukturierten Resistschicht entfernt werden, wodurch eine strukturierte Hartmaskenschicht über dem Wafer verbleibt.
  • Obwohl in 1 nicht dargestellt, kann das Verfahren 100 auch andere Arbeitsgänge vor den, während der oder nach den oben beschriebenen Arbeitsgängen enthalten. Bei einer Ausführungsform ist der Wafer 200 ein Halbleitersubstrat, und das Verfahren 100 wird mit der Ausbildung von Fin-Feldeffekttransistor- (FinFET-) Strukturen fortgesetzt. Bei dieser Ausführungsform umfasst das Verfahren 100 das Ausbilden einer Vielzahl aktiver Fins (Finnen) in dem Halbleitersubstrat des Wafers. Gemäß der Ausführungsform umfasst der Arbeitsgang 110 ferner das Ätzen des Substrats durch die Öffnungen der strukturierten Hartmaske hindurch, um Gräben in dem Substrat auszubilden; das Füllen der Gräben mit einem dielektrischen Material; das Durchführen eines Prozesses des chemisch-mechanischen Polierens (CMP), um Flachgrabenisolations- (Shallow Trench Isolation, STI-) Merkmale auszubilden; und epitaktisches Aufwachsen oder Vertiefen der STI-Merkmale, um fin-ähnliche aktive Bereiche auszubilden. Bei einer anderen Ausführungsform umfasst das Verfahren 100 andere Arbeitsgänge, um mehrere Gateelektroden auf dem Halbleitersubstrat des Wafers 200 auszubilden. Bei dem Verfahren 100 können ferner Gate-Abstandshalter, dotierte Source/Drain-Bereiche, Kontakte für Gate/Source/Drain-Merkmale usw. ausgebildet werden. Bei einer anderen Ausführungsform ist eine Zielstruktur als Metallleitungen in einer mehrschichtigen Verbindungsstruktur auszubilden. Zum Beispiel können die Metallleitungen in einer Zwischenschichtdielektrikums- (Inter-Layer Dielectric, ILD-) Schicht des Substrats ausgebildet werden, welche durch den Arbeitsgang 118 geätzt worden ist, um mehrere Gräben auszubilden. Das Verfahren 100 wird mit dem Füllen der Gräben mit einem leitfähigen Material, wie etwa einem Metall, fortgesetzt; und mit dem Polieren des leitfähigen Materials unter Anwendung eines Verfahrens, wie etwa chemisch-mechanisches Planarisieren (CMP), um die strukturierte ILD-Schicht freizulegen, wodurch die Metallleitungen in der ILD-Schicht ausgebildet werden. Das Obige sind nicht einschränkende Beispiele von Bauelementen/Strukturen, welche unter Anwendung des Verfahrens 100 gemäß verschiedenen Aspekten der vorliegenden Offenbarung hergestellt und/oder verbessert werden können.
  • Wie oben beschrieben, kann der Halbleiterwafer 200 eine Zwischenstruktur sein, die während der Bearbeitung einer IC oder eines Abschnitts davon hergestellt wird, welche Logikschaltungen, Speicherstrukturen, passive Komponenten (wie etwa Widerstände, Kondensatoren und Induktoren) und aktive Komponenten wie Dioden, Feldeffekttransistoren (FETs), Metall-Oxid-Halbleiter-Feldeffekttransistoren (Metal-Oxide Semiconductor Field Effect Transistors, MOSFETs), komplementäre Metall-Oxid-Halbleiter- (Complementary Metal-Oxide Semiconductor, CMOS-) Transistoren, bipolare Transistoren, Hochspannungstransistoren, Hochfrequenztransistoren, finnenartiges FETs (FinFETs) andere dreidimensionale (3D) FETs, Metall-Oxid-Halbleiter-Feldeffekttransistoren (MOSFETs), komplementäre Metall-Oxid-Halbleiter- (CMOS-) Transistoren, bipolare Transistoren, Hochspannungstransistoren, Hochfrequenztransistoren, andere Speicherzellen und Kombinationen davon.
  • Die vorliegende Offenbarung stellt ein Verfahren für einen Lithographieprozess bereit. Das offenbarte Verfahren umfasst das Beschichten des Waferrandes derart, dass die Beschichtung mit dem Resistmaterial auf der Vorderseite des Wafers auf das Innere des Schaltungsbereichsbegrenzt ist, so dass der Waferrand entweder frei von Resistmaterial während eines lithographischen Strukturierungsverfahrens ist, oder nicht direkt durch Resist beschichtet. Somit wird der Wafer durch die (erste/zweite) Schutzschicht geschützt, um verschiedene Probleme, darunter Metallverunreinigungen, während des Lithographieprozesses zu beseitigen. Speziell wird die Schutzschicht weiterhin vermieden, wenn der Wafer für den Belichtungsprozess an das Lithographiesystem übergeben wird, um Verunreinigungen des Lithographiesystems durch die erste Schutzschicht selbst zu vermeiden.
  • Die Ausführungsformen der vorliegenden Offenbarung bieten Vorteile gegenüber der bekannten Technik; es versteht sich jedoch, dass andere Beispiele andere Vorteile bieten können und nicht alle Vorteile notwendigerweise hier erörtert werden, und dass kein bestimmter Vorteil für alle Beispiele erforderlich ist. Durch Anwendung des offenbarten Verfahrens werden die Ansammlung des Resistmaterials auf dem Waferrand und damit zusammenhängende Probleme (wie etwa Verunreinigung und Ablösen des Resists) beseitigt. In anderen Beispielen kann das offenbarte Verfahren zum Ausbilden eines geschützten Waferrandes leicht implementiert werden, daher werden die Fertigungskosten gesenkt, und der Fertigungsdurchsatz wird erhöht. Weiterhin wird durch die Veränderung des Waferrandes keine zusätzliche Verunreinigung hervorgerufen. In anderen Beispielen werden die verschiedenen Arbeitsgänge (wie etwa die Arbeitsgänge 104 und 105 oder die Arbeitsgänge 114 und 116) zusammen auf ein und derselben Waferstufe des Tracks implementiert, um die Effizienz der Bearbeitung zu verbessern und die Fertigungskosten zu senken.
  • Somit stellt die vorliegende Offenbarung ein Verfahren zur Halbleiterherstellung bereit. Das Verfahren umfasst das Beschichten eines Randabschnitts eines Wafers mit einer ersten chemischen Lösung, die ein chemisches Gemisch aus einer säurelabilen Gruppe, einer Löslichkeits-Steuereinheit und einem thermischen Säuregenerator enthält; das Härten der ersten chemischen Lösung, um eine erste Schutzschicht auf dem Randabschnitt des Wafers auszubilden; das Aufbringen einer Resistschicht auf eine Vorderseite des Wafers; das Entfernen der ersten Schutzschicht durch eine erste Entfernungs-Lösung; und das Durchführen eines Prozesses der Belichtung der Resistschicht.
  • Die vorliegende Offenbarung stellt eine weitere Ausführungsform eines Verfahrens zur lithographischen Strukturierung bereit. Das Verfahren umfasst das Beschichten eines Randabschnitts einer Vorderseite eines Wafers mit einer ersten Schutzschicht aus einem polymeren Material; das Aufbringen einer Resistschicht auf die Vorderseite des Wafers; das Entfernen der ersten Schutzschicht; das Durchführen eines Prozesses der Belichtung der Resistschicht; das Beschichten des Randabschnitts der Vorderseite des Wafers mit einer zweiten Schutzschicht aus dem polymeren Material; das Durchführen eines Prozesses des Post-Belichtungs-Brennens der Resistschicht; das Durchführen eines Prozesses der Entwicklung der Resistschicht, um eine strukturierte Resistschicht auszubilden; und das Entfernen der zweiten Schutzschicht.
  • Die vorliegende Offenbarung stellt eine weitere Ausführungsform eines Verfahrens zur lithographischen Strukturierung bereit. Das Verfahren umfasst das Beschichten eines Randabschnitts eines Wafers mit einer ersten chemischen Lösung, die ein chemisches Gemisch aus einer säurelabilen Gruppe, einer Löslichkeits-Steuereinheit und einem thermischen Säuregenerator enthält; das Härten der ersten chemischen Lösung, um eine erste Schutzschicht auf dem Randabschnitt des Wafers auszubilden; das Aufbringen einer Resistschicht auf eine Vorderseite des Wafers; das Entfernen der ersten Schutzschicht durch eine Entfernungs-Lösung, welche Propylenglykolmethylether (PGME) und Propylenglykolmethyletheracetat (PGMEA) enthält; und anschließend das Durchführen eines Prozesses der Belichtung der Resistschicht.
  • Die vorliegende Offenbarung stellt eine weitere Ausführungsform eines Verfahrens zur lithographischen Strukturierung bereit. Das Verfahren umfasst das Beschichten eines Randabschnitts eines Wafers mit einer ersten chemischen Lösung, die ein chemisches Gemisch aus einer säurelabilen Gruppe, einer Löslichkeits-Steuereinheit und einem thermischen Säuregenerator enthält; das Härten der ersten chemischen Lösung, um eine erste Schutzschicht auf dem Randabschnitt des Wafers auszubilden; das Aufbringen einer Resistschicht auf eine Vorderseite des Wafers; das Entfernen der ersten Schutzschicht durch eine Entfernungs-Lösung, welche Propylenglykolmethylether (PGME) und Propylenglykolmethyletheracetat (PGMEA) enthält; und anschließend das Durchführen eines Prozesses der Belichtung der Resistschicht, wobei die Löslichkeits-Steuereinheit aus Lacton, Ester, Ether, Keton und einer Kombination davon ausgewählt ist.
  • Die vorliegende Offenbarung stellt eine weitere Ausführungsform eines Verfahrens zur lithographischen Strukturierung bereit. Das Verfahren umfasst das Beschichten eines Randabschnitts einer Vorderseite eines Wafers mit einer ersten Schutzschicht aus einem polymeren Material; das Aufbringen einer Resistschicht auf die Vorderseite des Wafers; das Entfernen der ersten Schutzschicht durch eine Entfernungs-Lösung, welche Propylenglykolmethylether (PGME) und Propylenglykolmethyletheracetat (PGMEA) enthält; anschließend das Durchführen eines Prozesses der Belichtung der Resistschicht; das Beschichten des Randabschnitts der Vorderseite des Wafers mit einer zweiten Schutzschicht aus dem polymeren Material; das Durchführen eines Prozesses des Post-Belichtungs-Brennens der Resistschicht; anschließend das Durchführen eines Prozesses der Entwicklung der Resistschicht, um eine strukturierte Resistschicht auszubilden; und das Entfernen der zweiten Schutzschicht durch die Entfernungs-Lösung, welche PGME und PGMEA enthält.
  • Im Obigen wurden Merkmale verschiedener Beispiele dargelegt. Für Fachleute sollte klar sein, dass sie die vorliegende Offenbarung in einfacher Weise als Grundlage zum Entwickeln oder Modifizieren anderer Prozesse und Strukturen zum Bewirken der gleichen Zwecke und/oder Erzielen der gleichen Vorteile der hier vorgestellten Beispiele verwenden können. Für Fachleute sollte außerdem klar sein, dass solche äquivalenten Konstruktionen nicht von der Grundidee und vom Schutzumfang der vorliegenden Offenbarung abweichen, und dass sie verschiedene Änderungen, Substitutionen und Modifikationen daran vornehmen können, ohne von der Grundidee und vom Schutzumfang der vorliegenden Offenbarung abzuweichen.
  • Gemäß einem ersten Aspekt wird ein Verfahren bereitgestellt, wobei das Verfahren umfasst: Beschichten eines Randabschnitts eines Wafers mit einer ersten chemischen Lösung, die ein chemisches Gemisch aus einer säurelabilen Gruppe, einer Löslichkeits-Steuereinheit und einem thermischen Säuregenerator enthält; Härten der ersten chemischen Lösung, um eine erste Schutzschicht auf dem Randabschnitt des Wafers auszubilden; Aufbringen einer Resistschicht auf eine Vorderseite des Wafers; Entfernen der ersten Schutzschicht durch eine erste Entfernungs-Lösung; und Durchführen eines Prozesses der Belichtung der Resistschicht, wobei der Prozess der Belichtung der Resistschicht nach dem Entfernen der ersten Schutzschicht durchgeführt wird.
  • Bei verschiedenen Ausführungsformen umfasst das Beschichten des Randabschnitts des Wafers das Sprühbeschichten des Randabschnitts des Wafers mit der ersten chemischen Lösung; und das Härten der ersten chemischen Lösung umfasst das Durchführen eines ersten Brennprozess der ersten chemischen Lösung, wobei das Sprühbeschichten mit der ersten chemischen Lösung das Zuführen der ersten chemischen Lösung zum Randabschnitt des Wafers unter Verwendung eines Sprühkopfes, der so gestaltet ist, dass er auf den Randabschnitt des Wafers zielt, und gleichzeitig das Bewirken einer Rotation des Wafers während der Zuführung der ersten chemischen Lösung umfasst.
  • Ferner kann der erste Brennprozess eine Brenntemperatur aufweisen, welche in der Lage ist, die Freisetzung von Säure durch den thermischen Säuregenerator auszulösen.
  • Bei verschiedenen Ausführungsformen ist die erste Entfernungs-Lösung dazu bestimmt, die Löslichkeits-Steuereinheit aufzulösen, wobei die erste Entfernungs-Lösung 70 % Propylenglykolmethylether (PGME) und 30 % Propylenglykolmethyletheracetat (PGMEA) enthält.
  • Bei verschiedenen Ausführungsformen ist die Löslichkeits-Steuereinheit aus Lacton, Ester, Ether, Keton und einer Kombination davon ausgewählt.
  • Das Verfahren kann ferner das Beschichten des Randabschnitts des Wafers mit einer zweiten chemischen Lösung, die das chemische Gemisch enthält, nach dem Durchführen des Prozesses der Belichtung der Resistschicht; und das Härten der zweiten chemischen Lösung, um eine zweite Schutzschicht auf dem Randabschnitt des Wafers auszubilden, umfassen.
  • Das Verfahren kann ferner das Durchführen eines Prozesses des Post-Belichtungs-Brennens der belichteten Resistschicht; das Entwickeln der belichteten Resistschicht, um eine strukturierte Resistschicht auszubilden; und das Entfernen der zweiten Schutzschicht durch eine zweite Entfernungs-Lösung, welche 70 % PGME und 30 % PGMEA enthält, umfassen.
  • Bei verschiedenen Ausführungsformen wird das Entwickeln der belichteten Resistschicht implementiert, wenn der Wafer auf einer ersten Waferstufe eines Track-Werkzeugs positioniert ist; und das Entfernen der zweiten Schutzschicht wird danach implementiert, wenn der Wafer auf der ersten Waferstufe des Track-Werkzeugs positioniert ist.
  • Bei verschiedenen Ausführungsformen wird das Beschichten der Resistschicht implementiert, wenn der Wafer auf einer zweiten Waferstufe des Track-Werkzeugs positioniert ist; und das Entfernen der ersten Schutzschicht wird danach implementiert, wenn der Wafer auf der zweiten Waferstufe des Track-Werkzeugs positioniert ist.
  • Bei verschiedenen Ausführungsformen weist die erste Schutzschicht eine Dicke im Bereich zwischen 50 nm und 100 nm auf; und die Resistschicht weist eine Dicke im Bereich zwischen 15 nm und 25 nm auf.
  • Bei verschiedenen Ausführungsformen weist die säurelabile Gruppe ein t-Butoxycarbonyl (tBOC) auf; und der thermische Säuregenerator ist aus NH4 +C4F9SO3 - und NH4 +CF3SO3 - ausgewählt.
  • Das Verfahren kann ferner nach dem Belichtungsprozess das Durchführen eines Prozesses der Entwicklung der Resistschicht, um eine strukturierte Resistschicht auszubilden; und das Anwenden eines Fertigungsprozesses auf die Vorderfläche des Wafers durch Öffnungen der strukturierten Resistschicht hindurch umfassen.
  • Gemäß einem anderen Aspekt wird ein Verfahren bereitgestellt, wobei das Verfahren das Beschichten eines Randabschnitts einer Vorderseite eines Wafers mit einer ersten Schutzschicht aus einem polymeren Material; das Aufbringen einer Resistschicht auf die Vorderseite des Wafers; das Entfernen der ersten Schutzschicht; das Durchführen eines Prozesses der Belichtung der Resistschicht, wobei der Prozess der Belichtung der Resistschicht nach dem Entfernen der ersten Schutzschicht durchgeführt wird; das Beschichten des Randabschnitts der Vorderseite des Wafers mit einer zweiten Schutzschicht aus dem polymeren Material; das Durchführen eines Prozesses des Post-Belichtungs-Brennens der Resistschicht; das Durchführen eines Prozesses der Entwicklung der Resistschicht, um eine strukturierte Resistschicht auszubilden; und das Entfernen der zweiten Schutzschicht umfasst, wobei das Entfernen der zweiten Schutzschicht nach dem Prozess der Entwicklung der Resistschicht durchgeführt wird.
  • Bei verschiedenen Ausführungsformen umfasst das Beschichten des Randabschnitts des Wafers mit der ersten Schutzschicht das Sprühbeschichten des Randabschnitts des Wafers mit einer ersten chemischen Lösung; und das Härten der ersten chemischen Lösung durch einen ersten Brennprozess, um die erste Schutzschicht auszubilden.
  • Bei verschiedenen Ausführungsformen umfasst das Beschichten des Randabschnitts des Wafers mit der zweiten Schutzschicht das Sprühbeschichten des Randabschnitts des Wafers mit einer zweiten chemischen Lösung; und das Härten der zweiten chemischen Lösung durch einen zweiten Brennprozess, um die zweite Schutzschicht auszubilden, wobei die erste und die zweite chemische Lösung jeweils eine säurelabile Gruppe, eine Löslichkeits-Steuereinheit und einem thermischen Säuregenerator umfassen; und der erste und zweite Brennprozess jeweils eine Brenntemperatur aufweisen, welche in der Lage ist, eine Freisetzung von Säure durch den thermischen Säuregenerator auszulösen.
  • Bei verschiedenen Ausführungsformen wird zum Entfernen der ersten Schutzschicht und Entfernen der zweiten Schutzschicht jeweils eine Entfernungs-Lösung verwendet, die dafür ausgelegt ist, die Löslichkeits-Steuereinheit aufzulösen, wobei die Entfernungs-Lösung Propylenglykolmethylether (PGME) und Propylenglykolmethyletheracetat (PGMEA) enthält.
  • Bei verschiedenen Ausführungsformen ist die Löslichkeits-Steuereinheit aus Lacton, Ester, Ether, Keton und einer Kombination davon ausgewählt.
  • Gemäß einem weiteren Aspekt wird ein Verfahren bereitgestellt, wobei das Verfahren umfasst: Beschichten eines Randabschnitts eines Wafers mit einer ersten chemischen Lösung, die ein chemisches Gemisch aus einer säurelabilen Gruppe, einer Löslichkeits-Steuereinheit und einem thermischen Säuregenerator enthält; Härten der ersten chemischen Lösung, um eine erste Schutzschicht auf dem Randabschnitt des Wafers auszubilden; Aufbringen einer Resistschicht auf eine Vorderseite des Wafers; Entfernen der ersten Schutzschicht durch eine Entfernungs-Lösung, welche Propylenglykolmethylether (PGME) und Propylenglykolmethyletheracetat (PGMEA) enthält; und anschließend Durchführen eines Prozesses der Belichtung der Resistschicht.
  • Das Verfahren kann ferner das Beschichten des Randabschnitts des Wafers mit einer zweiten chemischen Lösung, die das chemische Gemisch enthält, nach dem Durchführen des Prozesses der Belichtung der Resistschicht; und das Härten der zweiten chemischen Lösung, um eine zweite Schutzschicht auf dem Randabschnitt des Wafers auszubilden, umfassen.
  • Das Verfahren kann ferner umfassen: Durchführen eines Prozesses des Post-Belichtungs-Brennens der belichteten Resistschicht nach dem Härten der zweiten chemischen Lösung, um eine zweite Schutzschicht auf dem Randabschnitt des Wafers auszubilden; Entwickeln der belichteten Resistschicht, um eine strukturierte Resistschicht auszubilden; und Entfernen der zweiten Schutzschicht durch eine zweite Entfernungs-Lösung, welche PGME und PGMEA enthält.
  • Bei verschiedenen Beispielen wird das Entwickeln der belichteten Resistschicht implementiert, wenn der Wafer auf einer ersten Waferstufe eines Track-Werkzeugs positioniert ist; und das Entfernen der zweiten Schutzschicht wird danach implementiert, wenn der Wafer auf der ersten Waferstufe des Track-Werkzeugs positioniert ist.
  • Bei verschiedenen Beispielen wird das Beschichten der Resistschicht implementiert, wenn der Wafer auf einer zweiten Waferstufe des Track-Werkzeugs positioniert ist; und das Entfernen der ersten Schutzschicht wird danach implementiert, wenn der Wafer auf der zweiten Waferstufe des Track-Werkzeugs positioniert ist.
  • Bei verschiedenen Beispielen weist die erste Schutzschicht eine Dicke im Bereich zwischen 50 nm und 100 nm auf; und die Resistschicht weist eine Dicke im Bereich zwischen 15 nm und 25 nm auf.
  • Bei verschiedenen Beispielen ist die Löslichkeits-Steuereinheit aus Lacton, Ester, Ether, Keton und einer Kombination davon ausgewählt.
  • Bei verschiedenen Beispielen weist die säurelabile Gruppe ein t-Butoxycarbonyl (tBOC) auf; und der thermische Säuregenerator ist aus NH4 +C4F9SO3 - und NH4 +CF3SO3 - ausgewählt.
  • Gemäß einem weiteren Aspekt wird ein Verfahren bereitgestellt, wobei das Verfahren umfasst: Beschichten eines Randabschnitts eines Wafers mit einer ersten chemischen Lösung, die ein chemisches Gemisch aus einer säurelabilen Gruppe, einer Löslichkeits-Steuereinheit und einem thermischen Säuregenerator enthält; Härten der ersten chemischen Lösung, um eine erste Schutzschicht auf dem Randabschnitt des Wafers auszubilden; Aufbringen einer Resistschicht auf eine Vorderseite des Wafers; Entfernen der ersten Schutzschicht durch eine Entfernungs-Lösung, welche Propylenglykolmethylether (PGME) und Propylenglykolmethyletheracetat (PGMEA) enthält; und anschließend Durchführen eines Prozesses der Belichtung der Resistschicht, wobei die Löslichkeits-Steuereinheit aus Lacton, Ester, Ether, Keton und einer Kombination davon ausgewählt ist.
  • Gemäß einem weiteren Aspekt wird ein Verfahren bereitgestellt, wobei das Verfahren umfasst: Beschichten eines Randabschnitts einer Vorderseite eines Wafers mit einer ersten Schutzschicht aus einem polymeren Material; Aufbringen einer Resistschicht auf die Vorderseite des Wafers; Entfernen der ersten Schutzschicht durch eine Entfernungs-Lösung, welche Propylenglykolmethylether (PGME) und Propylenglykolmethyletheracetat (PGMEA) enthält; anschließend Durchführen eines Prozesses der Belichtung der Resistschicht; Beschichten des Randabschnitts der Vorderseite des Wafers mit einer zweiten Schutzschicht aus dem polymeren Material; Durchführen eines Prozesses des Post-Belichtungs-Brennens der Resistschicht; anschließend Durchführen eines Prozesses der Entwicklung der Resistschicht, um eine strukturierte Resistschicht auszubilden; und Entfernen der zweiten Schutzschicht durch die Entfernungs-Lösung, welche PGME und PGMEA enthält.
  • Bei verschiedenen Beispielen wird das Entwickeln der belichteten Resistschicht implementiert, wenn der Wafer auf einer ersten Waferstufe eines Track-Werkzeugs positioniert ist; das Entfernen der zweiten Schutzschicht wird danach implementiert, wenn der Wafer auf der ersten Waferstufe des Track-Werkzeugs positioniert ist; das Beschichten der Resistschicht wird implementiert, wenn der Wafer auf einer zweiten Waferstufe des Track-Werkzeugs positioniert ist; und das Entfernen der ersten Schutzschicht wird danach implementiert, wenn der Wafer auf der zweiten Waferstufe des Track-Werkzeugs positioniert ist.
  • Gemäß einem weiteren Aspekt wird ein Verfahren bereitgestellt, wobei das Verfahren umfasst: Beschichten eines Randabschnitts einer Vorderseite eines Wafers mit einer ersten chemischen Lösung, die ein chemisches Gemisch aus einer säurelabilen Gruppe, einer Löslichkeits-Steuereinheit und einem thermischen Säuregenerator enthält; Härten der ersten chemischen Lösung, um eine erste Schutzschicht auf dem Randabschnitt des Wafers auszubilden; Aufbringen einer Resistschicht auf die Vorderseite des Wafers; danach Entfernen der ersten Schutzschicht durch eine Entfernungs-Lösung, welche Propylenglykolmethylether (PGME) und Propylenglykolmethyletheracetat (PGMEA) enthält; Durchführen eines Prozesses der Belichtung der Resistschicht; Beschichten des Randabschnitts der Vorderseite des Wafers mit einer zweiten chemischen Lösung, die das chemische Gemisch enthält; Härten der zweiten chemischen Lösung, um eine zweite Schutzschicht auf dem Randabschnitt des Wafers auszubilden; Durchführen eines Prozesses des Post-Belichtungs-Brennens der Resistschicht; Durchführen eines Prozesses der Entwicklung der Resistschicht, um eine strukturierte Resistschicht auszubilden; und Entfernen der zweiten Schutzschicht durch die Entfernungs-Lösung.

Claims (15)

  1. Verfahren (100), welches umfasst: Beschichten (102) eines Randabschnitts eines Wafers mit einer ersten chemischen Lösung, die ein chemisches Gemisch aus einer säurelabilen Gruppe, einer Löslichkeits-Steuereinheit und einem thermischen Säuregenerator enthält; Härten der ersten chemischen Lösung, um eine erste Schutzschicht auf dem Randabschnitt des Wafers auszubilden; Aufbringen (104) einer Resistschicht auf eine Vorderseite des Wafers; Entfernen (106) der ersten Schutzschicht durch eine erste Entfernungs-Lösung; und Durchführen (108) eines Prozesses der Belichtung der Resistschicht, wobei der Prozess der Belichtung der Resistschicht nach dem Entfernen der ersten Schutzschicht durchgeführt wird.
  2. Verfahren (100) nach Anspruch 1, wobei das Beschichten (102) des Randabschnitts des Wafers das Sprühbeschichten des Randabschnitts des Wafers mit der ersten chemischen Lösung umfasst, und das Härten der ersten chemischen Lösung das Durchführen eines ersten Brennprozesses der ersten chemischen Lösung umfasst.
  3. Verfahren (100) nach Anspruch 2, wobei das Sprühbeschichten mit der ersten chemischen Lösung umfasst: Zuführen der ersten chemischen Lösung zum Randabschnitt des Wafers unter Verwendung eines Sprühkopfes, der so gestaltet ist, dass er auf den Randabschnitt des Wafers zielt, und gleichzeitig das Bewirken einer Rotation des Wafers während der Zuführung der ersten chemischen Lösung.
  4. Verfahren (100) nach Anspruch 2 oder 3, wobei der erste Brennprozess eine Brenntemperatur aufweist, welche in der Lage ist, die Freisetzung von Säure durch den thermischen Säuregenerator auszulösen.
  5. Verfahren (100) nach einem der vorhergehenden Ansprüche, welches ferner umfasst: Beschichten (110) des Randabschnitts des Wafers mit einer zweiten chemischen Lösung, die das chemische Gemisch enthält, nach dem Durchführen des Prozesses der Belichtung der Resistschicht; und Härten der zweiten chemischen Lösung, um eine zweite Schutzschicht auf dem Randabschnitt des Wafers auszubilden.
  6. Verfahren (100) nach Anspruch 5, welches ferner umfasst: Durchführen (112) eines Prozesses des Post-Belichtungs-Brennens der belichteten Resistschicht; Entwickeln (114) der belichteten Resistschicht, um eine strukturierte Resistschicht auszubilden; und Entfernen (116) der zweiten Schutzschicht durch eine zweite Entfernungs-Lösung.
  7. Verfahren (100) nach Anspruch 5 oder 6, wobei das Entwickeln (114) der belichteten Resistschicht implementiert wird, wenn der Wafer auf einer ersten Waferstufe eines Track-Werkzeugs positioniert ist; und das Entfernen (116) der zweiten Schutzschicht danach implementiert wird, wenn der Wafer auf der ersten Waferstufe des Track-Werkzeugs positioniert ist.
  8. Verfahren (100) nach Anspruch 7, wobei das Beschichten der Resistschicht implementiert wird, wenn der Wafer auf einer zweiten Waferstufe des Track-Werkzeugs positioniert ist; und das Entfernen der ersten Schutzschicht danach implementiert wird, wenn der Wafer auf der zweiten Waferstufe des Track-Werkzeugs positioniert ist.
  9. Verfahren (100) nach einem der vorhergehenden Ansprüche, wobei die erste Schutzschicht eine Dicke im Bereich zwischen 50 nm und 100 nm aufweist; und die Resistschicht eine Dicke im Bereich zwischen 15 nm und 25 nm aufweist.
  10. Verfahren (100) nach einem der vorhergehenden Ansprüche, wobei die säurelabile Gruppe ein t-Butoxycarbonyl aufweist; und der thermische Säuregenerator aus NH4+C4F9SO3 - und NH4 +CF3SO3 - ausgewählt ist.
  11. Verfahren (100), welches umfasst: Beschichten (102) eines Randabschnitts einer Vorderseite eines Wafers mit einer ersten Schutzschicht aus einem polymeren Material; Aufbringen (104) einer Resistschicht auf die Vorderseite des Wafers; Entfernen (106) der ersten Schutzschicht; Durchführen (108) eines Prozesses der Belichtung der Resistschicht, wobei der Prozess der Belichtung der Resistschicht nach dem Entfernen der ersten Schutzschicht durchgeführt wird, Beschichten (110) des Randabschnitts der Vorderseite des Wafers mit einer zweiten Schutzschicht aus dem polymeren Material; Durchführen (112) eines Prozesses des Post-Belichtungs-Brennens der Resistschicht, Durchführen (114) eines Prozesses der Entwicklung der Resistschicht, um eine strukturierte Resistschicht auszubilden; und Entfernen (116) der zweiten Schutzschicht, wobei das Entfernen der zweiten Schutzschicht nach dem Prozess der Entwicklung der Resistschicht durchgeführt wird.
  12. Verfahren (100) nach Anspruch 11, wobei das Beschichten (102) des Randabschnitts des Wafers mit der ersten Schutzschicht umfasst: Sprühbeschichten des Randabschnitts des Wafers mit einer ersten chemischen Lösung, und Härten der ersten chemischen Lösung durch einen ersten Brennprozess, um die erste Schutzschicht auszubilden; und/oder wobei das Beschichten (110) des Randabschnitts des Wafers mit der zweiten Schutzschicht umfasst: Sprühbeschichten des Randabschnitts des Wafers mit einer zweiten chemischen Lösung, und Härten der zweiten chemischen Lösung durch einen zweiten Brennprozess, um die zweite Schutzschicht auszubilden, wobei die erste und die zweite chemische Lösung jeweils eine säurelabile Gruppe, eine Löslichkeits-Steuereinheit und einen thermischen Säuregenerator umfassen; und der erste und zweite Brennprozess jeweils eine Brenntemperatur aufweisen, welche in der Lage ist, eine Freisetzung von Säure durch den thermischen Säuregenerator auszulösen.
  13. Verfahren (100) nach einem der vorhergehenden Ansprüche, wobei die erste und/oder die zweite Entfernungs-Lösung dafür ausgelegt sind, die Löslichkeits-Steuereinheit aufzulösen, wobei die Entfernungs-Lösung Propylenglykolmethylether und Propylenglykolmethyletheracetat enthält.
  14. Verfahren (100) nach Anspruch 13, wobei die erste und/oder die zweite Entfernungs-Lösung 70 % Propylenglykolmethylether und 30 % Propylenglykolmethyletheracetat enthält.
  15. Verfahren (100) nach einem der vorhergehenden Ansprüche, wobei die Löslichkeits-Steuereinheit aus Lacton, Ester, Ether, Keton und einer Kombination davon ausgewählt ist.
DE102017127260.7A 2017-08-24 2017-11-20 Halbleiterverfahren zum Schutz von Wafern vor Verunreinigung der Abschrägung Active DE102017127260B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/685,908 2017-08-24
US15/685,908 US10073347B1 (en) 2017-08-24 2017-08-24 Semiconductor method of protecting wafer from bevel contamination

Publications (2)

Publication Number Publication Date
DE102017127260A1 DE102017127260A1 (de) 2019-02-28
DE102017127260B4 true DE102017127260B4 (de) 2023-08-10

Family

ID=63406256

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017127260.7A Active DE102017127260B4 (de) 2017-08-24 2017-11-20 Halbleiterverfahren zum Schutz von Wafern vor Verunreinigung der Abschrägung

Country Status (5)

Country Link
US (3) US10073347B1 (de)
KR (1) KR102005640B1 (de)
CN (1) CN109427553B (de)
DE (1) DE102017127260B4 (de)
TW (1) TWI662369B (de)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11605538B2 (en) * 2018-10-31 2023-03-14 Taiwan Semiconductor Manufacturing Co., Ltd. Protective composition and method of forming photoresist pattern
US11043378B2 (en) * 2018-11-13 2021-06-22 Tokyo Electron Limited Systems and methods for inhibiting detectivity, metal particle contamination, and film growth on wafers
US11121238B2 (en) 2018-11-29 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11289376B2 (en) * 2019-07-31 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd Methods for forming self-aligned interconnect structures
JP7356847B2 (ja) * 2019-09-03 2023-10-05 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US11626285B2 (en) 2019-09-10 2023-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
US11664213B2 (en) 2019-12-26 2023-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Bevel edge removal methods, tools, and systems
CN116209715A (zh) * 2020-10-08 2023-06-02 Jsr株式会社 保护膜形成用组合物、保护膜、保护膜的形成方法、及基板的制造方法
US20220291587A1 (en) * 2021-03-10 2022-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090201485A1 (en) 2007-11-06 2009-08-13 Asml Netherlands B.V. Method of preparing a substrate for lithography, a substrate, a device manufacturing method, a sealing coating applicator and a sealing coating measurement apparatus
US20090239179A1 (en) 2008-03-18 2009-09-24 Takeshi Kinsho Hydroxyl-containing monomer, polymer, resist composition, and patterning process
US20170032961A1 (en) 2015-07-29 2017-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method and Apparatus of Patterning A Semiconductor Device
US9711367B1 (en) 2016-06-01 2017-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor method with wafer edge modification

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6713236B2 (en) * 2002-07-03 2004-03-30 Infineon Technologies North America Corp. Lithography method for preventing lithographic exposure of peripheral region of semiconductor wafer
KR100791353B1 (ko) * 2005-05-20 2008-01-07 삼성전기주식회사 영구보호피막의 형성방법 및 영구보호피막이 형성된인쇄회로기판
DE102006030266A1 (de) * 2006-06-30 2008-01-03 Advanced Micro Devices, Inc., Sunnyvale Verringern der Kontamination von Halbleitersubstraten während der Metallisierungsbearbeitung durch Bereitstellen einer Schutzschicht am Substratrand
KR20090017120A (ko) * 2007-08-14 2009-02-18 삼성전자주식회사 감광성 조성물을 이용한 블로킹 패턴의 형성 방법 및반도체 장치의 제조 방법
KR101522903B1 (ko) * 2008-08-11 2015-05-28 삼성전자주식회사 신너 조성물 및 이를 이용한 감광막의 형성 방법
KR20110065029A (ko) * 2009-12-09 2011-06-15 엘지이노텍 주식회사 인쇄회로기판 및 그 제조방법
KR101896555B1 (ko) * 2011-08-26 2018-09-10 삼성전기주식회사 인쇄회로기판 및 인쇄회로기판 제조 방법
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
KR102310637B1 (ko) * 2015-01-12 2021-10-08 삼성전자주식회사 씬너 조성물 및 이를 이용한 반도체 장치의 제조 방법
US9536759B2 (en) 2015-05-29 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd Baking apparatus and method
TWI804224B (zh) * 2016-08-12 2023-06-01 美商英培雅股份有限公司 減少邊緣珠區域中來自含金屬光阻劑之金屬殘留物的方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090201485A1 (en) 2007-11-06 2009-08-13 Asml Netherlands B.V. Method of preparing a substrate for lithography, a substrate, a device manufacturing method, a sealing coating applicator and a sealing coating measurement apparatus
US20090239179A1 (en) 2008-03-18 2009-09-24 Takeshi Kinsho Hydroxyl-containing monomer, polymer, resist composition, and patterning process
US20170032961A1 (en) 2015-07-29 2017-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method and Apparatus of Patterning A Semiconductor Device
US9711367B1 (en) 2016-06-01 2017-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor method with wafer edge modification

Also Published As

Publication number Publication date
US11137685B2 (en) 2021-10-05
KR102005640B1 (ko) 2019-07-30
TW201913723A (zh) 2019-04-01
DE102017127260A1 (de) 2019-02-28
KR20190022260A (ko) 2019-03-06
US10073347B1 (en) 2018-09-11
TWI662369B (zh) 2019-06-11
US10635000B2 (en) 2020-04-28
CN109427553A (zh) 2019-03-05
CN109427553B (zh) 2020-12-29
US20200257203A1 (en) 2020-08-13
US20190064669A1 (en) 2019-02-28

Similar Documents

Publication Publication Date Title
DE102017127260B4 (de) Halbleiterverfahren zum Schutz von Wafern vor Verunreinigung der Abschrägung
DE102015116964B4 (de) Verfahren zur Lithografie-Strukturierung
DE102006045459B4 (de) Material zur Verhinderung von Wasser-Markierungsdefekten und Verfahren für die Immersions-Lithographie
KR100268292B1 (ko) 혼성포토레지스트조성물과그제조방법상기조성물을이용한집적회로칩의제조방법및이방법에의해제조된집적회로칩
DE10049831B4 (de) Photoresist-Strippermittel und Verfahren zum Strippen von Photoresistaufträgen unter Verwendung des Mittels
DE19843179A1 (de) Verfahren zum Herstellen einer Halbleitervorrichtungund dadurch hergestellte Halbleitervorrichtung
JP2007057967A (ja) レジストパターン厚肉化材料、レジストパターンの形成方法、半導体装置及びその製造方法
DE102010000033A1 (de) Verfahren zum Herstellen eines Halbleiterbauelements
DE10014083A1 (de) Verfahren zum Herstellen einer Halbleitervorrichtung
DE102007035766A1 (de) Resiststruktur-Verdickungsmarerial, Verfahren zum Ausbilden einer Resiststruktur, Halbleitervorrichtung und Verfahren zum Herstellen derselben
DE102019128448A1 (de) Schutzzusammensetzung und Verfahren zum Bilden einer Photoresiststruktur
DE112014001478T5 (de) Nassablöseprozess für eine antireflektierende Beschichtungsschicht
CN106324998A (zh) 光刻图形的形成方法
US20200064740A1 (en) Method and Apparatus of Patterning a Semiconductor Device
DE102016119016A1 (de) Lithografisches Strukturieren mit einer flexiblen Lösungseinstellung
DE102020131427B4 (de) Photoresistzusammensetzung und Herstellungsverfahren von Photoresiststruktur
DE69415056T2 (de) Photolithographische Teststrukturen
DE102007035767A1 (de) Resistdeckfilm ausbildendes Material, Ausbildungsverfahren für Resiststruktur, und elektronische Vorrichtung und Verfahren zum Herstellen derselben
DE102021104509A1 (de) Fotolack für halbleiterfertigung
CN104471487B (zh) 用于制造集成电路装置、光学装置、微机械及机械精密装置的组合物
DE102019134535A1 (de) Materialien für unteren antireflexbelag
CN113314402A (zh) 光阻剂组成物与制造半导体装置的方法
DE19857094A1 (de) Verfahren zum Herstellen einer Halbleitervorrichtung und dadurch hergestellte Halbleitervorrichtung
DE102014119634B4 (de) Verfahren zum herstellen von halbleitervorrichtungen und photolitographiematerial
DE102020107358A1 (de) Negativton-fotoresist für euv-lithografie

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final