DE102013208519B3 - Verfahren zur Erzeugung eines Signals - Google Patents

Verfahren zur Erzeugung eines Signals Download PDF

Info

Publication number
DE102013208519B3
DE102013208519B3 DE102013208519.2A DE102013208519A DE102013208519B3 DE 102013208519 B3 DE102013208519 B3 DE 102013208519B3 DE 102013208519 A DE102013208519 A DE 102013208519A DE 102013208519 B3 DE102013208519 B3 DE 102013208519B3
Authority
DE
Germany
Prior art keywords
phase
signal
angle
frequency
determined
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE102013208519.2A
Other languages
English (en)
Inventor
Nikolaus Demharter
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Siemens Healthcare GmbH
Original Assignee
Siemens AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Siemens AG filed Critical Siemens AG
Priority to DE102013208519.2A priority Critical patent/DE102013208519B3/de
Priority to US14/272,879 priority patent/US9760337B2/en
Application granted granted Critical
Publication of DE102013208519B3 publication Critical patent/DE102013208519B3/de
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F5/00Methods or arrangements for data conversion without changing the order or content of the data handled
    • G06F5/01Methods or arrangements for data conversion without changing the order or content of the data handled for shifting, e.g. justifying, scaling, normalising
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R33/00Arrangements or instruments for measuring magnetic variables
    • G01R33/20Arrangements or instruments for measuring magnetic variables involving magnetic resonance
    • G01R33/28Details of apparatus provided for in groups G01R33/44 - G01R33/64
    • G01R33/32Excitation or detection systems, e.g. using radio frequency signals
    • G01R33/36Electrical details, e.g. matching or coupling of the coil to the receiver
    • G01R33/3607RF waveform generators, e.g. frequency generators, amplitude-, frequency- or phase modulators or shifters, pulse programmers, digital to analog converters for the RF signal, means for filtering or attenuating of the RF signal
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61BDIAGNOSIS; SURGERY; IDENTIFICATION
    • A61B5/00Measuring for diagnostic purposes; Identification of persons
    • A61B5/05Detecting, measuring or recording for diagnosis by means of electric currents or magnetic fields; Measuring using microwaves or radio waves 
    • A61B5/055Detecting, measuring or recording for diagnosis by means of electric currents or magnetic fields; Measuring using microwaves or radio waves  involving electronic [EMR] or nuclear [NMR] magnetic resonance, e.g. magnetic resonance imaging

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Engineering & Computer Science (AREA)
  • Magnetic Resonance Imaging Apparatus (AREA)

Abstract

Ein Verfahren zur Erzeugung eines Signals für eine Sendeantenne (4) in einem Magnetresonanztomographiesystem (2), umfassend die Verfahrensschritte: – Erzeugung von Real- und Imaginärteil eines Basisbandsignals, – Erzeugung von Real- und Imaginärteil von Frequenz- und Phasenvariationen, – komplexe Multiplikation des Basisbandsignals mit den Frequenz- und Phasenvariationen und einem hochfrequenten Trägersignal zur Modulation, – Modifizierung des modulierten Signales, soll eine zuverlässige Signalerzeugung bei gleichzeitig geringem technischen Aufwand ermöglichen. Dazu umfasst es die weiteren Verfahrensschritte: – Ermitteln eines für eine Phasenverschiebung des modifizierten Signales charakteristischen Winkels, und – Korrektur der Modulation auf Basis des ermittelten Winkels in der Art einer Regelung.

Description

  • Die Erfindung betrifft ein Verfahren zur Erzeugung eines Signals für eine Sendeantenne in einem Magnetresonanztomographiesystem, umfassend die Verfahrensschritte:
    • – Erzeugung von Real- und Imaginärteil eines Basisbandsignals,
    • – Erzeugung von Real- und Imaginärteil von Frequenz- und Phasenvariationen,
    • – komplexe Multiplikation des Basisbandsignals mit den Frequenz- und Phasenvariationen und einem hochfrequenten Trägersignal zur Modulation,
    • – Modifizierung des modulierten Signales.
  • Ein derartiges Verfahren zur Erzeugung eines Signals für eine Sendeantenne in einem Magnetresonanztomographiesystem ist aus der US 5 442 292 A (vgl. insbesondere die 4 bis 6 mit zugehöriger Beschreibung) bekannt. Aus der DE 10 2010 035 918 A1 (vgl. insbesondere die 1 mit zugehöriger Beschreibung) ist zudem ein Verfahren zur Erzeugung eines Signals für eine Sendeantenne in einem Magnetresonanztomographiesystem bekannt, wobei eine Regelung ausgebildet ist, die eine Entkopplung und damit eine voneinander unabhängige Regelung des Real- und Imaginärteils eines Basisbandsignals erlaubt.
  • Mit der Magnetresonanztomographie (MRT) kann man Schnittbilder des menschlichen (oder tierischen) Körpers erzeugen, die eine Beurteilung der Organe und vieler krankhafter Organveränderungen erlauben. Sie basiert auf – in einem Magnetresonanztomographie-(MRT-)System erzeugten – sehr starken Magnetfeldern sowie magnetischen Wechselfeldern im Radiofrequenzbereich, mit denen bestimmte Atomkerne (meist die Wasserstoffkerne/Protonen) im Körper resonant angeregt werden, wodurch in einem Empfängerstromkreis ein elektrisches Signal induziert wird.
  • MRT-Systeme weisen üblicherweise einen Sender auf, der dazu vorgesehen ist, ein im Wesentlichen homogenes Hochfrequenzfeld zum Anregen des Kernspins zu generieren. Die zugehörige Sendeantenne, die auch als „Body Coil” bezeichnet wird, ist üblicherweise in Magneten und Gradientenspulen fest eingebaut. Beispielhaft sei hier die so genannte „Birdcage”-Antenne als Bauweise genannt, die eine zylindrische Form aufweist und im Wesentlichen aus zwei Ringen besteht, die über eine Anzahl gleichmäßig beabstandeter, parallel angeordneter Antennenstäbe miteinander verbunden sind. Anschlusspunkte der Antennenstäbe auf den Ringen sind stets über einen Kondensator miteinander verbunden. Die Kapazitäten der Kondensatoren sind so gewählt, dass die Antennenanordnung bei der Untersuchungsfrequenz, typischerweise zwischen 60 und 125 MHz resonant ist.
  • Zur Ortsauflösung der Signale ist eine Frequenz- und Phasenkodierung in den über die Sendeantenne gesendeten Pulssequenzen abgebildet. In einem entsprechenden, der Sendeantenne vorgeschalteten Signalerzeugungsmodul ist daher ein entsprechendes Modul zur Erzeugung von Frequenz- und Phasenvariationen vorgesehen, welches einen digital gesteuerten Oszillator ansteuert und die entsprechenden Schwingungen erzeugt. Die in einem Basisband-Modul erzeugten Basisbanddaten werden mit den Frequenz- und Phasenvariationen und dem hochfrequenten Trägersignal moduliert. Hierbei erfolgt dies üblicherweise im komplexen Zahlenraum, d. h. die einzelnen Signale werden in Real- und Imaginärteil erzeugt und durch Multiplikation moduliert.
  • Das erzeugte modulierte Signal, welches typischerweise ein Einseitenband-moduliertes Signal ist (Single-Sideband Modulation, SSB), wird an einen Verstärker (Radio Frequency Power Amplifier, RFPA) übermittelt. Der RFPA verstärkt das Signal und gibt es an die Sendeantenne ab. Zur Linearisierung wird häufig unter Zuhilfenahme eines Richtkopplers (directional coupler, DICO) und einer kohärenten Demodulation eine Regelschleife ausgebildet. Diese Regelschleife wirkt auf die erzeugten Basisbanddaten und kann nicht nur die Amplitude, sondern auch die Phase des SSB-Signals korrigieren.
  • Je nach Anpassung der Sendeantenne wird Leistung zurück in den RFPA reflektiert. Für diese reflektierte Leistung muss der RFPA ausgelegt sein, da es zu einer Überlagerung der vorwärts gerichteten Welle und der reflektierten Welle kommt. Alternativ oder zusätzlich kann mit Hilfe eines Zirkulators die reflektierte Leistung statt in den RFPA in eine Last geleitet werden. Ein Zirkulator ist ein Bauelement, das die Leistung zirkulär von einem Port zum nächsten leitet. Dadurch wird die reflektierte Leistung nicht mehr an den RFPA zurückgespeist, sondern in eine Last.
  • Die Nichtlinearitäten, die durch den Zirkulator entstehen, sollen dabei ebenfalls ausgeregelt werden, beispielsweise durch dessen zeitliche oder mit der Temperatur veränderliche Eigenschaften. Ein Zirkulator ändert nämlich seine Eigenschaften gekennzeichnet durch die Streuparameter während des Betriebs. Es ist daher wünschenswert, dass die Regelschleife auch das nichtlineare und sich zeitlich (in Abhängigkeit anderer Größen wie z. B. Temperatur) ändernde Verhalten des Zirkulators mit erfasst, der Zirkulator also Teil der Regelschleife wird.
  • Es stellt sich jedoch heraus, dass bei einer Inklusion des Zirkulators in die genannte Regelschleife die Regelung instabil wird. Damit wird ein Betrieb des Signalerzeugungsmoduls ohne weitere aufwändige technische Anpassungen unmöglich.
  • Es ist daher Aufgabe der Erfindung, ein Verfahren zur Erzeugung eines Signals für eine Sendeantenne in einem Magnetresonanztomographiesystem der eingangs genannten Art anzugeben, das eine zuverlässige Signalerzeugung bei gleichzeitig geringem technischen Aufwand ermöglicht.
  • Diese Aufgabe wird erfindungsgemäß gelöst, indem das Verfahren die folgenden Schritte umfasst:
    • – Ermitteln eines für eine Phasenverschiebung des modifizierten Signales charakteristischen Winkels, und
    • – Korrektur der Modulation auf Basis des ermittelten Winkels in der Art einer Regelung.
  • Die Erfindung geht dabei von der Überlegung aus, dass die Instabilität der Regelung durch die hohe Variation der Phase durch den Zirkulator verursacht wird. In der Tat haben Messungen gezeigt, dass eine erhebliche Variation der Phase durch den Zirkulator entsteht, die zudem auch noch lastabhängig ist. Die Regelung hat hingegen nur einen begrenzten Arbeitsbereich bezüglich der maximalen Phasenabweichung. Eine erste Möglichkeit der Lösung dieses Problems wäre ein Phasenstellglied oder Phasenschieber direkt am Ein- oder Austritt des Zirkulators. Hier wäre aber wieder eine separate Regelschleife erforderlich, beispielsweise durch eine kontinuierliche Phasendifferenzbestimmung zwischen Ein- und Austritt des Zirkulators. Die Messung der Phasen müsste wieder über separate Richtkoppler erfolgen. Dies bedeutet aber einen erheblichen technischen Mehraufwand. Eine Reduzierung des Mehraufwands wäre möglich, indem die kontinuierliche Messung der Phasendifferenz durch eine Temperaturmessung des Zirkulators ersetzt wird. Die Phasenvariation durch den Zirkulator hängt nämlich wesentlich von dessen Temperatur ab, so dass eine davon abgeleitete Ansteuerung des Phasenschiebers möglich wäre. Damit kann die Phasenänderung des Zirkulators zwar nicht ganz so gut ausgeglichen werden, wie bei einer direkten Messung, dennoch ist eine deutliche Verringerung möglich. Auch hier ist aber der Mehraufwand an benötigter Hardware weiterhin erheblich.
  • Um eine stabilere Regelung ohne zusätzlichen erheblichen Hardware-Aufwand zu realisieren, gilt es also, den Regelbereich für die Phase zu erweitern, ohne die dynamischen Eigenschaften der RF-Regelung zu beeinträchtigen. Hierbei wurde erkannt, dass die von der RF-Regelung auszuregelnden Größen sehr schnell sind, wohingegen der durch den Zirkulator verursachte Phasengang um Größenordnungen langsamer ist. Daher kann die Drift durch den Zirkulator separat ausgeregelt werden. Dafür wird zunächst ein für die Phasenverschiebung des modifizierten Signales charakteristischer Winkel ermittelt. Dies kann beispielsweise mittels eines Arcustangens-Glieds erfolgen. Der so ermittelte Phasenwinkel wird dann zur Korrektur der Modulation auf Basis des ermittelten Winkels in der Art einer Regelung genutzt. Dies kann durch Umwandlung des Korrekturwinkels in Real- und Imaginärteile und anschließende komplexe Multiplikation z. B. mit dem Basisbandsignal erfolgen, so dass die durch den Zirkulator erzeugte Drift ausgeglichen wird.
  • Vorteilhafterweise umfasst die Korrektur eine Addition zu einem Ausgangssignal eines Phasenakkumulators, der bei der Erzeugung von Real- und Imaginärteil der Frequenz- und Phasenvariationen verwendet wird. Ein derartiger Phasenakkumulator kommt bei der direkten digitalen Synthese der Frequenz- und Phasenvariationen zum Einsatz und addiert zyklisch, pro Taktschritt, den links zugeführten Eingabewert, der umgekehrt proportional die Frequenz einstellt. Der momentane Zählerstand entspricht dann einem Phasenwinkel, und ein Überlaufen des Phasenakkumulators (automatischer Rücksprung auf Null) entspricht dabei einem vollen Umlauf des Phasenzeigers. Durch Addition des Korrekturphasenwinkels zu dem Ausgangssignal des Phasenakkumulators kann so eine besonders einfache Berücksichtigung der Phasenkorrektur erfolgen, da die ohnehin vorhandene Konvertierung und komplexe Multiplikation der Ausgangssignale des Phasenakkumulators der Frequenz- und Phasenvariationen mitverwendet wird.
  • In weiterer vorteilhafter Ausgestaltung wird bei der Ermittlung des charakteristischen Winkels die Differenz zwischen dem Phasenwinkel des demodulierten modifizierten Signales und dem Phasenwinkel des Basisbandsignals verwendet. Das heißt, es werden zwei Arcustangens-Glieder verwendet. Einem der Glieder werden Real- und Imaginärteil des demodulierten Signals aus dem Richtkoppler der Regelung zugeführt. Dem anderen Glied werden Real- und Imaginärteil der Basisbanddaten zugeführt. Somit wird vor und nach dem Zirkulator separat der Phasenwinkel ermittelt. Die Phasenwinkel der Glieder werden subtrahiert und die Phasendifferenz in beschriebener Weise zu den Frequenz- und Phasenvariationen addiert.
  • In alternativer vorteilhafter Ausgestaltung wird bei Ermittlung des charakteristischen Winkels der Phasenwinkel einer Stellgröße der Regelung verwendet. Die RF-Regelung ermittelt nämlich systembedingt bereits die Differenz aus Basisband-Signal und Ausgangssignal des Zirkulators, allerdings im kartesischen Raum, d. h. durch Subtraktion von Real- und Imaginärteil. Hier kann daher direkt mit nur einem Arcustangensglied der Phasenwinkel der Differenz ermittelt und zur Addition zu den Frequenz- und Phasenvariationen verwendet werden.
  • In zusätzlicher vorteilhafter Ausgestaltung wird bei der Ermittlung des charakteristischen Winkels die Differenz des Phasenwinkels der Stellgröße und des Phasenwinkels des Basisbandsignals verwendet wird. Mit anderen Worten: Von der in der Regelung ermittelten Phasendifferenz wird der Ansteuerwinkel der Basisbanddaten subtrahiert. Dadurch werden zeitlich variable Ansteuerwinkel der Basisbanddaten möglich, die sonst als Fehlerwinkel interpretiert und ausgeregelt werden würden. Wenn der Ansteuerwinkel zu dem Korrekturwinkel der kartesischen Regelung in Beziehung gesetzt wird, sind nun Ansteuerungen mit beliebiger Phasenlage oder variable Ansteuerwinkel (wie bei einer Basisbandmodulation) möglich.
  • Die Regelstrecken basieren letztlich auf einem parallelen Vergleich von Eingangs- und Ausgangssignalen, wobei die Ausgangssignale wieder demoduliert werden müssen. Die hierbei verwendeten Glieder in einem der parallelen Signalwege wie z. B. Regler oder andere Bauteile wie Demodulatoren weisen eine Zeitverzögerung auf. Vorteilhafterweise wird diese Zeitverzögerung von Gliedern der Regelung ausgeglichen, z. B. durch Zeitverzögerungsglieder, die in den jeweils anderen Signalpfad eingebracht werden.
  • Vorteilhafterweise werden bei der Korrektur der Frequenz- und Phasenvariationen aus dem ermittelten Korrekturwinkel bestimmte Werte mittels eines zweiten Phasenakkumulators akkumuliert. Hierdurch wird die Begrenzung des in der Phasenregelung transferierbaren Fehlerwinkels aufgehoben: Durch den zweiten Phasenakkumulator werden größere, über längere Zeiträume auftretende Winkeländerungen (sogar größer als Pi) akkumuliert und korrigiert.
  • Die in den zweiten Phasenakkumulator gegebenen Werte können mit einem linearen Verstärker (Gain-Block) auf das gewünschte Niveau gehoben werden. Alternativ kann eine Mehrfachentscheidung verwendet werden, d. h. die im zweiten Phasenakkumulator akkumulierten Werte werden anhand einer Tabelle mit Zuordnungen von Winkelintervallen zu Werten bestimmt. Dies erlaubt eine besonders einfache Regelung.
  • Die Bestimmung des Winkels in den Arcustangens-Blöcken erfolgt über eine Umwandlung von kartesischen Koordinaten der Real- und Imaginärwerte in Polarkoordinaten (hier nur Winkel). Dies kann auf unterschiedliche Arten geschehen, beispielsweise mittels des CORDIC-Algorithmus. In besonders vorteilhafter Weise wird der charakteristische Winkel anhand einer Tabelle mit Zuordnungen von Real- und Imaginärteilintervallen zu Winkeln bestimmt. Hierdurch arbeitet der Block besonders schnell.
  • Wie bereits erläutert ermöglicht das beschriebene Verfahren bei der Modifizierung des modulierten Signales die Verwendung eines Zirkulators. Beim konkreten Messvorgang werden Signalpulse ausgesendet, wobei eine Ausregelung des Phasenfehlers wie beschrieben erfolgt. Während eines Zeitraums zwischen zwei Signalpulsen des Signals stehen jedoch für den zweiten Phasenakkumulator keine Werte zur Verfügung, da auf Grund fehlender Signalamplitude keine Winkel bestimmt werden können. In diesen Zeiträumen werden die Eingangswerte für den zweiten Phasenakkumulator daher vorteilhafterweise anhand der Temperatur des Zirkulators bestimmt. Die genaue Anpassung des Temperaturmodells hängt dabei von der Bauart und der Kühlung des Zirkulators ab.
  • In weiterer vorteilhafter Ausgestaltung wird am Ende eines Signalpulses dem zweiten Phasenakkumulator der verbliebene ermittelte Korrekturwinkel als Eingangswert zugeführt. Dies kann über ein zusätzliches Element, nämlich einen Block zur Phasenvoraussage erfolgen. Am Ende des Hochfrequenz-Pulses steht nämlich der am Pulsende verbliebene Phasenfehler als Restfehler an, der bisher während des Pulses nicht ausgeregelt werden konnte. Wird dieser Wert (eventuell mit einem Faktor multipliziert) dem Phasenakkumulator zugeführt, springt der Phasenakkumulator instantan auf den Wert, den der Zirkulator als Phasenfehler verursacht hat. Damit kann schneller ausgeregelt werden. Anschließend kommt in der Pulspause wieder das Temperaturmodell zum Einsatz. Auf Grund des Pulsendes wird der Phasensprung aber im Puls selbst nicht mehr wirksam, der Puls wird nicht durch einen Phasensprung gestört.
  • Ein Signalerzeugungsmodul für ein Magnetresonanztomographiesystem ist vorteilhafterweise ausgebildet zum Erzeugen von Signalen mittels des beschriebenen Verfahrens.
  • Ein Magnetresonanztomographiesystem umfasst vorteilhafterweise ein derartiges Signalerzeugungsmodul.
  • Die mit der Erfindung erzielten Vorteile bestehen insbesondere darin, dass durch den Transfer des Winkelfehlers von der Addition der kartesischen Regelung zur komplexen Multiplikation teure Leistungshardware wie z. B. Phasensteller oder zusätzliche Phasenmessgeräte eingespart werden kann. Weiterhin wird der Einsatz von RFPAs mit integriertem Zirkulator und DICO ermöglicht. Die zur Problemlösung erforderlichen Schaltungen können einfach innerhalb eines Field Programmable Gate Array (FPGA) oder einer anwendungsspezifischen integrierten Schaltung (ASIC) mit relativ geringem Kostenaufwand implementiert werden. Es ergibt sich auch eine bessere Kompensation des Fehlerwinkels verglichen mit der Temperaturkompensation.
  • Ausführungsbeispiele der Erfindung werden anhand einer Zeichnung näher erläutert. Darin zeigen:
  • 1 schematisch einen Teil eines Magnetresonanztomographiesystems mit einem Signalerzeugungsmodul mit Zirkulator und Regelschleife,
  • 2 einen Graphen der Einfügedämpfung in deziBel (dB) gegen die Zeit in Sekunden (s) für einen Zirkulator bei unterschiedlichen Sendeleistungen,
  • 3 einen Graphen der Phasenverschiebung in Grad gegen die Zeit in Sekunden (s) für einen Zirkulator bei unterschiedlichen Sendeleistungen, und
  • 4 bis 11 ausschnittsweise Teile verschiedener Ausführungsformen des Signalerzeugungsmoduls mit geregelter Phasenkorrektur.
  • Gleiche Teile sind in allen Figuren mit denselben Bezugszeichen versehen.
  • 1 zeigt schematisch ein Signalerzeugungsmodul 1 in einem Magnetresonanztomographiesystem 2, das nur ausschnittsweise dargestellt ist. Gezeigt ist außer dem Signalerzeugungsmodul nur die Sendeantenne 4. Weitere Teile wie Magnete, Empfangsspulen und die Auswerteeinheit sind nicht gezeigt. Die Sendeantenne ist als „Body Coil” ausgebildet. Das Prinzip der MRT-Messung wird im Folgenden kurz erläutert:
    Die eigentliche Messung erfolgt nach dem Prinzip der so genannten Spinecho-Sequenz. Eine „Sequenz” (auch „Pulssequenz”) ist in diesem Zusammenhang eine Kombination aus Hochfrequenzimpulsen und magnetischen Gradientenfeldern bestimmter Frequenz bzw. Stärke, die vielfach in jeder Sekunde in vorgegebener Reihenfolge ein- und ausgeschaltet werden. Zu Beginn steht ein Hochfrequenzimpuls der passenden Frequenz (Larmorfrequenz), der so genannte 90°-Anregungsimpuls. Durch diesen wird die Magnetisierung um 90° quer zum äußeren Magnetfeld ausgelenkt. Sie beginnt um die ursprüngliche Achse zu kreisen (Präzession).
  • Das dabei entstehende Hochfrequenzsignal kann außerhalb des Körpers gemessen werden. Es nimmt exponentiell ab, weil die Protonenspins aus dem „Takt” geraten („dephasieren”) und sich zunehmend destruktiv überlagern. Die Zeit, nach der 63% des Signals zerfallen sind, nennt man T2-Relaxationszeit (Spin-Spin-Relaxation). Diese Zeit hängt von der chemischen Umgebung des Wasserstoffs ab; sie ist für jede Gewebsart unterschiedlich. Tumorgewebe hat z. B. meist eine längere Zeit als normales Muskelgewebe. Eine gewichtete Messung stellt den Tumor darum heller als seine Umgebung dar.
  • Um die gemessenen Signale den einzelnen Volumenelementen (Voxeln) zuordnen zu können, wird mit linear ortsabhängigen Magnetfeldern (Gradientenfeldern) eine Ortskodierung erzeugt. Dabei wird ausgenutzt, dass für ein bestimmtes Teilchen die Larmorfrequenz von der magnetischen Flussdichte abhängt (je stärker der Feldanteil senkrecht zur Richtung des Teilchendrehimpulses, desto höher die Larmorfrequenz): Ein Gradient liegt bei der Anregung an und stellt sicher, dass nur eine einzelne Schicht des Körpers die passende Larmorfrequenz besitzt, also nur die Spins dieser Schicht ausgelenkt werden (Schichtselektionsgradient). Ein zweiter Gradient quer zum ersten wird nach der Anregung kurz eingeschaltet und bewirkt eine kontrollierte Dephasierung der Spins dergestalt, dass in jeder Bildzeile die Präzession der Spins eine andere Phasenlage hat (Phasenkodiergradient). Der dritte Gradient wird während der Messung rechtwinklig zu den beiden anderen geschaltet; er sorgt dafür, dass die Spins jeder Bildspalte eine andere Präzessionsgeschwindigkeit haben, also eine andere Larmorfrequenz senden (Auslesegradient, Frequenzkodiergradient). Alle drei Gradienten zusammen bewirken also eine Kodierung des Signals in drei Raumebenen.
  • In 1 werden Basisband-Daten im Basisband-Datenblock 6 erzeugt und einem Modulator 8 zugeführt. Gleichzeitig werden im Frequenz-/Phasenvariationsblock 10 die zur beschriebenen Ortsauflösung nötigen Frequenz-/Phasenvariationen bestimmt. Der Frequenz-/Phasenvariationsblock 10 steuert einen digital gesteuerten Oszillator 12 an. Dessen Ausgangssignale werden ebenfalls dem Modulator 8 zugeführt. Der Modulator 8 schließlich führt eine Modulation der Basisband-Daten mit den Frequenz/Phasenvariationen und dem Radiofrequenz-Trägersignal durch. Dieses wird an einen als RFPA ausgelegten Verstärker 14 übermittelt. Der RFPA verstärkt das Signal und gibt es an die Sendeantenne 4 ab.
  • Je nach Anpassung der Sendeantenne 4 wird Leistung Pfwd zurück in den Verstärker 14 reflektiert. Mit Hilfe eines zwischen Verstärker 14 und Sendeantenne 4 angeordneten Zirkulators 16 kann die reflektierte Leistung Pref statt in den Verstärker 12 in eine Last 18 geleitet werden. Zur Linearisierung wird unter Zuhilfenahme eines Richtkopplers 20, der zwischen Zirkulator 16 und Sendeantenne 4 angeordnet ist, und einer kohärenten Demodulation in einem Demodulator 22 eine Regelschleife ausgebildet. Der Demodulator 22 erfasst über den Richtkoppler die in Richtung der Sendeantenne 4 transmittierte Leistung und demoduliert das Signal unter Zuhilfenahme von Eingangsdaten aus dem Modulator 8. Der vom Demodulator 22 ermittelten Istwert wird in einem zwischen Basisband-Datenblock 6 und Modulator 8 angeordneten Subtraktionsglied 24 vom Basisband-Datensignal abgezogen.
  • 2 zeigt die Einfügedämpfung |s21| durch den Zirkulator 16 für eine Sendeleistung von 2,5 kW (obere Kurve) und 10 kW (untere Kurve), aufgetragen in Dezibel (dB) gegen die Zeit in Sekunden (s). 3 zeigt die Phasenvariation < s21 durch den Zirkulator 16 für eine Sendeleistung von 2,5 kW (untere Kurve) und 10 kW (obere Kurve), aufgetragen in Grad gegen die Zeit in Sekunden (s). Es ergeben sich Dämpfungen von –0,23 bis –0,35 dB und Phasenvariationen von bis zu 85 Grad. Die Variationen sind stark lastabhängig und können noch stärker variieren. Die in 1 gezeigte Regelung hat jedoch nur einen begrenzten Arbeitsbereich bezüglich der maximalen Phasenabweichung und wird bei derart großen Phasenabweichungen ohne zusätzliche Maßnahmen instabil.
  • Die 4 bis 11 zeigen nunmehr verschiedene Ausführungsformen für die Regelung. Gezeigt ist dabei jeweils in höherem Detailgrad als in 1 der Ansteuerteil des Signalerzeugungsmoduls 1. Weggelassen sind dabei Verstärker 14, Zirkulator 16, Last 18, Richtkoppler 20 und Sendeantenne 4. Die in den 4 bis 11 gezeigten Teile können beispielsweise auf einem FPGA oder ASIC realisiert sein.
  • Der Basisband-Datenblock 6 erzeugt ein Signalpaar RE und IM, d. h. kartesische Real- und Imaginärwerte. Diese stellen das Ansteuersignal dar. Dieses Ansteuersignal wird noch ergänzt um die Ansteuerung bezüglich Frequenz- und Phasenoffset f und Φ, erzeugt im Frequenz-/Phasenvariationsblock 10. Der Oszillator 12 aus 1 ist in 4 bis 11 detaillierter dargestellt und umfasst einen Phasenakkumulator 26. Dessen Ausgangssignal Φa wird in einem Konverter 28 in kartesische Koordinaten gewandelt.
  • Modulator 8 und Demodulator 22 sind in 4 ebenfalls detaillierter dargestellt. Gezeigt ist ein Multiplikationsglied 30, welches das Signalpaar RE und IM mit dem in kartesische Koordinaten gewandelten Ausgangssignal Φa komplex multipliziert und damit moduliert. Das Ergebnissignalpaar IF_RE und IF_IM wird durch einen Funktionsblock 32 für weitere Modulation und Demodulation geleitet und als Einseitenband-Signal SSB an den Verstärker 14 geleitet.
  • Das vom Richtkoppler 20 kommende Signal wird ebenfalls durch den Funktionsblock 32 geführt und in einem Demodulations-/Filterblock 34 demoduliert. Hierdurch wird als Ausgangswert das Signalpaar feedback_RE und feedback_IM gebildet, welches das Ist-Wert-Signal darstellt. Eine Phasenveränderung des Zirkulators 16 wird also von diesem Signal reflektiert. In den Subtraktionsgliedern 24 wird die Differenz zwischen dem Signalpaar RE und IM und dem Signalpaar feedback_RE und feedback_IM gebildet. Diese Differenzsignale diff_RE und diff_IM stellen die Abweichung des Soll-Signals vom Ist-Signal dar und werden in PID-Regler 36 gespeist, deren Ausgangssignal in Addiergliedern 38 auf das zum Multiplikationsglied 30 geführte Signalpaar RE und IM addiert wird.
  • Zur Stabilisierung der Regelung wird zusätzlich das Ausgangssignal der PID-Regler 36 in ein Arcustangensglied 40 geführt. Dieses extrahiert die Phaseninformation aus dem Korrektursignalpaar aus den PID-Reglern in Form eines Phasenwinkels Φf. Dieser wird in einem Dämpfungs-/Verstärkungsglied 42 (Gain) abgeschwächt, in einem weiteren Konverter 44 wieder in ein kartesisches Signalpaar gewandelt und im zwischen Multiplikationsglied 30 und Addiergliedern 38 angeordnetem weiteren Multiplikationsglied 46 komplex mit dem Ausgangssignal der Addierglieder 38 multipliziert, bevor die weitere Modulation erfolgt. Die komplexe Multiplikation bewirkt eine Korrektur der Phase im Sinne einer Regelung.
  • Eine Alternative ist in 5 gezeigt, die nur anhand ihrer Unterschiede zu 4 erläutert wird. In 5 entfallen die separaten Konverter 44 und Multiplikationsglied 46. Stattdessen ist das Ausgangssignal Φf des Arcustangensglieds 40 und des Dämpfungs-/Verstärkungsglieds 42 in ein Addierglied 48 geführt, das zwischen Phasenakkumulator 26 und Konverter 28 angeordnet ist. Der Korrekturwinkel Φf wird also direkt zum Ansteuerwinkel Φa addiert, so dass Konverter 28 und Multiplikationsglied 30 für beide Winkel verwendet werden.
  • Eine weitere Alternative ist in 6 gezeigt, die wiederum nur anhand ihrer Unterschiede zu 5 erläutert wird. Hier sind zwei Arcustangensglieder 40 vorgesehen, die den Sollphasenwinkel Φs aus dem kartesischem Signalpaar RE und IM bzw. den Istphasenwinkel Φi aus dem kartesischen Signalpaar feedback RE und feedback IM extrahieren. Die Winkel Φs und Φi werden in einem Subtraktionsglied 50 subtrahiert und so der Differenzphasenwinkel Φd ermittelt. Dieser wird an das aus 5 bekannte Dämpfungs-/Verstärkungsglied 42 geleitet.
  • Eine weitere Alternative ist in 7 gezeigt, die ebenfalls nur anhand ihrer Unterschiede zu 6 erläutert wird. Die beiden Arcustangensglieder 40 ermitteln hier den Winkel Φf aus den Ausgangssignalen der PID-Regler 36 bzw. den Sollphasenwinkel Φs, der dem aktuellen Ansteuerwinkel entspricht. Dadurch sind Ansteuerungen bei beliebiger Phasenlage möglich. Weiterhin ist zwischen Dämpfungs-/Verstärkungsglied 42 und Addierglied 48 ein weiterer Phasenakkumulator 52 angeordnet. Dieser akkumuliert große, über längere Zeiträume auftretende Winkeländerungen.
  • In den folgenden 8 bis 10 sind aus Gründen der Übersichtlichkeit zusätzlich noch Multiplikationsglied 30, Konverter 28, Funktionsblock 32 und Demodulations-/Filterblock 34 weggelassen. Diese entsprechen dort dem Aufbau aus 7.
  • Im Übrigen wird 8 anhand ihrer Unterschiede zu 7 erläutert. Hier sind zwischen den Subtrahiergliedern 24 und dem Basisband-Datenblock 6 Verzögerungsglieder 54 angeordnet. Diese entsprechen der Verzögerung der gesamten Regelschleife. Weiterhin sind dem Arcustangensglied 40, welches den Sollwinkel Φs ermittelt, weitere Verzögerungsglieder 56 vorgeschaltet. Diese entsprechen der Verzögerung der PID-Regler 36.
  • Statt eines linearen Dämpfungs-/Verstärkungsglieds 42 kann auch eine Entscheidung anhand einer Tabelle treten, die beispielhaft im Folgenden dargestellt ist:
    Φf–Φs Phasenakkumulatorkontrolle Kommentar
    –10°..+10° 0 keine Aktion, alleiniges Ausregeln durch kartesische Regelung
    +10°..+45° 1 inkrementiere Phasenakkumulator langsam
    +45°..+90° 2 inkrementiere Phasenakkumulator mittelschnell
    > +90° 3 inkrementiere Phasenakkumulator schnell
    –10°..–45° –1 dekrementiere Phasenakkumulator langsam
    –45°..–90° –2 dekrementiere Phasenakkumulator mittelschnell
    < –90° –3 dekrementiere Phasenakkumulator schnell
  • Die Arcustangensglieder 40 stellen Umwandlungen von kartesischen in Polarkoordinaten (hier nur Winkel) dar. Dies kann auf unterschiedliche Arten geschehen (z. B. mittels CORDIC Algorithmus, oder mittels Tabellen). Mit nicht besonders hohem Aufwand kann eine Konvertierung von bis zu 8 bit breiten Eingangsvektoren durch eine Tabelle implementiert werden. Eine Tabelle stellt dabei die mathematische Funktion arctan(IM/RE) im Winkelbereich von 0 < Φ < Pi (1. Quadrant) dar. Mittels Entscheidungsbaum können dann alle 4 Quadranten auf den ersten Quadranten abgebildet werden.
  • Die Breite der Eingangsvektoren beeinflusst die erreichbare Signaldynamik. Da die Erwärmung bei niedrigen Signalamplituden sehr gering ist, ist der erforderliche Dynamikbereich weit geringer als für eine RF-Regelung. Der Transfer des Phasenwinkels aus der kartesischen in die Phasenregelung braucht nicht allzu genau zu sein, da die Feinheiten des Phasenfehlers nach wie vor von der kartesischen Regelung ausgeregelt werden. Es kommt aber zu einer Erweiterung des Phasenregelbereichs, insbesondere für sich langsam ändernde Phasenfehler, wie sie beispielsweise bei einem sich erwärmenden und abkühlenden Zirkulator auftreten.
  • 9 stellt eine weitere Verfeinerung von 8 dar und zeigt als zusätzliches Element gegenüber 8 ein Temperaturmodell 58 des Zirkulators 16, welches auf den Phasenakkumulator 52 wirkt. Dieses Temperaturmodell kommt immer in den Pulspausen zur Anwendung, da die Phasendifferenz Φs – Φf ja nur während eines Hochfrequenz-Pulses gültig ist. Außerhalb des Pulses kann auf Grund fehlender Signalamplitude weder Φs noch Φf bestimmt werden.
  • Zwischen den Hochfrequenz-Pulsen (oder in Messpausen) kühlt der Zirkulator 16 ab und ändert damit wiederum seine Phase. Diese Phasenänderung wird von dem Temperaturmodell 58 nachgebildet. Damit wird die Anfangsdifferenz zu Beginn eines Hochfrequenz-Pulses verbessert. Die Parameter des Temperaturmodells 58 hängen von der Bauart und der Kühlung des Zirkulators 16 ab.
  • 10 stellt eine weitere Verfeinerung von 9 dar und zeigt als zusätzliches Element einen Phasenvoraussage-Block 60, der in einem selektiv ansteuerbaren Bypass zum Dämpfungs-Verstärkungsglied 42 angeordnet ist. Am Ende des Hochfrequenz-Pulses steht nämlich der am Pulsende verbliebene Phasenfehler Φs–Φf als Restfehler an, der bisher während des Pulses nicht ausgeregelt werden konnte. Wird dieser Wert (eventuell mit einem Faktor multipliziert) am Ende eines Pulses dem Phasenakkumulator 52 zugeführt, springt der Phasenakkumulator 52 instantan auf den Wert, den der Zirkulator 16 als Phasenfehler verursacht hat. Damit kann schneller ausgeregelt werden. Anschließend kommt in der Pulspause wieder das Temperaturmodell 58 zum Einsatz. Auf Grund des Pulsendes wird der Phasensprung aber im Hochfrequenz-Puls selbst nicht mehr wirksam, der Puls wird nicht durch einen Phasensprung gestört.
  • Eine weitere Alternativlösung führt zu einer getrennten Regelung für Amplitude und Phase, ähnlich einer Polarkoordinatenregelung. Sie ist in 11 gezeigt, die anhand ihrer Unterschiede zu 6 erläutert wird. Die Winkelregelung wird hier allein über die Arcustangensglieder 40 bewerkstelligt. Die PID-Regler 36 aus 3 sind durch ein Magnitudenbildungs-Modul 62 mit einem einzelnen nachgeschalteten PID-Regler 36 ersetzt. Das Magnitudenbildungs-Modul ermittelt die reelle Amplitude des Signalpaars diff_RE und diff_IM. Die Addierglieder 38 sind durch skalare Multiplikationsglieder 64 ersetzt, die aus dem PID-Regler 36 gespeist werden. Auch 11 zeigt den zusätzlichen Phasenakkumulator 52.
  • Hier regelt die ursprüngliche kartesische Regelung nur noch die Amplitude der Ansteuersignale RE und IM basierend auf den Differenzvektoren diff_RE und diff_IM. Das Steuerglied ist hier die skalare Multiplikation. Die Phase wird von allein von der Phasenregelschleife in bereits beschriebenem Maß ausgeregelt. Der Vorteil gegenüber einer kombinierten kartesischen mit einer zusätzlichen Phasenregelung könnte in dem Regelverhalten liegen. Unter Umständen könnten beide Phasenregelkreise gegeneinander arbeiten.
  • Auch die Magnitudenbildung in 11 kann noch variieren, also an anderer Stelle stattfinden. Es ist also denkbar, dass nicht erst die Differenzvektoren einer Magnitudenbildung unterzogen werden, sondern bereits die Ansteuersignale RE und IM und die Ist-Signale feedback_IM und feedback_RE.
  • Bezugszeichenliste
  • 1
    Signalerzeugungsmodul
    2
    Magnetresonanztomographiesystem
    4
    Sendeantenne
    6
    Basisband-Datenblock
    8
    Modulator
    10
    Frequenz-/Phasenvariationsblock
    12
    Oszillator
    14
    Verstärker
    16
    Zirkulator
    18
    Last
    20
    Richtkoppler
    22
    Demodulator
    24
    Subtraktionsglied
    26
    Phasenakkumulator
    28
    Konverter
    30
    Multiplikationsglied
    32
    Funktionsblock
    34
    Demodulations-/Filterblock
    36
    PID-Regler
    38
    Addierglied
    40
    Arcustangensglied
    42
    Dämpfungs-/Verstärkungsglied
    44
    Konverter
    46
    Multiplikationsglied
    48
    Addierglied
    50
    Subtraktionsglied
    52
    Phasenakkumulator
    54, 56
    Verzögerungsglied
    58
    Temperaturmodell
    60
    Phasenvoraussage-Block
    62
    Magnitudenbildungs-Modul
    64
    skalares Multiplikationsglied
    |s21|
    Einfügedämpfung
    < s21
    Phasenvariation
    diff_IM, diff_RE
    kartesisches Differenzsignalpaar (Imaginär- und Realteil)
    f
    Frequenzoffset
    feedback_IM, feedback_RE
    kartesisches Ausgangssignalpaar (Imaginär- und Realteil)
    IM, RE
    kartesisches Signalpaar (Imaginär- und Realteil)
    IF_IM, IF_RE
    kartesisches Ausgangssignalpaar (Imaginär- und Realteil)
    IM, RE
    kartesisches Signalpaar (Imaginär- und Realteil)
    Pfwd
    Leistung
    Pref
    reflektierte Leistung
    Φ
    Phasenoffset
    Φa
    Ausgangsignal des Phasenakkumulators
    Φd
    Differenzphasenwinkel
    Φf
    Ausgangssignal des Arcustangensglieds
    Φi
    Istphasenwinkel
    Φs
    Sollphasenwinkel

Claims (13)

  1. Verfahren zur Erzeugung eines Signals für eine Sendeantenne (4) in einem Magnetresonanztomographiesystem (2), umfassend die Verfahrensschritte: – Erzeugung von Real- und Imaginärteil eines Basisbandsignals, – Erzeugung von Real- und Imaginärteil von Frequenz- und Phasenvariationen, – komplexe Multiplikation des Basisbandsignals mit den Frequenz- und Phasenvariationen und einem hochfrequenten Trägersignal zur Modulation, – Modifizierung des modulierten Signales, – Ermitteln eines für eine Phasenverschiebung des modifizierten Signales charakteristischen Winkels, und – Korrektur der Modulation auf Basis des ermittelten Winkels in der Art einer Regelung.
  2. Verfahren nach Anspruch 1, bei dem die Korrektur eine Addition zu einem Ausgangssignal eines Phasenakkumulators (26) umfasst, der bei der Erzeugung von Real- und Imaginärteil der Frequenz- und Phasenvariationen verwendet wird.
  3. Verfahren nach einem der vorhergehenden Ansprüche bei dem bei der Ermittlung des charakteristischen Winkels die Differenz zwischen dem Phasenwinkel des demodulierten modifizierten Signales und dem Phasenwinkel des Basisbandsignals verwendet wird.
  4. Verfahren nach Anspruch 1 oder 2, bei dem bei Ermittlung des charakteristischen Winkels der Phasenwinkel einer Stellgröße der Regelung verwendet wird.
  5. Verfahren nach Anspruch 4, bei dem bei der Ermittlung des charakteristischen Winkels die Differenz des Phasenwinkels der Stellgröße und des Phasenwinkels des Basisbandsignals verwendet wird.
  6. Verfahren nach einem der vorhergehenden Ansprüche, bei dem die Zeitverzögerung von Gliedern der Regelung ausgeglichen wird.
  7. Verfahren nach einem der Ansprüche 2 bis 6, bei dem bei der Korrektur der Modulation aus dem ermittelten Winkel bestimmte Werte mittels eines zweiten Phasenakkumulators (52) akkumuliert werden.
  8. Verfahren nach Anspruch 7, bei dem die im zweiten Phasenakkumulator (52) akkumulierten Werte anhand einer Tabelle mit Zuordnungen von Winkelintervallen zu Werten bestimmt werden.
  9. Verfahren nach einem der vorhergehenden Ansprüche, bei dem der charakteristische Winkel anhand einer Tabelle mit Zuordnungen von Real- und Imaginärteilintervallen zu Winkeln bestimmt wird.
  10. Verfahren nach einem der Ansprüche 7 bis 9, bei dem bei der Modifizierung des modulierten Signales ein Zirkulator (16) verwendet wird und während eines Zeitraums zwischen zwei Signalpulsen des Signals Eingangswerte für den zweiten Phasenakkumulator (52) anhand der Temperatur des Zirkulators bestimmt werden.
  11. Verfahren nach Anspruch 10, bei dem am Ende eines Signalpulses dem zweiten Phasenakkumulator (52) der verbliebene ermittelte Winkel als Eingangswert zugeführt wird.
  12. Signalerzeugungsmodul (1) für ein Magnetresonanztomographiesystem (2), ausgebildet zum Erzeugen von Signalen mittels des Verfahrens nach einem der Ansprüche 1 bis 11.
  13. Magnetresonanztomographiesystem (2) mit einem Signalerzeugungsmodul (1) nach Anspruch 12.
DE102013208519.2A 2013-05-08 2013-05-08 Verfahren zur Erzeugung eines Signals Expired - Fee Related DE102013208519B3 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE102013208519.2A DE102013208519B3 (de) 2013-05-08 2013-05-08 Verfahren zur Erzeugung eines Signals
US14/272,879 US9760337B2 (en) 2013-05-08 2014-05-08 Generating a signal

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102013208519.2A DE102013208519B3 (de) 2013-05-08 2013-05-08 Verfahren zur Erzeugung eines Signals

Publications (1)

Publication Number Publication Date
DE102013208519B3 true DE102013208519B3 (de) 2014-10-23

Family

ID=51629166

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102013208519.2A Expired - Fee Related DE102013208519B3 (de) 2013-05-08 2013-05-08 Verfahren zur Erzeugung eines Signals

Country Status (2)

Country Link
US (1) US9760337B2 (de)
DE (1) DE102013208519B3 (de)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104023628B (zh) 2012-09-25 2017-03-01 东芝医疗系统株式会社 磁共振成像装置及其高频输出测定装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5442292A (en) * 1993-08-03 1995-08-15 Siemens Aktiengesellschaft Nuclear magnetic resonance apparatus having at least two transmission frequencies
DE102010035918A1 (de) * 2010-08-31 2012-03-01 Siemens Aktiengesellschaft Verfahren und Vorrichtung zur Regelung eines Signals mit einer Mehrzahl von unabhängigen Komponenten

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5140268A (en) * 1990-06-15 1992-08-18 The Board Of Trustees Of The Leland Stanford Junior University Method and means for correcting RF amplifier distortion in magnetic resonance imaging
WO2005083458A1 (en) * 2004-02-26 2005-09-09 National Research Council Of Canada Method of effecting nuclear magnetic resonance experiments using cartesian feedback
DE102013204705A1 (de) * 2013-03-18 2014-09-18 Siemens Aktiengesellschaft Verfahren zur Bereitstellung von Magnetresonanztomographie-Daten bei einem Magnetresonanztomographie-System, sowie Magnetresonanztomographie-System

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5442292A (en) * 1993-08-03 1995-08-15 Siemens Aktiengesellschaft Nuclear magnetic resonance apparatus having at least two transmission frequencies
DE102010035918A1 (de) * 2010-08-31 2012-03-01 Siemens Aktiengesellschaft Verfahren und Vorrichtung zur Regelung eines Signals mit einer Mehrzahl von unabhängigen Komponenten

Also Published As

Publication number Publication date
US9760337B2 (en) 2017-09-12
US20140337397A1 (en) 2014-11-13

Similar Documents

Publication Publication Date Title
EP4194875B1 (de) Magnetresonanztomograph mit aktiver störunterdrückung und verfahren zur störunterdrückung in einem magnetresonanztomographen
DE102014104478B4 (de) Eine Schaltung, eine integrierte Schaltung, ein Sender, ein Empfänger, ein Sende-Empfangs-Gerät, ein Verfahren zum Erhalten von Kalibrierungsdaten und ein Verfahren zum Erzeugen einesLokaloszillatorsignals
DE102005049229B3 (de) Verfahren zur Ermittlung von Flipwinkelverteilungen in einer Magnetresonanzanlage, Magnetresonanzanlage und Computerprogrammprodukt
EP1887375B1 (de) Vorrichtung und Verfahren zur Kompensation von Magnetfeldstörungen in Magnetfeldern mit hoher Feldhomogenität
DE102008061455A1 (de) Verfahren zur Bestimmung einer vorbestimmten Signalamplitude bei MR-Messungen
DE102015218852A1 (de) Verbessertes Verfahren zur Akquisition von Messdaten bei gleichzeitiger Manipulation räumlich getrennter Subvolumina
DE102014219782A1 (de) Betrieb eines bildgebenden medizinischen Untersuchungsgeräts mit einer Mehrzahl an Teilsystemen
DE102017200446A1 (de) Korrektur eines MR-Sendesignals
DE102015222114A1 (de) Verfahren zum Ansteuern einer Shimeinheit, Steuereinheit und Magnetresonanzgerät
DE102020202830A1 (de) Magnetresonanztomograph und Verfahren zum Betrieb mit dynamischer B0-Kompensation
DE102014219779A1 (de) Betrieb eines bildgebenden medizinischen Untersuchungsgeräts mit einer Mehrzahl an Teilsystemen
DE102014219320B4 (de) Rekonstruktion eines MR-Bildes unter Berücksichtigung der chemischen Verschiebung
DE102013226246B4 (de) Verfahren zur schichtselektiven Magnetresonanz-Bildgebung und Magnetresonanz-Anlage
DE102013208519B3 (de) Verfahren zur Erzeugung eines Signals
WO2012143369A1 (de) Verfahren zur bestimmung der räumlichen verteilung von magnetresonanzsignalen in subvolumen eines untersuchungsobjektes
DE102021210497A1 (de) Entstörung von Magnetresonanztomographen
DE102015224054A1 (de) Modifizierte TrueFISP-Sequenz zur parallelen MR-Daten-Erfassung
DE102015218331A1 (de) Verfahren und Vorrichtung zur Signalübertragung in Magnetresonanztomographen
DE102016221397A1 (de) Impulsantwort eines Gradientensystems einer Magnetresonanz-Anlage
DE102016125776A1 (de) Doppelkalibrierungsschleife für einen Zufallsspreizspektrumsmodulator
DE102021210499B3 (de) Verfahren und Vorrichtung zur Unterdrückung von bei Magnetresonanzaufnahmen emittierten elektrischen und/oder magnetischen Feldern
DE102013221940B3 (de) Kompensation von Störfeldern in Magnetresonanzbildern mittels einer Matrix-Methode
DE102014226052A1 (de) Ermittlung einer phasenbeschreibenden Karte mit Hilfe eines min-cut/max-flow-Verfahrens
DE102017208335A1 (de) Korrektur mehrerer Verzerrungseffekte bei der MR-Bildgebung
DE102019128204B4 (de) Verfahren zum Kalibrieren eines Mikrowellenmoduls, Kalibriersystem, Mikrowellenmodul sowie Gargerät

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: G01R0033320000

Ipc: G01R0033540000

R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: G01R0033540000

Ipc: G01R0033320000

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R081 Change of applicant/patentee

Owner name: SIEMENS HEALTHCARE GMBH, DE

Free format text: FORMER OWNER: SIEMENS AKTIENGESELLSCHAFT, 80333 MUENCHEN, DE

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee