DE102010060591B4 - Plasma generator - Google Patents

Plasma generator Download PDF

Info

Publication number
DE102010060591B4
DE102010060591B4 DE102010060591.3A DE102010060591A DE102010060591B4 DE 102010060591 B4 DE102010060591 B4 DE 102010060591B4 DE 102010060591 A DE102010060591 A DE 102010060591A DE 102010060591 B4 DE102010060591 B4 DE 102010060591B4
Authority
DE
Germany
Prior art keywords
chamber
electrode
cylindrical
plasma
rod
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE102010060591.3A
Other languages
German (de)
Other versions
DE102010060591A1 (en
Inventor
Daisuke ITOMURA
Ryonosuke Tera
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Denso Corp
Original Assignee
Denso Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Denso Corp filed Critical Denso Corp
Publication of DE102010060591A1 publication Critical patent/DE102010060591A1/en
Application granted granted Critical
Publication of DE102010060591B4 publication Critical patent/DE102010060591B4/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32018Glow discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32018Glow discharge
    • H01J37/32027DC powered
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2245/00Applications of plasma devices
    • H05H2245/40Surface treatments

Abstract

Plasmagenerator, welcher mit einem zylindrischen Kammerbauteil ausgestattet ist, welches eine zylindrische Elektrode (1), eine Blende (4), welche in dem zylindrischen Kammerbauteil angeordnet ist, um das zylindrische Kammerbauteil in eine erste Kammer (2), welche Gaszuführanschlüsse (10) hat, und eine zweite Kammer (3), welche Gasauslassanschlüsse (11) hat, zu untergliedern, eine stabförmigen Elektrode (5), welche innerhalb der zweiten Kammer (3) auf einer Mittelachse der zylindrischen Elektrode (1) platziert ist, und eine Unterelektrode (6) hat, welche an der ersten Kammer (2) platziert ist, und in der Lage ist, ein von der zylindrischen Elektrode (1) verschiedenes Potential anzulegen,wobei der Plasmagenerator ein elektrisches Feld zwischen der zylindrischen Elektrode (1) und der stabförmigen Elektrode (5) anlegt, um ein Plasma zu erzeugen,wobei er weiterhin getrennt ein elektrisches Feld zwischen der zylindrischen Elektrode (1) und der Unterelektrode (6) anlegt, um ein Plasma getrennt von dem Plasma zu erzeugen, welches zwischen der stabförmigen Elektrode (5) und der zylindrischen Elektrode (1) erzeugt wird, undwelcher Plasmabereiche der ersten Kammer (2) und der zweiten Kammer (3) hat, welche durch die Löcher der Blende (4) verbunden sind,wobei das Plasma, welches zwischen der stabförmigen Elektrode (5) und der zylindrischen Elektrode (1) erzeugt wird, eine Glimmentladung ist, und das Plasma, welches zwischen der zylindrischen Elektrode (1) und der Unterelektrode (6) erzeugt wird, eine Glimmentladung oder eine Bogenentladung ist, undwobei ein Druck der zweiten Kammer (3) ein Druck von 300 Pa bis 101 kPa ist.Plasma generator, which is equipped with a cylindrical chamber component, which has a cylindrical electrode (1), a screen (4), which is arranged in the cylindrical chamber component, around the cylindrical chamber component in a first chamber (2) which has gas supply connections (10) , and a second chamber (3) which has gas outlet connections (11) to subdivide, a rod-shaped electrode (5) which is placed inside the second chamber (3) on a central axis of the cylindrical electrode (1), and a sub-electrode ( 6), which is placed on the first chamber (2) and is able to apply a potential different from that of the cylindrical electrode (1), the plasma generator creating an electric field between the cylindrical electrode (1) and the rod-shaped electrode (5) applies to generate a plasma, further separately applying an electric field between the cylindrical electrode (1) and the sub-electrode (6) to separate a plasma t from the plasma which is generated between the rod-shaped electrode (5) and the cylindrical electrode (1), and which has plasma regions of the first chamber (2) and the second chamber (3), which through the holes of the diaphragm (4 ) are connected, the plasma generated between the rod-shaped electrode (5) and the cylindrical electrode (1) being a glow discharge, and the plasma generated between the cylindrical electrode (1) and the sub-electrode (6) , a glow discharge or an arc discharge, and wherein a pressure of the second chamber (3) is a pressure of 300 Pa to 101 kPa.

Description

TECHNISCHES GEBIETTECHNICAL AREA

Die vorliegende Erfindung bezieht sich auf einen Plasmagenerator, welcher ein stabiles Plasma an den Elektrodenbauteilen bildet, und ein Plasma hoher Dichte erzeugt.The present invention relates to a plasma generator which forms a stable plasma on the electrode members and generates a high density plasma.

STAND DER TECHNIKSTATE OF THE ART

Plasma ist in einem reaktiven Zustand, daher wurde es herkömmlicherweise weitgehend bei der Oberflächenbehandlung, der Bildung dünner Schichten bzw. Filme, beim Ätzen und auf anderen Gebieten verwendet. Um eine schnellere Behandlung auszuführen, ist es notwendig, die Plasmadichte zu steigern bzw. zu erhöhen und die aktivierten reaktiven Ionen zu vermehren. Auch bei einer DLC-Beschichtung (diamond like carbon = diamantähnlicher Kohlenstoff) wird ein Plasmagenerator verwendet. Methan oder Acethylen oder ein anderes Kohlenwasserstoffgas wird in Plasma disassoziiert, um Radikale oder Ionen oder andere aktivierte reaktive Ionen zu erzeugen, welche verwendet werden, um DLC auf der Oberfläche eines Basismaterials zu bilden. Wenn ein DLC unter einen hohen Geschwindigkeit gebildet wird, ist es notwendig, Plasma hoher Dichte mit einer hohen Dichte der aktivierten reaktiven Ionen zu verwenden.Plasma is in a reactive state, so it has conventionally been widely used in surface treatment, thin film formation, etching, and other fields. In order to carry out a faster treatment, it is necessary to increase or increase the plasma density and to multiply the activated reactive ions. A plasma generator is also used with a DLC coating (diamond like carbon). Methane or acetylene or some other hydrocarbon gas is disassociated in plasma to produce radicals or ions or other activated reactive ions which are used to form DLC on the surface of a base material. When forming a DLC at a high speed, it is necessary to use high density plasma having a high density of activated reactive ions.

Aus diesem Grunde wurden, um ein Plasma hoher Dichte zu erhalten, das Verfahren des Erhöhens des Gasdruckes, um die aktivierten Ionen selbst zu vermehren, das Verfahren des Erhöhens der elektrischen Feldstärke, um den Lösungsgrad zu verbessern, das Verfahren, welches ein Magnetfeld verwendet, um das Plasma einzuschließen, und andere Verfahren verwendet. Mit dem Verfahren des Verwendens eines Magnetfelds, um das Plasma einzuschließen, ist es jedoch nicht möglich, die Plasmadichte von der gewöhnlich verwendeten von 108/cm3 bis 1010/cm3 um eine Größenordnung zu verbessern. Weiterhin gibt es bei dem Verfahren des Erhöhens des Gasdrucks oder dem Verfahren des Erhöhens der Energiequellenspannung eine leichte Verschiebung von der normalerweise erwünschten Glimmentladung (Niedrigtemperaturplasma) zu einer Bogenentladung (Hochtemperaturplasma) und demzufolge tritt ein Problem in dem Arbeitsvorgang auf.For this reason, in order to obtain a high density plasma, the method of increasing the gas pressure to increase the activated ions themselves, the method of increasing the electric field strength to improve the degree of dissolution, the method using a magnetic field, to contain the plasma; and other methods are used. However, with the method of using a magnetic field to confine the plasma, it is not possible to improve the plasma density by an order of magnitude from that commonly used from 10 8 / cm 3 to 10 10 / cm 3. Furthermore, in the method of increasing the gas pressure or the method of increasing the power source voltage, there is a slight shift from the normally desired glow discharge (low temperature plasma) to an arc discharge (high temperature plasma), and hence a problem arises in the operation.

Als eine Technik zum Erhöhen der elektrischen Felddichte (elektrische Felddichte= Spannung/Abstand), ist die Technik des Verkürzens des Abstandes zwischen den Elektroden wirkungsvoll, wenn jedoch der Abstand zwischen den Elektroden gekürzt wird, ohne dass eine höhere Spannung angelegt wird, war es nicht möglich, Plasma zu erzeugen. Der Grund wird als nächstes kurz erklärt werden. Aufgrund des Paschen-Gesetzes gibt es eine nach unten gewandte Kurve der Korrelation zwischen der Entladungsbeginn-Spannung und dem (Druck x Abstand zwischen den Elektroden). Aus diesem Grund gelangt, wenn der Abstand zwischen den Elektroden unter dem gleichen Druck schmäler wird, die Spannung, welche für den Beginn der Entladung benötigt wird, zu einem Anstieg und eine Entladung tritt nicht weiterhin bei der Spannung auf, bei welcher eine Entladung bisher begann.As a technique for increasing the electric field density (electric field density = voltage / distance), the technique of shortening the distance between the electrodes is effective, but when the distance between the electrodes is shortened without applying a higher voltage, it was not possible to generate plasma. The reason will be briefly explained next. Due to Paschen's law, there is a downward-facing curve of the correlation between the discharge start voltage and the (pressure x distance between electrodes). For this reason, when the distance between the electrodes becomes narrower under the same pressure, the voltage required to start the discharge increases and discharge does not continue to occur at the voltage at which discharge started .

Als ein Ergebnis trat aufgrund der Konzentration des elektrischen Feldes etc. eine Bogenentladung lokal und unstabil auf und eine stabile Entladung konnte nicht erhalten werden. Im Stand der Technik, welcher in der japanischen nichtgeprüften Patentpublikation Nr. JP 2001 - 023 972 A betrachtet werden kann, wurden Bestrebungen unternommen, eine Doppelrohrelektrodenstruktur zu verwenden, um ein gleichmäßiges elektrisches Feld zu erhalten, jedoch gab es dennoch die obigen Probleme.As a result, arc discharge occurred locally and unstably due to the concentration of the electric field, etc., and stable discharge could not be obtained. In the prior art disclosed in Japanese Unexamined Patent Publication No. JP 2001 - 023 972 A As can be seen, attempts have been made to use a double tube electrode structure in order to obtain a uniform electric field, but the above problems have nonetheless been encountered.

Weiterer Stand der Technik ist in den folgenden Druckschriften offenbart:

  • JP S57- 131 373 A ,
  • US 2 920 234 A ,
  • EP 1 518 669 A2 ,
  • US 6 949 735 B1 ,
  • US 3 944 873 A ,
  • JP H07- 153 596 A,
  • JP H02- 244 624 A,
  • JP H11-82 556 A ,
  • JP H11- 140 646 A ,
  • US 2003/0 106 643 A1 ,
  • CN 101 363 118 A ,
  • US 6 599 588 B2 ,
  • CN 1 692 492 A ,
  • JP H 07-153596 A
Further prior art is disclosed in the following publications:
  • JP S57-131 373 A ,
  • U.S. 2,920,234 A ,
  • EP 1 518 669 A2 ,
  • US 6,949,735 B1 ,
  • U.S. 3,944,873 A ,
  • JP H07-153 596 A,
  • JP H02- 244 624 A,
  • JP H11-82 556 A ,
  • JP H11-140646 A ,
  • US 2003/0 106 643 A1 ,
  • CN 101 363 118 A ,
  • US 6 599 588 B2 ,
  • CN 1 692 492 A ,
  • JP H 07-153596 A

KURZFASSUNG DER ERFINDUNGSUMMARY OF THE INVENTION

Die vorliegende Erfindung wurde unter Berücksichtigung des obigen Problems getätigt.The present invention has been made in consideration of the above problem.

Aufgabe der Erfindung ist es, einen Plasmagenerator bereitzustellen, welcher ein stabiles Plasma bei schmalen, kleinen Elektrodenbauteilen bildet, und ein Plasma hoher Dichte erzeugt.The object of the invention is to provide a plasma generator which forms a stable plasma with narrow, small electrode components and which generates a high-density plasma.

Diese Aufgabe wird durch den Gegenstand des Anspruchs 1 gelöst. Vorteilhafte Weiterbildungen dessen sind Gegenstand der jeweiligen anhängigen Ansprüche.This object is achieved by the subject matter of claim 1. Advantageous further developments thereof are the subject matter of the respective dependent claims.

Um das obige Problem zu lösen, ist es ein Teil-Aspekt der Erfindung von Anspruch 1 einen Plasmagenerator vorzusehen, welcher mit einem zylindrischen Kammerbauteil versehen ist, welches eine zylindrische Elektrode (1), eine Blende bzw. Stauscheibe (4), welche in dem zylindrischen Kammerbauteil angeordnet ist, um das zylindrischen Kammerbauteil in eine erste Kammer (2), welche Gaszuführanschlüsse (10) hat, und eine zweite Kammer (3), welche Gasauslassanschlüsse (11) hat, zu untergliedern, eine stabförmige Elektrode (5), welche innerhalb der zweiten Kammer (3) an einer Mittelachse der zylindrischen Elektrode (1) platziert ist, und eine Unterelektrode (6), welche an der ersten Kammer (2) platziert ist, und in der Lage ist, ein von der zylindrischen Elektrode (1) unterschiedliches Potential anzuwenden, hat, wobei der Plasmagenerator ein elektrisches Feld zwischen der zylindrischen Elektrode (1) und der stabförmigen Elektrode (5) anlegt, um ein Plasma zu erzeugen, wobei er weiterhin getrennt ein elektrisches Feld zwischen der zylindrischen Elektrode (1) und der Unterelektrode (6) anlegt, um Plasma getrennt von dem Plasma zu erzeugen, welches zwischen der stabförmigen Elektrode (5) und der zylindrischen Elektrode (1) erzeugt wird, und welcher Plasmabereiche der ersten Kammer (2) und der zweiten Kammer (3) hat, welche durch die Löcher der Blende (4) verbunden sind.In order to solve the above problem, it is a partial aspect of the invention of claim 1 to provide a plasma generator which is provided with a cylindrical chamber member which has a cylindrical electrode ( 1 ), a diaphragm or baffle plate ( 4th ), which is arranged in the cylindrical chamber component in order to convert the cylindrical chamber component into a first chamber ( 2 ), which gas supply connections ( 10 ), and a second chamber ( 3 ), which gas outlet connections ( 11 ) has, to subdivide, a rod-shaped electrode ( 5 ), which within the second chamber ( 3 ) on a central axis of the cylindrical electrode ( 1 ) is placed, and a lower electrode ( 6th ), which at the first chamber ( 2 ) is placed and is able to pick up one of the cylindrical electrode ( 1 ) has to apply different potential, whereby the plasma generator creates an electric field between the cylindrical electrode ( 1 ) and the rod-shaped electrode ( 5 ) to generate a plasma while still separating an electric field between the cylindrical electrode ( 1 ) and the lower electrode ( 6th ) to generate plasma separately from the plasma, which is between the rod-shaped electrode ( 5 ) and the cylindrical electrode ( 1 ) is generated, and which plasma areas of the first chamber ( 2 ) and the second chamber ( 3 ), which through the holes in the panel ( 4th ) are connected.

Aufgrund dessen ist es möglich, die Plasmadichte zu erhöhen, um die aktivierten reaktiven Ionen zu vermehren und es ist möglich, eine Behandlung stabil und unter einer höheren Geschwindigkeit durchzuführen. Es ist möglich, aktive Elektronen und Ionen in den schmalen, kleinen Elektrodenräumen zur Verfügung zu stellen, um die Partikeldichte des Plasmas zu verbessern und eine Entladung auch mit einer niedrigen Spannung aufrecht zu erhalten.Due to this, it is possible to increase the plasma density to increase the activated reactive ions, and it is possible to perform treatment stably and at a higher speed. It is possible to provide active electrons and ions in the narrow, small electrode spaces in order to improve the particle density of the plasma and to maintain a discharge even with a low voltage.

Es möge beachtet werden, dass die obenstehend zugeordneten Bezugszeichen Beispiele sind, welche eine Übereinstimmung mit spezifischen Ausführungsformen, welche in dem später erklärten Ausführungsform-Abschnitt beschrieben sind, anzeigen.It should be noted that the above assigned reference characters are examples indicating correspondence with specific embodiments described in the embodiments section explained later.

FigurenlisteFigure list

  • 1 ist eine schematische Ansicht, welche eine Ausführungsform der vorliegenden Erfindung zeigt; 1 Fig. 3 is a schematic view showing an embodiment of the present invention;
  • 2 ist eine schematische Ansicht, welche eine andere Ausführungsform der vorliegenden Erfindung zeigt. 2 Fig. 13 is a schematic view showing another embodiment of the present invention.

BESCHREIBUNG DER BEVORZUGTEN AUSFÜHRUNGSFORMENDESCRIPTION OF THE PREFERRED EMBODIMENTS

Untenstehend wird eine Ausführungsform der vorliegenden Erfindung beschrieben werden, während Bezug auf die Zeichnungen genommen wird. Bauteilen gleicher Beschaffenheit sind in den Ausführungsformen die gleichen Bezugszeichen zugeordnet und Erklärungen davon werden ausgelassen.Below, an embodiment of the present invention will be described while referring to the drawings. Components of the same nature in the embodiments are assigned the same reference numerals and explanations thereof are omitted.

Das Objekt, welches in dieser Ausführungsform der vorliegenden Erfindung behandelt wird, ist beispielsweise eine Nadel eines Injektors, ein Extrusionspin einer Gussform oder ein anderes säulenförmiges Objekt. An dieser Stelle wird eine Ausführungsform erklärt werden, in welcher ein Plasmagenerator verwendet wird, um die Oberfläche zu behandeln (Entfernen von Verunreinigungen oder eine Verbesserung derselben), um eine dünne Schicht von DLC, SiO2, etc. zu bilden, zu ätzen, oder anderweitig ein säulenförmiges Objekt zu behandeln. Das behandelte Objekt ist nicht auf die obenstehend veranschaulichten Beispiele beschränkt. Die vorliegende Erfindung ist anwendbar, so lange das Objekt säulenförmig oder zylindrisch ist.The object treated in this embodiment of the present invention is, for example, a needle of an injector, an extrusion pin of a casting mold or another columnar object. At this point, an embodiment will be explained in which a plasma generator is used to treat the surface (removing impurities or improving the same) to form a thin layer of DLC, SiO 2 , etc., or to etch otherwise treat a columnar object. The treated object is not limited to the examples illustrated above. The present invention is applicable as long as the object is columnar or cylindrical.

1 ist eine schematische Ansicht, welche eine erste Ausführungsform der vorliegenden Erfindung zeigt. 1 Fig. 13 is a schematic view showing a first embodiment of the present invention.

Die Erklärung wird gegeben unter Verwendung, dass die äußere Umfangsoberfläche einer stabförmigen Elektrode 5 in der vorliegenden Ausführungsform behandelt wird. Der Fall, in dem das behandelte Objekt ein zylindrischer Körper 12 ist, wird später erklärt werden. Das zylindrische Kammerbauteil ist eine Kammer, welche durch das Innere der zylindrischen Elektrode 1 umgeben wird, und ist in eine erste Kammer 2, welche Gaszuführanschlüsse 10 hat, und eine zweite Kammer 3, welche Gasauslassanschlüsse 11 hat, unterteilt. Um eine erste Kammer 2 und eine zweite Kammer 3 zu untergliedern, ist eine Blende 4 in dem zylindrischen Kammerbauteil platziert. Die zweite Kammer 3 hat eine stabförmige Elektrode 5, welche innerhalb derselben auf einer Mittelachse der zylindrischen Elektrode 1 platziert ist. Ein elektrisches Feld wird zwischen dieser und der zylindrischen Elektrode 1 angelegt, um ein Plasma zu erzeugen.The explanation will be given using that the outer peripheral surface of a rod-shaped electrode 5 is dealt with in the present embodiment. The case where the treated object is a cylindrical body 12th is will be explained later. The cylindrical chamber member is a chamber that penetrates the interior of the cylindrical electrode 1 is surrounded, and is in a first chamber 2 which gas supply connections 10 has, and a second chamber 3 which gas outlet connections 11 has divided. To a first chamber 2 and a second chamber 3 to be subdivided is an aperture 4th placed in the cylindrical chamber component. The second chamber 3 has a rod-shaped electrode 5 which within it on a central axis of the cylindrical electrode 1 is placed. An electric field is created between this and the cylindrical electrode 1 applied to generate a plasma.

Die Spannung zwischen der stabförmigen Elektrode 5 und der zylindrischen Elektrode 1 ist, als ein Beispiel, vorzugsweise 100 V bis 5 kV. Innerhalb bzw. in der ersten Kammer ist eine Unterelektrode 6 platziert. Die Spannung zwischen der Unterelektrode 6 und der zylindrischen Elektrode 1 ist, als ein Beispiel, vorzugsweise 50 V bis 500 V. Ein elektrisches Feld wird zwischen der zylindrischen Elektrode 1 und der stabförmigen Elektrode 5 angelegt, um ein Plasma zu erzeugen. Weiterhin wird getrennt von dem Plasma, welches zwischen der stabförmigen Elektrode 5 und der zylindrischen Elektrode 1 erzeugt wird, ein elektrisches Feld zwischen der zylindrischen Elektrode 1 und der Unterelektrode 6 angelegt, um ein Plasma zu erzeugen. Falls die obere und die untere Kammer nicht getrennt sind, wird die Bogenentladung in der ersten Kammer 2 der Oberseite die Glimmentladung in der zweiten Kammer 3 der Unterseite veranlassen, instabil zu werden, wodurch eine Trennung durch die Blende 4 notwendig ist.The voltage between the rod-shaped electrode 5 and the cylindrical electrode 1 is, as an example, preferably 100 V to 5 kV. A lower electrode is located inside or in the first chamber 6th placed. The voltage between the lower electrode 6th and the cylindrical electrode 1 is, as an example, preferably 50 V to 500 V. An electric field is established between the cylindrical electrode 1 and the rod-shaped electrode 5 applied to generate a plasma. Furthermore, it is separated from the plasma, which is between the rod-shaped electrode 5 and the cylindrical electrode 1 an electric field is generated between the cylindrical electrode 1 and the lower electrode 6th applied to generate a plasma. If the upper and lower chambers are not separated, the Arc discharge in the first chamber 2 the upper side the glow discharge in the second chamber 3 cause the bottom to become unstable, causing a separation by the bezel 4th necessary is.

Durchgangslöcher der Blende 4 sind auf angemessene Durchmesser und angemessene Anzahlen abhängig von der Größe der zylindrischen Elektrode 1 eingerichtet bzw. eingestellt. Als ein Beispiel dieser Ausführungsform ist die Blende 4 innerhalb einer zylindrischen Elektrode 1 eines Durchmessers von 15 mm und einer Höhe von 150 mm oder dgl. angeordnet, um das Innere der zylindrischen Kammer an einer Position von ungefährt einer Höhe von 55 mm zu unterteilen. Weiterhin ist innerhalb der zweiten Kammer eine stabförmige Elektrode 5 eines Durchmessers von 5 mm und einer Höhe von 50 mm oder dgl. an der Mittelachse der zylindrischen Elektrode 1 platziert. In diesem Falle können 4 bis 8 Durchgangslöcher von Durchmessern von ungefähr 1 mm an dem Umfang gebildet sein. Dieses bestimmte Beispiel der Größe der zylindrischen Elektrode 1 ist lediglich ein Beispiel. Die Erfindung ist nicht hierauf beschränkt.Through holes of the cover 4th are dependent on the size of the cylindrical electrode on appropriate diameters and appropriate numbers 1 set up or discontinued. As an example of this embodiment is the bezel 4th inside a cylindrical electrode 1 of a diameter of 15 mm and a height of 150 mm or the like. Arranged to divide the inside of the cylindrical chamber at a position of about a height of 55 mm. Furthermore, a rod-shaped electrode is located within the second chamber 5 a diameter of 5 mm and a height of 50 mm or the like on the central axis of the cylindrical electrode 1 placed. In this case, 4 to 8 through holes of diameters of about 1 mm may be formed on the circumference. This particular example of the size of the cylindrical electrode 1 is just an example. The invention is not limited to this.

Die Gaszuführanschlüsse 10 sind in einem Isolator 20 vorgesehen und an der oberen Kammer platziert. Die Gasauslassanschlüsse 11 sind in einem Isolator 21 vorgesehen und an der unteren Kammer platziert. Die Gaszuführanschlüsse 10 und die Gasauslassanschlüsse 11 können jeweils an beliebigen Orten der oberen Kammer und der unteren Kammer platziert sein. Der obere und der untere Isolator 20, 21 und die zylindrische Elektrode 1 können durch eine Dichtung bzw. Dichtpackung, O-Ringe, etc. abgedichtet sein. Die stabförmige Elektrode kann mit einem Spannfutter bzw. einer Spannvorrichtung etc. verankert sein. Die Mitte des Spannfutters bzw. der Spannvorrichtung ist ausgebildet, um mit der axialen Mitte des inneren Umfangs der zylindrischen Elektrode 1 übereinzustimmen. Um diese axiale Mitte ist eine Mehrzahl bzw. Vielzahl von Gasauslassanschlüssen 11 radial angeordnet.The gas supply connections 10 are in an isolator 20th provided and placed on the upper chamber. The gas outlet connections 11 are in an isolator 21 provided and placed on the lower chamber. The gas supply connections 10 and the gas outlet connections 11 can be placed at arbitrary locations of the upper chamber and the lower chamber, respectively. The top and bottom isolator 20th , 21 and the cylindrical electrode 1 can be sealed by a seal or packing, O-rings, etc. The rod-shaped electrode can be anchored with a chuck or a clamping device, etc. The center of the chuck or jig is formed to match the axial center of the inner circumference of the cylindrical electrode 1 to match. A plurality or multiplicity of gas outlet connections are located around this axial center 11 arranged radially.

Der Druck des Gases, welches von den Gaszuführanschlüssen 10 zugeführt wird, ist 300 Pa bis 101 kPa. Als das Gas können typischerweise jedes oder eine Kombination von zwei oder mehreren eines Edelgases, Kohlenwasserstoffes und Sauerstoffgases verwendet werden. Weiterhin kann ein beliebiges oder eine Kombination von zwei oder mehreren von Ar, He, N2, O2, H2, CH4, C2H2, C2 H4, C3H8, CF4, C2F6, SF6, SiH4, SiH3Cl, S1H2C12, Si (CH3)4 und (CH3)3Si-NH-Si(CH3)3 angemessen ausgewählt werden in Übereinstimmung mit der Schicht, welche gebildet werden soll, oder der Oberflächenbehandlung des Ziels.The pressure of the gas coming from the gas supply connections 10 is 300 Pa to 101 kPa. As the gas, any one or a combination of two or more of a noble gas, a hydrocarbon and an oxygen gas can typically be used. Furthermore, any one or a combination of two or more of Ar, He, N 2 , O 2 , H 2 , CH 4 , C 2 H 2 , C 2 H 4 , C 3 H 8 , CF 4 , C 2 F 6 , SF 6 , SiH 4 , SiH 3 Cl, S 1 H 2 Cl 2 , Si (CH 3 ) 4 and (CH 3 ) 3 Si-NH-Si (CH 3 ) 3 can be appropriately selected in accordance with the layer which to be formed, or the surface treatment of the target.

Gas fließt von der oberen ersten Kammer 2 durch die Löcher der Blende 4 zu der unteren zweiten Kammer 3. Auch während es sich ausbreitet bewegt es sich in alle Richtungen, aber unter einem Druck von 100 Pa oder mehr verursacht die Diffusion die Wirkung, dass der Gasfluss größer wird. Die Ladungen bewegen sich hauptsächlich durch den Fluss des Gases. Der Druck der zweiten Kammer 3 ist geringer als der Druck der ersten Kammer 2. Der Druck der zweiten Kammer 3 ist auf einen Druck von 300 Pa bis 101 kPa eingerichtet.Gas flows from the upper first chamber 2 through the holes in the panel 4th to the lower second chamber 3 . Even while it is spreading, it moves in all directions, but under a pressure of 100 Pa or more, the diffusion causes the effect of increasing the gas flow. The charges move mainly through the flow of the gas. The pressure of the second chamber 3 is less than the pressure of the first chamber 2 . The pressure of the second chamber 3 is set to a pressure of 300 Pa to 101 kPa.

Auf diesem Weg wird in der vorliegenden Erfindung Plasma an einem getrennten Ort von der inhärent erwünschten Plasmakammer erzeugt. Die Elektronen und Ionen, welche aufgrund der Glimmentladung dort erzeugt werden, die Thermionen des Plasmas, welche durch die Bogenentladung etc. erzeugt werden, werden verwendet und in die erwünschte Plasmakammer eingeführt bzw. der erwünschten Plasmakammer zugeführt. Aufgrund dessen kann auch mit einem geringeren elektrischen Feld Glühplasma erzeugt und aufrechterhalten werden.In this way, in the present invention, plasma is generated in a separate location from the inherently desired plasma chamber. The electrons and ions that are generated there due to the glow discharge, the thermions of the plasma, which are generated by the arc discharge, etc., are used and introduced into the desired plasma chamber or supplied to the desired plasma chamber. Because of this, glow plasma can be generated and maintained even with a lower electrical field.

Als nächstes wird der Betrieb der ersten Ausführungsform der vorliegenden Erfindung erklärt werden.Next, the operation of the first embodiment of the present invention will be explained.

Die zylindrische Kammer des Plasmagenerators wird auf 1 × 10-2 Pa oder weniger durch eine rotierende Pumpe bzw. Drehschieberpumpe evakuiert (Turbomolekularpumpe, Kryopumpe und dergleichen sind auch möglich), dann wird die Schichtbildungsoberfläche durch eine Zuführung von Ar-Gas von den Gaszuführanschlüssen 10 gereinigt. Eine Spannung (100 V bis 5 kV) wird von einer DC-Pulsenergiequelle an die stabförmige Elektrode 5 angelegt, um ein Plasma zu erzeugen, Kollisionen von Ar-Ionen mit der stabförmigen Elektrode 5 und der zylindrischen Elektrode 1 zu verursachen und die Schichtbildungsoberfläche zu aktivieren. In diesem Fall kann auch eine Elektroentladung bzw. Funkenerosion der ersten Kammer 2 verwendet werden.The cylindrical chamber of the plasma generator is evacuated to 1 × 10 -2 Pa or less by a rotating pump or rotary vane pump (turbo-molecular pump, cryopump and the like are also possible), then the layer formation surface is created by supplying Ar gas from the gas supply connections 10 cleaned. A voltage (100 V to 5 kV) is applied to the rod-shaped electrode from a DC pulse energy source 5 applied to generate a plasma, collisions of Ar ions with the rod-shaped electrode 5 and the cylindrical electrode 1 to cause and activate the film formation surface. In this case, an electrical discharge or spark erosion of the first chamber can also occur 2 be used.

Innerhalb der ersten Kammer 2 ist eine Unterelektrode 6, welche in der Lage ist, ein Potential anzulegen, welches unterschiedlich von der zylindrischen Elektrode 1 ist, platziert. Durch Anlegen eines elektrischen Feldes an die Unterelektrode 6 erzeugt die erste Kammer 2 Plasma. Danach wird die stabförmige Elektrode 5 mit einem elektrischen Feld durch eine Pulsenergiequelle versorgt, daher wird Plasma ebenfalls in der anderen zweiten Kammer 3 erzeugt. Um eine Schicht zu bilden, wird ein Material von CH4 oder ein anderes kohlenwasserstoffbasiertes Gas etc. zugeführt, der Druck wird auf 300 Pa bis 101 kPa justiert und Plasma wird erzeugt, um eine Schicht zu bilden. Auf diesem Wege ist es möglich, ein stabiles Plasma an schmalen, kleinen Elektrodenbauteilen zu bilden und ein Plasma hoher Dichte zu erzeugen.Inside the first chamber 2 is a sub-electrode 6th which is able to apply a potential different from the cylindrical electrode 1 is placed. By applying an electric field to the lower electrode 6th creates the first chamber 2 Plasma. After that, the rod-shaped electrode 5 supplied with an electric field by a pulse energy source, therefore plasma is also in the other second chamber 3 generated. To form a layer, a material of CH4 or other hydrocarbon-based gas, etc. is supplied, the pressure is adjusted to 300 Pa to 101 kPa, and plasma is generated to form a layer. In this way it is possible to form a stable plasma on narrow, small electrode components and to generate a high-density plasma.

Als eine Veranschaulichung kann der Fall des Beschichtens der Oberfläche der stabähnlichen Elektrode 5 mit einem DLC erwähnt werden, die Erfindung ist jedoch nicht hierauf beschränkt. Die Erfindung ist nicht beschränkt auf die Bildung einer verschleissresistenten Schicht oder einer Schicht mit hoher Gleitfähigkeitseigenschaft. Das Gas, welches verwendet wird, und die angelegte Spannung sind angemessen gewählt in Übereinstimmung mit der Oberflächenbehandlung (Entfernung von Verunreinigungen oder Verbesserung), der Bildung der dünnen Schicht, des Ätzens oder einer anderen Behandlung.As an illustration, there may be a case of coating the surface of the rod-like electrode 5 may be mentioned with a DLC, but the invention is not limited thereto. The invention is not limited to the formation of a wear-resistant layer or a layer with high lubricity properties. The gas used and the applied voltage are appropriately selected in accordance with the surface treatment (removal of impurities or improvement), the formation of the thin layer, the etching or other treatment.

Als eine andere Ausführungsform der vorliegenden Erfindung kann die folgende Abwandlung betrachtet werden. 2 ist eine Konzeptansicht, welche eine andere Ausführungsform der vorliegenden Erfindung zeigt.As another embodiment of the present invention, the following modification can be considered. 2 Fig. 13 is a conceptual view showing another embodiment of the present invention.

Innerhalb der zweiten Kammer 3 ist ein zylindrischer Körper 12 platziert, um leitfähig mit der zylindrischen Elektrode 1 zu sein. Die Mittelachse der inneren Umfangsoberfläche des zylindrischen Körpers 12 ist auf der Mittelachse der zylindrischen Elektrode 1 platziert. Wie obenstehend erklärt, wird Plasma auf demselben Weg wie in der ersten Ausführungsform erzeugt. In diesem Fall wird die innere Umfangsoberfläche des zylindrischen Körpers 12 behandelt. Als ein Beispiel kann der Fall eines Beschichtens desselben mit einem DLC erwähnt werden. Es sei angemerkt, dass die Erfindung nicht hierauf beschränkt ist. Sie ist nicht auf die Bildung einer verschleissresistenten Schicht oder einer Schicht mit hohen Gleiteigenschaften beschränkt. Das Gas, das verwendet wird, und die angelegte Spannung werden angemessen gewählt in Übereinstimmung mit der Oberflächenbehandlung (Entfernung von Verunreinigungen oder Verbesserung), der Bildung der dünnen Schicht, des Ätzens oder einer anderen Behandlung.Inside the second chamber 3 is a cylindrical body 12th placed to be conductive with the cylindrical electrode 1 to be. The central axis of the inner peripheral surface of the cylindrical body 12th is on the central axis of the cylindrical electrode 1 placed. As explained above, plasma is generated in the same way as in the first embodiment. In this case, it becomes the inner peripheral surface of the cylindrical body 12th treated. As an example, there can be mentioned the case of coating the same with a DLC. It should be noted that the invention is not limited to this. It is not limited to the formation of a wear-resistant layer or a layer with high sliding properties. The gas used and the applied voltage are appropriately selected in accordance with the surface treatment (removal of impurities or improvement), the formation of the thin film, the etching or other treatment.

Claims (7)

Plasmagenerator, welcher mit einem zylindrischen Kammerbauteil ausgestattet ist, welches eine zylindrische Elektrode (1), eine Blende (4), welche in dem zylindrischen Kammerbauteil angeordnet ist, um das zylindrische Kammerbauteil in eine erste Kammer (2), welche Gaszuführanschlüsse (10) hat, und eine zweite Kammer (3), welche Gasauslassanschlüsse (11) hat, zu untergliedern, eine stabförmigen Elektrode (5), welche innerhalb der zweiten Kammer (3) auf einer Mittelachse der zylindrischen Elektrode (1) platziert ist, und eine Unterelektrode (6) hat, welche an der ersten Kammer (2) platziert ist, und in der Lage ist, ein von der zylindrischen Elektrode (1) verschiedenes Potential anzulegen, wobei der Plasmagenerator ein elektrisches Feld zwischen der zylindrischen Elektrode (1) und der stabförmigen Elektrode (5) anlegt, um ein Plasma zu erzeugen, wobei er weiterhin getrennt ein elektrisches Feld zwischen der zylindrischen Elektrode (1) und der Unterelektrode (6) anlegt, um ein Plasma getrennt von dem Plasma zu erzeugen, welches zwischen der stabförmigen Elektrode (5) und der zylindrischen Elektrode (1) erzeugt wird, und welcher Plasmabereiche der ersten Kammer (2) und der zweiten Kammer (3) hat, welche durch die Löcher der Blende (4) verbunden sind, wobei das Plasma, welches zwischen der stabförmigen Elektrode (5) und der zylindrischen Elektrode (1) erzeugt wird, eine Glimmentladung ist, und das Plasma, welches zwischen der zylindrischen Elektrode (1) und der Unterelektrode (6) erzeugt wird, eine Glimmentladung oder eine Bogenentladung ist, und wobei ein Druck der zweiten Kammer (3) ein Druck von 300 Pa bis 101 kPa ist. Plasma generator, which is equipped with a cylindrical chamber component, which has a cylindrical electrode (1), a screen (4) which is arranged in the cylindrical chamber component, around the cylindrical chamber component in a first chamber (2) which has gas supply connections (10) , and a second chamber (3) which has gas outlet connections (11) to subdivide, a rod-shaped electrode (5) which is placed inside the second chamber (3) on a central axis of the cylindrical electrode (1), and a sub-electrode ( 6), which is placed on the first chamber (2) and is able to apply a potential different from that of the cylindrical electrode (1), wherein the plasma generator applies an electric field between the cylindrical electrode (1) and the rod-shaped electrode (5) in order to generate a plasma, further applying an electric field separately between the cylindrical electrode (1) and the sub-electrode (6) to generate a plasma separately from the plasma generated between the rod-shaped electrode (5) and the cylindrical electrode (1), and which has plasma areas of the first chamber (2) and the second chamber (3) which are connected by the holes in the diaphragm (4), wherein the plasma generated between the rod-shaped electrode (5) and the cylindrical electrode (1) is a glow discharge, and the plasma generated between the cylindrical electrode (1) and the sub-electrode (6) is a glow discharge or is an arc discharge, and wherein a pressure of the second chamber (3) is a pressure of 300 Pa to 101 kPa. Plasmagenerator nach Anspruch 1, wobei ein Druck der zweiten Kammer (3) geringer ist als ein Druck der ersten Kammer (2).Plasma generator after Claim 1 , wherein a pressure of the second chamber (3) is lower than a pressure of the first chamber (2). Plasmagenerator nach Anspruch 1, wobei ein Gas, welches von den Gaszufuhranschlüssen (10) zugeführt wird entweder ein Edelgas, Kohlenwasserstoff, und Sauerstoffgas, oder eine Kombination von zwei oder mehr Typen derselben sind.Plasma generator after Claim 1 wherein a gas supplied from the gas supply ports (10) is either a rare gas, hydrocarbon, and oxygen gas, or a combination of two or more types thereof. Plasmagenerator nach Anspruch 1, wobei ein Gas, welches von den Gaszuführanschlüssen (10) zugeführt wird, entweder Ar, He, N2, O2, H2, CH4, C2H2, C2H4, C3H8, CF4, C2F6, SF6, SiH4, SiH3Cl, SiH2Cl2, Si (CH3)4 und (CH3)3Si-NH-Si(CH3)3 oder eine Kombination von zwei oder mehr Typen derselben ist.Plasma generator after Claim 1 , wherein a gas which is supplied from the gas supply connections (10) is either Ar, He, N 2 , O 2 , H 2 , CH 4 , C 2 H 2 , C 2 H 4 , C 3 H 8 , CF 4 , C 2 F 6 , SF 6 , SiH 4 , SiH 3 Cl, SiH 2 Cl 2 , Si (CH 3 ) 4 and (CH 3 ) 3 Si-NH-Si (CH 3 ) 3, or a combination of two or more types is the same. Plasmagenerator nach einem der Ansprüche 1 bis 4, welcher eine Oberfläche der stabförmigen Elektrode (5) mit einem diamantähnlichen Kohlenstoff beschichtet.Plasma generator according to one of the Claims 1 until 4th which coats a surface of the rod-shaped electrode (5) with a diamond-like carbon. Plasmagenerator nach einem der Ansprüche 1 bis 4, welcher einen zylindrischen Körper (12) innerhalb der zweiten Kammer (3) platziert, um leitfähig mit der zylindrischen Elektrode (1) zu sein, und wobei eine Mittelachse einer inneren Umfangsoberfläche des zylindrischen Körpers (12) auf einer Mittelachse der zylindrischen Elektrode (1) platziert ist.Plasma generator according to one of the Claims 1 until 4th which places a cylindrical body (12) inside the second chamber (3) to be conductive with the cylindrical electrode (1), and wherein a central axis of an inner peripheral surface of the cylindrical body (12) is on a central axis of the cylindrical electrode (1 ) is placed. Plasmagenerator nach Anspruch 6, welcher die innere Umfangsoberfläche des zylindrischen Körpers (12) mit einem diamantähnlichen Kohlenstoff beschichtet.Plasma generator after Claim 6 coating the inner peripheral surface of the cylindrical body (12) with a diamond-like carbon.
DE102010060591.3A 2009-12-11 2010-11-16 Plasma generator Expired - Fee Related DE102010060591B4 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2009282062A JP5212346B2 (en) 2009-12-11 2009-12-11 Plasma generator
JP2009-282062 2009-12-11

Publications (2)

Publication Number Publication Date
DE102010060591A1 DE102010060591A1 (en) 2011-06-22
DE102010060591B4 true DE102010060591B4 (en) 2021-08-26

Family

ID=44131666

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102010060591.3A Expired - Fee Related DE102010060591B4 (en) 2009-12-11 2010-11-16 Plasma generator

Country Status (3)

Country Link
JP (1) JP5212346B2 (en)
CN (1) CN102098865B (en)
DE (1) DE102010060591B4 (en)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2477026C2 (en) * 2010-11-17 2013-02-27 Российская Федерация, от имени которой выступает Министерство промышленности и торговли Российской Федерации (Минпромторг России) High-frequency plasmatron
CN102802334A (en) * 2012-07-31 2012-11-28 北京航空航天大学 Sliding-arc-discharge plasma generation device loaded with magnetic field
JP5772757B2 (en) * 2012-08-07 2015-09-02 株式会社デンソー Method and apparatus for forming amorphous hard carbon film
CN105040612B (en) * 2015-07-13 2017-03-01 中国民航大学 Airfield pavement plasma removes adhesive dispenser
CN105506950B (en) * 2016-01-11 2017-10-31 浙江纺织服装科技有限公司 The device and method of plasma treatment Woolens sterilization and insect prevention moth
CN107389255A (en) 2017-07-11 2017-11-24 中国科学院工程热物理研究所 High frequency sound pressure sensor based on direct current glow discharge plasma principle
RU204397U1 (en) * 2020-12-21 2021-05-24 федеральное государственное автономное образовательное учреждение высшего образования "Санкт-Петербургский политехнический университет Петра Великого" (ФГАОУ ВО "СПбПУ") Device for excitation of a discharge in an RFI plasmatron
DE102022112149A1 (en) 2022-05-16 2023-11-16 Leibniz-Institut für Oberflächenmodifizierung e.V. Device and method for generating ions by a plasma
DE102022126660A1 (en) 2022-10-13 2024-04-18 Graforce Gmbh Plasma electrode arrangement and plasma lysis device

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2920234A (en) 1958-05-27 1960-01-05 John S Luce Device and method for producing a high intensity arc discharge
US3944873A (en) 1973-09-24 1976-03-16 Ion Tech Limited Hollow cathode type ion source system including anode screen electrodes
JPS57131373A (en) 1981-02-09 1982-08-14 Nippon Telegr & Teleph Corp <Ntt> Plasma etching device
JPH02244624A (en) 1989-03-16 1990-09-28 Tokyo Electron Ltd Plasma processor
JPH07153596A (en) 1993-11-29 1995-06-16 Japan Steel Works Ltd:The Plasma treating device and plasma treating method
JPH1182556A (en) 1997-09-17 1999-03-26 Komatsu Ltd Instrument using electroviscous fluid
JPH11140646A (en) 1997-11-04 1999-05-25 Sony Corp Cd plasma cvd device for dlc film formation and formation of dlc film
JP2001023972A (en) 1999-07-10 2001-01-26 Nihon Ceratec Co Ltd Plasma treatment device
US20030106643A1 (en) 1999-12-07 2003-06-12 Toshihiro Tabuchi Surface treatment apparatus
US6599588B2 (en) 2001-10-31 2003-07-29 Hon Hai Precision Ind. Co., Ltd. Method for surface treatment of metal enclosure
EP1518669A2 (en) 2003-08-29 2005-03-30 Tadatomo Suga Method for bonding substrates and method for irradiating particle beam to be utilized therefor
US6949735B1 (en) 1999-07-14 2005-09-27 Ebara Corporation Beam source
CN1692492A (en) 2002-11-20 2005-11-02 松下电器产业株式会社 Method of manufacturing semiconductor device, plasma processing apparatus and plasma processing method
CN101363118A (en) 2007-08-10 2009-02-11 北方工业大学 Capacitance coupling plasma apparatus and method for growing silicon carbide film on silicon substrate

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2862088B2 (en) * 1989-01-23 1999-02-24 實 菅原 Plasma generator
JP4212210B2 (en) * 1999-12-07 2009-01-21 株式会社小松製作所 Surface treatment equipment
JP4252317B2 (en) * 2003-01-10 2009-04-08 株式会社半導体エネルギー研究所 Vapor deposition apparatus and vapor deposition method
EP1689216A1 (en) * 2005-02-04 2006-08-09 Vlaamse Instelling Voor Technologisch Onderzoek (Vito) Atmospheric-pressure plasma jet
US7608151B2 (en) * 2005-03-07 2009-10-27 Sub-One Technology, Inc. Method and system for coating sections of internal surfaces
EP1907596A4 (en) * 2005-07-26 2009-09-16 Psm Inc Injection type plasma treatment apparatus and method

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2920234A (en) 1958-05-27 1960-01-05 John S Luce Device and method for producing a high intensity arc discharge
US3944873A (en) 1973-09-24 1976-03-16 Ion Tech Limited Hollow cathode type ion source system including anode screen electrodes
JPS57131373A (en) 1981-02-09 1982-08-14 Nippon Telegr & Teleph Corp <Ntt> Plasma etching device
JPH02244624A (en) 1989-03-16 1990-09-28 Tokyo Electron Ltd Plasma processor
JPH07153596A (en) 1993-11-29 1995-06-16 Japan Steel Works Ltd:The Plasma treating device and plasma treating method
JPH1182556A (en) 1997-09-17 1999-03-26 Komatsu Ltd Instrument using electroviscous fluid
JPH11140646A (en) 1997-11-04 1999-05-25 Sony Corp Cd plasma cvd device for dlc film formation and formation of dlc film
JP2001023972A (en) 1999-07-10 2001-01-26 Nihon Ceratec Co Ltd Plasma treatment device
US6949735B1 (en) 1999-07-14 2005-09-27 Ebara Corporation Beam source
US20030106643A1 (en) 1999-12-07 2003-06-12 Toshihiro Tabuchi Surface treatment apparatus
US6599588B2 (en) 2001-10-31 2003-07-29 Hon Hai Precision Ind. Co., Ltd. Method for surface treatment of metal enclosure
CN1692492A (en) 2002-11-20 2005-11-02 松下电器产业株式会社 Method of manufacturing semiconductor device, plasma processing apparatus and plasma processing method
EP1518669A2 (en) 2003-08-29 2005-03-30 Tadatomo Suga Method for bonding substrates and method for irradiating particle beam to be utilized therefor
CN101363118A (en) 2007-08-10 2009-02-11 北方工业大学 Capacitance coupling plasma apparatus and method for growing silicon carbide film on silicon substrate

Also Published As

Publication number Publication date
CN102098865B (en) 2013-07-17
CN102098865A (en) 2011-06-15
JP5212346B2 (en) 2013-06-19
JP2011124153A (en) 2011-06-23
DE102010060591A1 (en) 2011-06-22

Similar Documents

Publication Publication Date Title
DE102010060591B4 (en) Plasma generator
DE1621599C2 (en) Device for removing impurities from a metallic layer applied to a semiconductor body in the area of small openings in an insulating layer by cathode sputtering
EP2041332B1 (en) Method and device for plasma-assisted chemical vapour deposition on the inner wall of a hollow body
DE3708717A1 (en) METHOD AND DEVICE FOR PROCESSING SOLID BODY SURFACES BY PARTICLE Bombardment
EP2626445B1 (en) Hollow cathode gas lance for internally coating containers
EP0727508A1 (en) Method and apparatus for treatment of substrate surfaces
DE102011055125B4 (en) Plasma generating device
EP1264330B1 (en) Method and device for the plasma-activated surface treatment and use of the inventive method
DE10203543B4 (en) Device for generating an APG plasma
DE3140675C2 (en)
DE10104614A1 (en) Plasma system and method for producing a functional coating
EP2087503A1 (en) Device for the pre-treatment of substrates
CH686254A5 (en) Method for adjusting the processing rate distribution and caustic or plasma CVD system for its execution.
EP1273025A1 (en) Method and device for plasma-treating the surface of substrates by ion bombardment
DE102008028167A1 (en) Plasma jet production device for treatment or activation of through holes of e.g. printed circuit boards, has auxiliary electrode spaced from receiver, where side of receiver is turned away from front side opening of tube
DE102019213043B4 (en) Method of making diamond tips and diamond tip made by the method
DE1767863A1 (en) Vacuum device and method for regulating the pressure in a specific zone of a vacuum chamber
EP3821118B1 (en) Method for coating at least one metallic component
DE102005025101B3 (en) Device for cleaning interior of vacuum chamber, used e.g. for physical or chemical vapor deposition, comprising carrier with electrode(s) connected to D.C. source, for electrostatically fixing contaminants
DE102010039365B4 (en) Plasma processes at atmospheric pressure
DE202008018264U1 (en) Apparatus for generating a plasma jet
DE4242616C2 (en) Process for the production of capillaries and their use for a device for generating a beam of accelerated ions and / or atoms
DE3821815A1 (en) Device for coating an elongate interior of a hollow body with a diamond-like hard carbon coating by means of a plasma-assisted CVD process
DE19930925B4 (en) plasma generator
DE102005032019A1 (en) A method of depositing a material into a hole in an electrically conductive workpiece

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R012 Request for examination validly filed

Effective date: 20141001

R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee