DE102007036348A1 - Verfahren zum Korrigieren einer kritischen Abmessung in einem Srukturelement mit hohem Seitenverhältnis - Google Patents

Verfahren zum Korrigieren einer kritischen Abmessung in einem Srukturelement mit hohem Seitenverhältnis Download PDF

Info

Publication number
DE102007036348A1
DE102007036348A1 DE102007036348A DE102007036348A DE102007036348A1 DE 102007036348 A1 DE102007036348 A1 DE 102007036348A1 DE 102007036348 A DE102007036348 A DE 102007036348A DE 102007036348 A DE102007036348 A DE 102007036348A DE 102007036348 A1 DE102007036348 A1 DE 102007036348A1
Authority
DE
Germany
Prior art keywords
layer
pattern
material layer
critical dimension
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE102007036348A
Other languages
English (en)
Inventor
Toshihisa Kobe Nozawa
Junichi Sasaki
Stefan Sawusch
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of DE102007036348A1 publication Critical patent/DE102007036348A1/de
Ceased legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

Verfahren zum Korrigieren oder Einstellen der lateralen kritischen Abmessung (d. h. Länge und Breite) eines Elementes, das in einer Schicht auf einem Substrat ausgebildet wird, unter Verwendung eines Trockenätzprozesses. Eine oder mehrere dünne Zwischenschichten werden in die Schicht eingefügt, in der das Strukturelement erzeugt werden soll. Sobald eine Zwischenschicht während des Ätzprozesses erreicht wird, wird ein Ätzprozess zum Korrigieren und/oder Einstellen der lateralen kritischen Abmessungen vor dem Ätzen durch die Zwischenschicht und dem Fortsetzen der Schichtätzung ausgeführt.

Description

  • GEBIET DER ERFINDUNG
  • Die vorliegende Erfindung betrifft ein Verfahren zur Erzeugung eines Strukturelements in einem Substrat unter Verwendung eines Ätzprozesses und spezieller ein Verfahren zum Korrigieren einer kritischen Abmessung (CD) eines Musters, das während des Ätzprozesses auf eine Schicht auf einem Substrat übertragen wird.
  • BESCHREIBUNG DES STANDES DER TECHNIK
  • Während der Halbleiterverarbeitung kann ein (Trocken-) Plasmaätzprozeß zum Entfernen oder Ätzen von Material entlang dünner Linien oder in Kontaktlöchern oder Kontakten verwendet werden, die auf ein Siliziumsubstrat aufgebracht sind. Der Plasmaätzprozeß beinhaltet im allgemeinen das Positionieren eines Halbleitersubstrats mit einer darüber liegenden Schutzschicht, zum Beispiel einer Fotolackmaske, in einer Verarbeitungskammer. Sobald das Substrat in der Kammer positioniert ist, wird eine ionisierbare, dissoziative Gasmischung mit einer festgelegten Durchflußrate in die Kammer eingeführt, während eine Vakuumpumpe gedrosselt wird, um einen Umgebungsprozeßdruck zu erreichen.
  • Danach wird ein Plasma gebildet, wenn ein Teil der Gasarten, die vorhanden sind, durch Elektronen, die durch die Übertragung von Hochfrequenz-(HF)-Energie, entweder induktiv oder kapazitiv, oder durch Mikrowellenenergie unter Verwendung der Elektronenzyklotronresonanz (ECR) aufgeheizt sind, ionisiert wird. Außerdem dienen die thermisch angeregten Elektronen zum Dissoziieren einiger Umgebungsgasarten und zum Erzeugen reaktionsfreudiger Arten, die sich für die Ätzchemie freigelegter Oberflächen eignen. Sobald das Plasma gebildet ist, werden ausgewählte Flächen des Substrats durch das Plasma geätzt. Der Prozeß wird eingerichtet, um geeignete Bedingungen zu erreichen, einschließlich einer geeigneten Konzentration von erwünschten Recktanten- und Innenpopulationen, um verschiedene Strukturelemente (z.B. Gräben, Kontaktlöcher, Kontakte usw.) in den ausgewählten Bereichen des Substrats zu ätzen. Solche Substratmaterialien, bei denen Ätzen erforderlich ist, können neben anderen Materialien Siliziumdioxid (SiO2), dielektrische Materialien mit niedrigem k-Wert, polykristallines Silizium und Siliziumnitrid umfassen.
  • KURZDARSTELLUNG DER ERFINDUNG
  • Die vorliegende Erfindung betrifft ein Verfahren zur Erzeugung eines Strukturelements auf einem Substrat unter Verwendung eines Ätzprozesses.
  • Gemäß einer Ausführungsform wird ein Verfahren zum Korrigieren einer kritischen Abmessung (CD = critical dimension) eines Musters beschrieben, das während eines Ätzprozesses auf eine Schicht auf einem Substrat übertragen wird.
  • Gemäß einer weiteren Ausführungsform wird ein Verfahren zum Korrigieren einer kritischen Abmessung (CD) eines Musters beschrieben, das während eines Ätzprozesses auf eine Schicht auf einem Substrat durch Einfügen von einer oder mehreren Zwischenschichten innerhalb der Schicht, die geätzt wird, und Korrigieren der CD, wenn der Ätzprozeß eine jede Zwischenschicht erreicht, übertragen wird.
  • Gemäß einer weiteren Ausführungsform umfassen ein Verfahren zum Ätzen eines Substrats und ein computerlesbares Medium mit Befehlen, mit denen ein Computersystem verlaßt wird, einen Ätzprozeß zu steuern, das Erzeugen einer Schichtenfolge auf dem Substrat, wobei die Schichtenfolge eine Verbundschicht umfaßt, welche eine obere Materialschicht, eine Zwischenschicht und eine untere Materialschicht umfaßt, und eine Maskenschicht umfaßt, die auf der Verbundschicht, in der ein Muster ausgebildet ist, liegt. Das Muster wird auf die Verbundschicht unter Verwendung von einem oder mehreren Ätzprozessen folgendermaßen übertragen: Übertragen des Musters auf die obere Materialschicht der Verbundschicht, bis die Zwischenschicht erreicht ist, Einstellen bzw. Korrigieren von mindestens einer kritischen Abmessung (CD) des Musters in der oberen Materialschicht, Übertragen des eingestellten bzw. korrigierten Musters in der oberen Materialschicht auf die Zwischenschicht und Übertragen des eingestellten bzw. korrigierten Musters auf die untere Materialschicht der Verbundschicht.
  • KURZBESCHREIBUNG DER ZEICHNUNGEN
  • In den begleitenden Zeichnungen gilt folgendes:
  • Die 1A und 1B illustrieren eine schematische Darstellung der Übertragung eines Musters auf eine Filmschichtenfolge auf einem Substrat.
  • 1C zeigt eine Draufsicht auf das Muster, das in den 1A und 1B abgebildet ist.
  • Die 2A bis 2D illustrieren eine schematische Darstellung der Übertragung eines Musters auf eine Filmschichtenfolge auf einem Substrat gemäß einer Ausführungsform der Erfindung.
  • Die 3A bis 3C illustrieren eine schematische Darstellung der Übertragung eines Musters auf eine Filmschichtenfolge auf einem Substrat gemäß einer weiteren Ausführungsform der Erfindung.
  • 4 zeigt ein schematisches Diagramm eines Plasmaverarbeitungssystems gemäß einer Ausführungsform der Erfindung.
  • 5 zeigt ein schematisches Diagramm eines Plasmaverarbeitungssystems gemäß einer weiteren Ausführungsform der Erfindung.
  • 6 zeigt ein schematisches Diagramm eines Plasmaverarbeitungssystems gemäß einer weiteren Ausführungsform der Erfindung.
  • 7 zeigt ein schematisches Diagramm eines Plasmaverarbeitungssystems gemäß einer weiteren Ausführungsform der Erfindung.
  • 8 zeigt ein schematisches Diagramm eines Plasmaverarbeitungssystems gemäß einer weiteren Ausführungsform der Erfindung.
  • 9 zeigt ein schematisches Diagramm eines Plasmaverarbeitungssystems gemäß einer weiteren Ausführungsform der Erfindung.
  • 10 illustriert eine als Beispiel dienende Filmschichtenfolge gemäß einer Ausführungsform der Erfindung.
  • 11 illustriert ein Verfahren zur Übertragung eines Musters auf eine Schicht auf einem Substrat gemäß einer weiteren Ausführungsform der Erfindung.
  • AUSFÜHRLICHE BESCHREIBUNG MEHRERER AUSFÜHRUNGSFORMEN
  • In der folgenden Beschreibung werden spezielle Details für Zwecke der Erklärung, und nicht zur Einschränkung, dargelegt, wie zum Beispiel eine besondere Geometrie des Plasmaverarbeitungssystems und Beschreibungen verschiedener Prozesse. Es versteht sich jedoch, daß die Erfindung in anderen Ausführungsformen ausgeführt werden kann, die von diesen speziellen Details abweichen.
  • Bei den Materialverarbeitungsverfahren umfaßt das Strukturätzen das Auftragen einer dünnen Schicht von lichtempfindlichem Material, wie zum Beispiel von Fotolack, auf die Oberseite eines Substrats, die anschließend strukturiert wird, um eine Maske für die Übertragung dieses Musters während des Ätzens auf den darunterliegenden Film bereitzustellen. Das Strukturieren des lichtempfindlichen Materials beinhaltet im allgemeinen die Einwirkung einer Strahlungsquelle durch ein Zwischennegativ sogenanntes „Reticle" (und zugehörige Optik) des lichtempfindlichen Materials unter Verwendung zum Beispiel eines Mikrolithografiesystems, anschließendes Entfernen der bestrahlten Bereiche des lichtempfindlichen Materials (wie im Fall des positiven Fotolacks) oder der nicht bestrahlten Bereiche (wie im Fall des negativen Fotolacks) unter Verwendung eines Entwickler-Lösungsmittels. Außerdem kann diese Maskenschicht mehrere Schichten umfassen.
  • Beispielsweise illustrieren die 1A bis 1C eine Filmschichtenfolge 100, wobei ein Muster 135, das in der Maskenschicht 130 erzeugt wurde, auf eine darunter liegende Materialschicht 120 auf einem Substrat 110 übertragen wird. Die Übertragung von Muster 135 kann unter Verwendung eines Ätzprozesses, wie zum Beispiel eines Trockenplasma-Ätzprozesses, ausgeführt werden. Filmschichtenfolge 100 kann die Übertragung eines Musters auf die Materialschicht 120 umfassen, die wiederum als Hartmaske zur Herstellung einer Struktur in Substrat 110 dienen kann. Die Struktur kann zum Beispiel einen Kondensator mit tiefem Graben zur Verwendung in DRAM-Speichervorrichtungen in der Elektronikindustrie umfassen. Wie in der Draufsicht illustriert, die in 1C gezeigt wird, kann Muster 135 im wesentlichen rechteckig sein, wenn ein Kondensator mit tiefem Graben erzeugt wird, und kann durch zwei laterale kritische Abmessungen („critical dimension", CD) gekennzeichnet sein, nämlich a und b (wobei sich a entlang einer Nebenachse von Muster 135 und b sich entlang einer Hauptachse von Muster 135 erstreckt).
  • Die Maskenschicht 130 kann zum Beispiel eine Fotolackschicht mit einem Muster 135 umfassen, das über einen fotolithografischen Prozeß erzeugt wurde. Ferner kann die Maskenschicht 130 zusätzliche Schichten umfassen, z.B. eine Zweischichten- oder Dreischichtenmaske. Die Maskenschicht 130 kann zum Beispiel eine weiche Maskenschicht, eine reflexionsmindernde Beschichtung (ARC) oder eine harte Maskenschicht oder eine Kombination von zwei oder drei davon umfassen. Wie in 1B gezeigt, wird das Muster 135 unter Verwendung eines Ätzprozesses auf die darunter liegende Materialschicht 120 übertragen, wobei die CDs, a und b, von Muster 135 bewahrt werden können. Insbesondere ist die Bewahrung des Seitenverhältnisses (a/b) für Muster 135 wünschenswert, zum Beispiel während der Erzeugung von Kondensatoren mit tiefem Graben. Die Erfinder haben jedoch beobachtet, daß herkömmliche Ätzprozesse, die so ausgelegt sind, daß das Muster 135 auf die darunter liegenden Materialschichten übertragen wird, das Seitenverhältnis des Musters möglicherwei se nicht bewahren können. Ein Ätzprozeß, der zum Beispiel zur Bewahrung einer CD geeignet ist, kann sich möglicherweise nicht zum Bewahren einer anderen CD eignen, insbesondere wenn das Seitenverhältnis (a/b) relativ groß wird.
  • Mit Verweis nun auf die 2A bis 2D, wird ein Verfahren zur Übertragung eines Musters auf eine Materialschicht auf einem Substrat gemäß einer Ausführungsform illustriert. Es wird eine Filmschichtenfolge bzw. Filmschichtenstapel 200 gezeigt, durch die bzw. den das Muster 135, das in der Maskenschicht 130 erzeugt wird, auf eine Verbundmaterialschicht 220 übertragen wird. Die Verbundmaterialschicht 220 umfaßt die Materialschichten 220A, 220B (und optional 220C), die durch eine oder mehrere Zwischenschichten 225A (und optional 225B) auf verschiedenen Höhen innerhalb der Verbundschicht getrennt sind.
  • Die Materialzusammensetzung der Materialschichten 220A, 220B, 220C kann sich von der Materialzusammensetzung der Zwischenschichten 225A, 225B unterscheiden. Die Materialzusammensetzung jeder Schicht kann so gewählt werden, daß der Ätzprozeß für die selektive Ätzung eines Materials mit einer wesentlich anderen Rate als desjenigen des anderen Materials ausgelegt wird. Wenn man zum Beispiel die Verbundschicht 220 zur Erzeugung einer Struktur in einem Siliziumsubstrat verwendet, können die Materialschichten 220A, 220B, 220C oxidiertes Silizium oder Siliziumoxid (SiOx) oder Siliziumdioxid (SiO2) umfassen, wie zum Beispiel verschiedene Formen von Quarzsand, Glas, undotiertes Silikatglas, dotiertes Silikatglas, Bor-Phosphorsilikatglas (BPSG) usw., und die Zwischenschichten 225A, 225B können Siliziumnitrid (SixNy) oder Nitride von siliziumhaltigem Material oder Oxynitride von siliziumhaltigem Material umfassen. In einem Beispiel können die Materialschichten 220A, 220B, 220C undotiertes Silikatglas umfassen, und die Zwischenschichten 225A, 225B können Siliziumnitrid (Si3N4) umfassen.
  • Wie in 2B gezeigt, wird ein erster Ätzprozeß verwendet, um die Materialschicht 220A in Bezug auf die Maskenschicht 130 selektiv zu ätzen und um an der Zwischenschicht 225A zu stoppen. Die Prozeßchemie kann eine jegliche Ätzchemie umfassen, die zum Ätzen der obigen Materialien ausgelegt ist, wie sie von jedem Fachmann auf dem Gebiet der Ätzprozeßtechnik verstanden werden. Beim trockenen Plasmaätzen eines dielektrischen Oxidfilms, wie zum Beispiel Siliziumoxid, Siliziumdioxid usw. umfaßt das Plasmaätzgas im allgemeinen folgendes: Chemikalien auf der Basis von Fluorkohlenstoffen, wie zum Beispiel C4F8, C5F8, C3F6, C4F6, CF4 usw. oder eine Kombination derselben; oder Chemikalien auf der Basis von Fluorkohlenwasserstoffen, wie zum Beispiel CHF3, CH2F2 usw. oder eine Kombination derselben; und mindestens ein Element aus der Gruppe bestehend aus einem inerten Gas, Sauerstoff (O2), Stickstoff (N2), Wasserstoff (H2), CO, CO2, NO, NO2 oder N2O; oder eine Kombination dieser Gase. Abgesehen von den Chemikalien, können die Trockenplasmaätzparameter ferner Druck, Substrattemperatur, Kammerkomponententemperatur, Gasdurchflußraten, Leistungsniveau (zum Erzeugen und Aufrechterhalten einer Plasmadichte oder Innenenergie oder von beidem) usw. umfassen.
  • Sobald das Muster bzw. die Struktur 135 auf die Materialschicht 220A übertragen ist, wird ein Korrekturätzprozeß ausgeführt, um mindestens eine CD von Muster 135 in der Materialschicht 220A zu korrigieren. Die CD kann zum Beispiel Abmessung a, Abmessung b oder das Seitenverhältnis a/b oder eine Kombination von zwei oder mehr derselben umfassen. Die Chemikalien für den Korrekturätzprozeß können jede Kombination von Ätzgaszusammensetzungen, die oben beschrieben werden, umfassen. Dieser Korrekturätzprozeß kann sich von den Ätzprozessen unterscheiden, die zum Ätzen der Materialschichten 220A, 220B, 220C genutzt werden. Die Verarbeitungsparameter, die zum Beispiel Chemikalien, Leistung, Druck, Temperatur, Gasdurchflußraten usw. umfassen, können ebenfalls verschieden sein.
  • Im allgemeinen ist die Zeit, die zum Übertragen von Muster 135 auf die Materialschicht 220A benötigt wird, vorgegeben; es kann jedoch auch die Feststellung des Endpunktes genutzt werden, um festzustellen, warm der Ätzprozeß die Zwischenschicht 225A erreicht. Wie oben beschrieben, wird die Zeit ferner durch einen zweiten Zeitabschnitt oder einen Zeitabschnitt zum Korrekturätzen verlängert. Die Korrekturätzzeit kann einen Zeitbruchteil, wie zum Beispiel 1 bis 100%, der ersten Zeit umfassen, und dieser Korrekturätzzeitabschnitt kann eine Verlängerung des Ätzens über die Feststellung des Endpunktes hinaus umfassen. Um ferner den Status von Muster 135 in den Materialschichten 220A, 220B, 220C genau zu bestimmen, kann das Profil gemessen werden, um festzustellen, ob die CDs und/oder das Verhältnis der CDs innerhalb der Vorgabe vor oder nach oder vor und nach dem Korrekturätzschritt liegen. Ein Beispiel für ein Meßwerkzeug zum Messen dieser CDs umfaßt ein integriertes Optisches Digitales Profilmeß-(iOPD)-Streulichtmeßmodul.
  • Wie in 2C gezeigt, wird ein zweiter Ätzprozeß zum selektiven Ätzen der Zwischenschicht 225A relativ zur Materialschicht 220A und Maskenschicht 130 und zum Stoppen an der Materialschicht 220B verwendet. Die Prozeßchemikalien können alle Ätzchemikalien umfassen, die zum Ätzen der oben beschriebenen Materialien ausgelegt sind, wie sie von dem Fachmann auf dem Gebiet der Ätzprozeßtechnik verstanden werden. Beim trockenen Plasmaätzen eines dielektrischen Nitridfilms, wie zum Beispiel Siliziumnitrid usw. umfaßt das Plasmaätzgas im allgemeinen folgendes: Chemikalien auf der Basis von Fluorkohlenstoffen, wie zum Beispiel C4F8, C5FR, C3F6, C4F6, CF4 usw. oder eine Kombination derselben; oder Chemikalien auf der Basis von Fluorkohlenwasserstoffen, wie zum Beispiel CHF3, CH2F2 usw. oder eine Kombination derselben; und mindestens ein Element aus der Gruppe bestehend aus einem inerten Gas, Sauerstoff (O2), Stickstoff (N2), Wasserstoff (H2), CO, CO2, NO, NO2 oder N2O; oder eine Kombination dieser Gase. Abgesehen von den Chemikalien, können die Trockenplasmaätzparameter ferner Druck, Substrattemperatur, Kammerkomponententemperatur, Gasdurchflußraten, Leistungsniveau (zum Erzeugen und Aufrechterhalten einer Plasmadichte oder Innenenergie oder von beidem) usw. umfassen.
  • Wie in 2D gezeigt, können der erste und zweite Ätzprozeß, einschließlich eines Korrekturätzprozesses nach dem ersten Ätzprozeß, für so viele Materialschichten und Zwischenschichten wiederholt werden, wie in der Verbundschicht 220 vorhanden sind. Sobald das Substrat 110 erreicht ist, kann ein dritter Ätzprozeß zum Übertragen von Muster 135 darin ausgeführt werden. Die Prozeßchemikalien können alle Ätzchemikalien umfassen, die zum Ätzen der oben beschriebenen Materialien ausgelegt sind, wie sie von dem Fachmann auf dem Gebiet der Ätzprozeßtechnik verstanden werden. Beim trockenen Plasmaätzen eines Siliziumfilms umfaßt zum Beispiel das Plasmaätzgas im allgemeinen folgendes: Chemikalien auf der Basis von Halogenen, wie zum Beispiel HNr, Cl2, SF6, NF3, SiF4 usw. oder eine Kombination derselben; und Chemikalien auf der Basis von Fluorkohlenstoffen, wie zum Beispiel C4F8, C5F8, C3F6, C4F6, CF4 usw. oder eine Kombination derselben; oder Chemikalien auf der Basis von Fluorkohlenwasserstoffen, wie zum Beispiel mindestens eine aus der Gruppe aus CHF3, CH2F2 usw. oder eine Kombination derselben; und mindestens ein Element aus der Gruppe bestehend aus einem inerten Gas, Sauerstoff (O2), Stickstoff (N2), Wasserstoff (H2), CO, CO2, NO, NO2 oder N2O; oder eine Kombination dieser Gase. Abgesehen von den Chemikalien, können die Trockenplasmaätzparameter ferner Druck, Substrattemperatur, Kammerkomponententemperatur, Gasdurchflußraten, Leistungsniveau (zum Erzeugen und Aufrechterhalten einer Plasmadichte oder Innenenergie oder von beidem) usw. umfassen.
  • Mit Bezug auf die 3A bis 3C, wird ein Verfahren zur Übertragung eines Musters auf eine Materialschicht auf einem Substrat gemäß einer weiteren Ausführungsform illustriert. Es wird eine Filmschichtenfolge 300 gezeigt, durch die das Muster 135, das in der Maskenschicht 130 erzeugt wird, auf eine Verbundmaterialschicht 320 übertragen wird. Die Verbundmaterialschicht 320 umfaßt die Materialschichten 320A, 320B, die durch eine Zwischenschicht 325 getrennt sind, welche in einer bestimmten Höhe innerhalb der Verbundschicht eingefügt ist.
  • Wie in 3B gezeigt, wird das Muster 135 auf die Verbundschicht 320 unter Verwendung von Trockenätzprozessen, wie zum Beispiel den oben beschriebenen, übertragen. Sobald das Substrat 110 erreicht wird, kann die Maskenschicht 130 während dieser Ätzprozesse aufgebraucht werden; sie kann jedoch auch nicht aufgebraucht werden. Wenn sie nicht aufgebraucht wird, kann die Maskenschicht vor dem Ätzen des Substrats 110 abgestreift werden. Beim Ätzen von Substrat 110 kann die Zwischenschicht 325 innerhalb der Verbundschicht 320 derart platziert sein, daß sie als Ätzstoppschicht wirkt, die den Abschluß des Ätzprozesses innerhalb von Substrat 110 anzeigt. Wenn zum Beispiel die Verbundschicht 320, die als Hartmaske zum Ätzen von Substrat 110 fungiert, aufgebraucht wird, entspricht die Zeit, die bis zum Erreichen der Zwischenschicht 325, die als Ätzstoppschicht fungiert, benötigt wird, im wesentlichen der Zeit, die zum Abschließen des Ätzprozesses in Substrat 110 benötigt wird. Da außerdem die Zwischenschicht 325 zum Beispiel von einer Materialzusammensetzung sein kann, die sich von der der oberen und unteren Schicht der Verbundschicht 320 unterscheidet, kann die Endpunktfeststellung, wie zum Beispiel die optische Endpunktfeststellung (z.B. die optische Emissionsspektroskopie (OES)), zur Signalisierung des Abschlusses des Substratätzprozesses verwendet werden.
  • Gemäß einer weiteren Ausführungsform wird in 4 ein Plasmaverarbeitungssystem 1 dargestellt, das eine Plasmaverarbeitungskammer 2, ein Diagnosesystem 3, das an die Plasmaverarbeitungskammer 2 angeschlossen ist, und einen Controller 4, der an das Diagnosesystem 3 und die Plasmaverarbeitungskammer 2 angeschlossen ist, umfaßt. Der Controller 4 ist für die Ausführung einer Prozeßrezeptur ausgelegt, die zumindest eine der oben angegebenen Ätzchemikalien zum Ätzen verschiedener Schichten auf einem Substrat umfaßt. Außerdem ist Controller 4 zum Empfangen von mindestens einem Diagnosesignal aus dem Diagnosesystem 3 und zur Nachverarbeitung des mindestens einen Diagnosesignals ausgelegt, um eine Eigenschaft des/der Ätzprozesse(s) genau zu bestimmen. Das Diagnosesignal kann ein Endpunktsignal oder ein Meßsignal oder eine Kombination derselben umfassen. Das Endpunktsignal kann zum Beispiel den Abschluß eines speziellen Ätzprozesses anzeigen. Außerdem kann das Meßsignal zum Beispiel Daten bereitstellen, die den Status der Ätzprozesse anzeigen, welche auf dem Substrat ausgeführt werden (z.B. Profildaten für ein Strukturelement, Struktur, tiefen Graben usw.). Das Diagnosesystem 3 kann zum Beispiel ein optisches Emissionsspektrometriesystem für die Endpunktfeststellung des Ätzprozesses und ein Meßsystem umfassen, das ein Streulichtmeßgerät hat, welches Strahlprofilellipsometrie (Ellipsometer) und Strahlprofilreflektometrie (Reflektometer) für die Bestimmung des Musterprofils einschließt. In der illustrierten Ausführungsform verwendet das Plasmaverarbeitungssystem 1, das in 4 dargestellt wird, Plasma für die Materialverarbeitung. Das Plasmaverarbeitungssystem 1 kann eine Ätzkammer umfassen.
  • 5 illustriert ein Plasmaverarbeitungssystem gemäß einer weiteren Ausführungsform. Das Plasmaverarbeitungssystem 1 umfaßt eine Plasmaverarbeitungskammer 10, einen Substrathalter 20, auf dem ein Substrat 25, das verarbeitet werden soll, befestigt ist, und ein Vakuumpumpsystem 30. Das Substrat 25 kann ein Halbleitersubstrat, ein Wafer oder eine Flüssigkristallanzeige sein. Die Plasmaverarbeitungskammer 10 kann so ausgelegt sein, daß sie das Erzeugen von Plasma im Verarbeitungsbereich 15 in der Nähe einer Fläche des Substrats 25 erleichtert. Ein ionisierbares Gas oder Mischung von Gasen wird über ein Gasinjektionssystem (nicht dargestellt) eingeführt, und der Prozeßdruck wird eingestellt. Eine Steuervorrichtung (nicht dargestellt) kann zum Beispiel zum Drosseln des Vakuumpumpsystems 30 verwendet werden. Plasma kann zum Erzeugen von Materialien verwendet werden, die spezifisch für einen festgelegten Materialprozeß sind, und/oder als Hilfe beim Entfernen von Material von den freigelegten Flächen von Substrat 25 eingesetzt werden. Das Plasmaverarbeitungssystem 1a kann zum Verarbeiten eines Substrats beliebiger Größe, wie zum Beispiel von 200 mm-Substraten, 300 mm-Substraten oder größer, ausgelegt sein.
  • Das Substrat 25 kann am Substrathalter 20 mit einem elektrostatischen Klemmsystem befestigt werden. Ferner kann der Substrathalter 20 ein Kühlsystem oder ein Heizsystem umfassen, das einen Flüssigkeitsumlauf aufweist, der Wärme vom Substrathalter 20 aufnimmt und Wärme auf ein Wärmetauschersystem (nicht dargestellt) beim Kühlen überträgt oder Wärme vom Wärmetauschersystem auf die Flüssigkeitsströmung beim Heizen überträgt. Weiterhin kann Gas zu der Rückseite von Substrat 25 über ein Rückseitengassystem zugeführt werden, um die Wärmeleitfähigkeit des Gasspalts zwischen Substrat 25 und Substrathalter 20 zu verbessern. Solch ein System kann verwendet werden, wenn eine Temperaturregelung des Substrats bei erhöhten oder verringerten Temperaturen erforderlich ist. Das Rückseitengassystem kann zum Beispiel ein Zweizonengasverteilungssystem umfassen, wobei der Druck des Rückseitengases (z.B. Helium) unabhängig zwischen der Mitte und dem Rand von Substrat 25 variiert werden kann. In weiteren Ausführungsformen können Heiz-/Kühlelemente, wie zum Beispiel Widerstandsheizelemente oder thermoelektrische Heizer/Kühler in den Sub strathalter 20 sowie die Kammerwand der Plasmaverarbeitungskammer 10 und jede andere Komponente innerhalb des Plasmaverarbeitungssystems 1a integriert sein.
  • In der Ausführungsform, die in 5 gezeigt ist, kann der Substrathalter 20 eine Elektrode umfassen, durch welche HF-Energie in das Verarbeitungsplasma im Prozeßraum 15 eingekoppelt werden kann. Der Substrathalter 20 kann zum Beispiel mit einer HF-Spannung durch die Übertragung von HF-Energie aus einem HF-Generator 40 über ein optionales Impedanzanpassungsnetzwerk 42 auf den Substrathalter 20 vorgespannt werden. Die HF-Vorspannung kann dazu dienen, Elektronen zur Erzeugung und Aufrechterhaltung von Plasma aufzuheizen oder die Ionenenergieverteilungsfunktion innerhalb der Hülle zu beeinflussen, oder beides. Bei dieser Konfiguration kann das System als reaktiver Ionenätz-(RIE, „reactive ion etch")-Reaktor arbeiten, wobei die Kammer und eine obere Gasinjektionselektrode als Grundflächen dienen. Eine typische Frequenz für die HF-Vorspannung kann im Bereich von 0,1 MHz bis 100 MHz liegen. HF-Systeme für die Plasmaverarbeitung sind Fachleuten auf diesem Gebiet bekannt.
  • Ferner dient das Impedanzanpassungsnetzwerk 42 durch Verringern der reflektierten Energie der Verbesserung der Übertragung von HF-Energie auf das Plasma in der Plasmaverarbeitungskammer 10. Anpassungsnetzwerktopologien (z.B. L-Typ, π-Typ, T-Typ usw.) und automatische Regelungsverfahren sind Fachleuten auf diesem Gebiet bekannt.
  • Weiter mit Bezug auf 5 umfaßt das Plasmaverarbeitungssystem 1a ferner eine optionale Gleichstrom-(DC)-Stromversorgung 50, die an eine obere Elektrode 52 gegenüber dem Substrat 25 angeschlossen ist. Die obere Elektrode 52 kann eine Elektrodenplatte umfassen. Die Elektrodenplatte kann eine siliziumhaltige Elektrodenplatte umfassen. Außerdem kann die Elektrodenplatte eine Elektrodenplatte mit dotiertem Silizium umfassen. Die DC-Stromversorgung kann eine variable DC-Stromversorgung umfassen. Weiterhin kann die DC-Stromversorgung eine bipolare DC-Stromversorgung umfassen. Die DC-Stromversorgung 50 kann ferner ein System umfassen, das zur Ausführung von mindestens einem Element aus der Gruppe bestehend aus Überwachen, Einstellen oder Kontrollieren von Polarität, Strom, Spannung oder Ein-Aus-Zustand der DC-Stromversorgung 50 ausgelegt ist. Sobald das Plasma gebildet ist, erleichtert die DC-Stromversorgung 50 die Erzeugung eines ballistischen Elektronenstrahls. Ein elektrisches Filter kann zum Entkoppeln der HF-Energie von der DC-Stromversorgung 50 verwendet werden.
  • Die DC-Spannung, die von der DC-Stromversorgung 50 an die obere Elektrode 52 angelegt wird, kann beispielsweise im Bereich von ca. –2000 Volt (V) bis ca. 1000 V liegen. Es ist erstrebenswert, daß der Absolutwert der DC-Spannung größer oder gleich ca. 100 V ist, und noch erstrebenswerter, daß der Absolutwert der DC-Spannung einen Wert von größer oder gleich ca. 500 V hat. Außerdem ist es erstrebenswert, daß die DC-Spannung eine negative Polarität besitzt. Ferner ist es erstrebenswert, daß die DC-Spannung eine negative Spannung ist, die einen Absolutwert hat, der größer als die automatische Vorspannung bzw. Selbst-Vorspannung ist, die auf einer Fläche der oberen Elektrode 52 erzeugt wird. Die Fläche der oberen Elektrode 52, die dem Substrathalter 20 zugewandt ist, kann aus einem siliziumhaltigen Material bestehen.
  • Die Einführung des Prozeßgases in das Plasmaverarbeitungssystem 1a kann durch die obere Elektrode 52 erfolgen. Die obere Elektrode 52 kann als Duschkopf-Gasverteilungssystem ausgelegt sein, wobei das Prozeßgas in den Prozeßraum 15 durch mehrere Gaseinleitungsöffnungen eingeleitet wird. Das Gasverteilungssystem kann eine Mehrzonengasverteilung umfassen, wobei zum Beispiel die Durchflußrate des Prozeßgases in einen mittleren Bereich von Substrat 25 sich von der Durchflußrate von Prozeßgas in einen Randbereich von Substrat 25 unterscheiden kann.
  • Ferner kann die Amplitude der HF-Energie, die in den Substrathalter 20 eingekoppelt wird, moduliert werden, um Änderungen in der räumlichen Verteilung des Elektronenstrahlflusses zum Substrat 25 zu bewirken. Zusätzliche Details können in der mitanhängigen US-Patentanmeldung Serien-Nr. 11/XXX,XXX mit dem Titel "Method and system for controlling the uniformity of a ballistic electron beam by RF modulation [Verfahren und System zum Steuern der Gleichförmigkeit eines ballistischen Elektronenstrahls durch HF-Modulation]" (Lee Chen und Ping Jiang), eingereicht am 31. Juli 2006, eingesehen werden, deren gesamter Inhalt durch Bezugnahme zur Gänze aufgenommen wird.
  • Das Vakuumpumpsystem 30 kann eine Turbomolekularvakuumpumpe (TMP), die mit einer Pumpleistung von bis zu etwa 5000 l/s (und mehr) arbeiten kann, und einen Absperrschieber zum Drosseln des Kammerdrucks umfassen. In herkömmlichen Plasmaverarbeitungsvorrichtungen, die für die Trockenplasmaätzung verwendet werden, kann eine TMP von 1000 bis 3000 l/s eingesetzt werden. TMPs können für die Niederdruckverarbeitung eingesetzt werden, normalerweise bei weniger als 50 mTorr. Für die Hochdruckverarbeitung (d.h. mehr als etwa 100 mTorr) kann eine mechanische Zusatzpumpe (sogenannte „booster pump") und trockene Vorvakuumpumpe (sogenannte „dry roughing pump") eingesetzt werden. Ferner kann eine Vorrichtung zur Überwachung des Kammerdrucks (nicht dargestellt) an die Plasmaverarbeitungskammer 10 angeschlossen werden. Die Druckmeßvorrichtung kann zum Beispiel ein Absolutkapazitätsmanometer vom Typ 628B Baratron sein, das von MKS Instruments, Inc. (Andover, MA, USA) kommerziell erhältlich ist.
  • Weiter mit Bezug auf 5, umfaßt das Plasmaverarbeitungssystem 1a ferner einen Controller 90, der einen Mikroprozessor, einen Speicher und einen digitalen E/A-Port umfaßt, welcher Regelspannungen erzeugen kann, die für die Kommunikation und Aktivierung von Eingaben in das Plasmaverarbeitungssystem 1a sowie zur Überwachung von Ausgaben aus dem Plasmaverarbeitungssystem 1a ausreichen. Weiterhin kann ein Controller 90 an folgendes angeschlossen werden und Informationen mit folgenden austauschen: einem HF-Generator 40, einem Impedanzanpassungsnetzwerk 42, einer optionalen DC-Stromversorgung 50, einem Gasinjektionssystem (nicht dargestellt), einem Diagnosesystem 32, einem Vakuumpumpensystem 30 sowie dem Rückseitengaszufuhrsystem (nicht dargestellt), dem Substrat/Substrathalter-Temperaturmeßsystem (nicht dargestellt) und/oder dem elektrostatischen Klemmsystem (nicht dargestellt). Ein Programm, das im Speicher abgelegt ist, kann zum Ak tivieren der Eingaben in die vorgenannten Komponenten des Plasmaverarbeitungssystems 1a gemäß einer Prozeßvorschrift eingesetzt werden, um das Verfahren des Ätzens eines dünnen Films auszuführen. Ein Beispiel für Controller 90 ist eine DELL PRECISION WORKSTATION 610TM, die bei der Dell Corporation, Austin, Texas, USA, erhältlich ist.
  • Der Controller 90 kann sich in der Nähe des Plasmaverarbeitungssystems 1a befinden oder durch das Internet oder ein Intranet von dem Plasmaverarbeitungssystem 1a getrennt sein. Der Controller 90 kann daher Daten mit dem Plasmaverarbeitungssystem 1a zumindest unter Verwendung von einer direkten Verbindung, einem Intranet oder dem Internet austauschen. Controller 90 kann am Ort eines Kunden (d.h. eines Herstellers von Vorrichtungen usw.) an ein Intranet angeschlossen sein oder am Ort eines Lieferanten (d.h. eines Herstellers von Ausrüstungen) an ein Intranet angeschlossen sein. Ferner kann ein anderer Computer (d.h. Controller, Server usw.) auf den Controller 90 zugreifen, um Daten unter Verwendung von einer direkten Verbindung, einem Intranet und/oder dem Internet auszutauschen.
  • Das Diagnosesystem 32 kann ein optisches Diagnoseteilsystem bzw. –untersystem (nicht dargestellt) umfassen. Das Diagnosesystem 32 kann so ausgelegt sein, daß es ein Endpunktsignal bereitstellt, das die Fertigstellung eines speziellen Ätzprozesses anzeigen kann. Außerdem kann das Diagnosesystem 32 zum Beispiel so ausgelegt sein, daß es ein Meßsignal bereitstellt, das für Daten sorgt, die den Status der Ätzprozesse anzeigen, welche auf dem Substrat ausgeführt werden (z.B. Profildaten für ein Merkmal bzw. Strukturelement, eine Struktur, einen tiefen Graben usw.). Das Diagnosesystem 32 kann zum Beispiel ein optisches Emissionsspektrometriesystem für die Endpunktfeststellung des Ätzprozesses oder ein Streulichtmeßgerät umfassen, das Strahlprofilellipsometrie (Ellipsometer) und Strahlprofilreflektometrie (Reflektometer) für die Bestimmung des Musterprofils oder beides einschließt.
  • Das optische Diagnoseteilsystem kann einen Detektor umfassen, wie zum Beispiel eine (Silizium-)Fotodiode oder einen Fotoelektronenvervielfacher (PMT, „photomultiplier tube") zum Messen der Lichtstärke, die aus dem Plasma emittiert wird. Das Diagnosesystem 32 kann ferner ein optisches Filter, wie zum Beispiel ein schmalbandiges Interferenzfilter, umfassen. In einer anderen Ausführungsform kann das Diagnosesystem 32 eine CCD-Fotoelement-Anordnung bzw. CCD-Zeile, ein CID array („charge injection device", Ladungsinjektionsbauelement) oder eine Lichtstreuungsvorrichtung, wie zum Beispiel ein Gitter oder ein Prisma, umfassen. Außerdem kann das Diagnosesystem 32 einen Monochromator (z.B. Gitter/Detektorsystem) zum Messen von Licht bei einer gegebenen Wellenlänge oder ein Spektrometer (z.B. mit einem rotierenden Gitter) zum Messen des Lichtspektrums umfassen, wie zum Beispiel die Vorrichtung, die im US-Patent Nr. 5,888,337 beschrieben wird, dessen Inhalt durch Bezugnahme in die vorliegende Beschreibung aufgenommen wird.
  • Das Diagnosesystem 32 kann einen hochauflösenden Sensor für die Optische Emissionsspektroskopie (OES), wie zum Beispiel einen Sensor von Peak Sensor Systems oder Verity Instruments, Inc., umfassen. Ein solcher Sensor kann ein breites Spektrum aufweisen, das sich über das ultraviolette (UV), sichtbare (VIS) und nahe Infrarot-(NIR)-Lichtspektrum erstreckt. Die Auflösung kann ca. 1,4 Angström betragen, d.h., der Sensor kann etwa 5550 Wellenlängen von etwa 240 bis etwa 1000 nm erfassen. Der OES-Sensor kann zum Beispiel mit hochempfindlichen Miniaturlichtwellenleiter-UV-VIS-NIR-Spektrometern ausgerüstet werden, die wiederum in lineare 2048-Pixel-CCD-Arrays integriert sind.
  • Die Spektrometer empfangen Licht, das durch einzelne oder gebündelte Lichtwellenleiter übertragen wurde, wobei die Lichtausgabe aus den Lichtwellenleitern unter Verwendung eines festen Gitters über die lineare CCD-Anordnung bzw. das lineare CCD-Array verteilt wird. Ähnlich der Konfiguration, die oben beschrieben wird, kann Licht, das durch ein optisches Vakuumfenster läuft, durch eine konvexe Kugellinse auf das Eingangsende der Lichtwellenleiter fokussiert werden. Drei Spektrometer, die jeweils speziell auf einen Spektralbereich (UV, VIS und NIR) abgestimmt sind, bilden einen Sensor für eine Prozeßkammer. Jedes Spektrometer umfaßt einen unabhängigen A/D-Konverter. Und schließlich kann, je nach der Sensornutzung, ein volles Emissionsspektrum alle 0,1 bis 1,0 Sekunden aufgezeichnet werden.
  • Das Diagnosesystem 32 kann ein Meßsystem umfassen, das entweder eine Vorrichtung an Ort und Stelle (in situ) oder außerhalb des Ortes (ex situ) sein kann. Das Meßsystem kann zum Beispiel ein Streulichtmeßgerät umfassen, das die Strahlprofilellipsometrie (Ellipsometer) und die Strahlprofilreflektometrie (Reflektometer) einschließt, welches von Therma-Wave, Inc. (1250 Reliance Way, Fremont, CA 94539) oder Nanometrics, Inc. (1550 Buckeye Drive, Milpitas, CA 95035) erhältlich ist, das innerhalb einer Transportkammer (nicht dargestellt) zum Analysieren von Substraten angeordnet wird. Das Meßsystem kann zum Beispiel ein integriertes optisches digitales Profil-(iODP)-Streulichtmeßsystem umfassen.
  • In der Ausführungsform, die in 6 gezeigt ist, kann das Plasmaverarbeitungssystem 1b der Ausführungsform von 4 oder 5 ähnlich sein und ferner entweder ein stationäres oder mechanisch oder elektrisch rotierendes Magnetfeldsystem 60 umfassen, um die Gleichförmigkeit der Plasmaverarbeitung zu verbessern, neben den Komponenten, die mit Verweis auf 4 beschrieben werden. Außerdem kann der Controller 90 mit dem Magnetfeldsystem 60 gekoppelt sein, um die Drehzahl und die Feldstärke zu regulieren. Der Aufbau und die Realisierung eines rotierenden Magnetfeldes sind den Fachleuten auf dem Gebiet bekannt.
  • In der Ausführungsform, die in 7 gezeigt ist, kann das Plasmaverarbeitungssystem 1c der Ausführungsform von 4 oder 5 ähnlich sein, und es kann ferner einen HF-Generator 70 umfassen, der für die Einkopplung von HF-Energie über ein optionales Impedanzanpassungsnetzwerk 72 in die obere Elektrode 52 ausgelegt ist. Eine typische Frequenz für die Anwendung von HF-Energie auf die obere Elektrode 52 kann im Bereich von etwa 0,1 MHz bis etwa 200 MHz liegen. Zusätzlich kann eine typische Frequenz für das Einbringen von Energie in den Substrathalter 20 (oder die untere Elektrode) im Bereich von etwa 0,1 MHz bis etwa 100 MHz liegen. Die HF-Frequenz, die an die obere Elektrode 52 angelegt wird, kann zum Beispiel im Verhältnis höher als die HF-Frequenz sein, die an den Substrathalter 20 angelegt wird. Die HF-Energie für die obere Elektrode 52 vom HF-Generator 70 kann optional amplitudenmoduliert sein, oder die HF-Energie für den Substrathalter 20 von HF-Generator 40 kann amplitudenmoduliert sein, oder beide HF-Energien können amplitudenmoduliert sein. Die HF-Energie mit der höheren HF-Frequenz kann amplitudenmoduliert sein. Der Controller 90 ist außerdem mit dem HF-Generator 70 und dem Impedanzanpassungsnetzwerk 72 gekoppelt, um das Anlegen von HF-Energie an die obere Elektrode 70 zu steuern. Der Aufbau und die Realisierung einer oberen Elektrode sind den Fachleuten auf dem Gebiet bekannt.
  • Unter weiterem Verweis auf 7, kann die optionale DC-Stromversorgung 50 direkt an die obere Elektrode 52 angeschlossen sein, oder sie kann an die HF-Übertragungsleitung angeschlossen sein, die sich von einer Lastseite des Impedanzabgleichsnetzwerks 72 bis zur oberen Elektrode 52 erstreckt. Ein elektrisches Filter kann zum Entkoppeln der HF-Energie von der DC-Stromversorgung 50 verwendet werden.
  • In der Ausführungsform, die in 8 gezeigt wird, kann das Plasmaverarbeitungssystem 1d zum Beispiel den Ausführungsformen von 4, 5 und 6 ähnlich sein und kann es ferner eine Induktionsspule 80 umfassen, an die HF-Energie über einen HF-Generator 82 durch ein optionales Impedanzanpassungsnetzwerk 84 angelegt wird. HF-Energie wird induktiv von der Induktionsspule 80 durch ein dielektrisches Fenster (nicht dargestellt) in den Plasmaverarbeitungsbereich 15 eingekoppelt. Eine typische Frequenz für das Einbringen von HF-Energie in die Induktionsspule 80 kann im Bereich von etwa 10 MHz bis etwa 100 MHz liegen. Analog kann eine typische Frequenz für das Anlegen von Energie an die Einspannelektrode im Bereich von etwa 0,1 MHz bis etwa 100 MHz liegen. Außerdem kann eine geschlitzte Faraday-Abschirmung (nicht dargestellt) dazu eingesetzt werden, die kapazitive Kopplung zwischen der Induktionsspule 80 und dem Plasma zu verringern. Weiterhin ist der Controller 90 an den HF-Generator 82 und das Impedanzanpassungsnetzwerk 84 angeschlossen, um das Einbringen von Energie in die Induktionsspule 80 zu steuern. In einer anderen Ausführungsform kann die Induktionsspule 80 eine "Spiralspule" oder "Flachspule" sein, die von oben in Kommunikation mit dem Plasmaverarbeitungsbereich 15 steht, wie in einem transformatorgekoppelten Plasmareaktor (TCP-Reaktor). Der Aufbau und die Realisierung einer induktiv angekoppelten Plasmaquelle (ICP-Quelle) oder einer transformatorgekoppelten Plasmaquelle (TCP-Quelle) sind den Fachleuten auf dem Gebiet bekannt.
  • Alternativ kann das Plasma unter Verwendung der Elektronenzyklotronresonanz (ECR) erzeugt werden. In einer weiteren Ausführungsform wird das Plasma durch Energieübertragung von einer Helikonwelle erzeugt. In einer weiteren Ausführungsform wird das Plasma durch eine sich ausbreitende Oberflächenwelle erzeugt. Jede Plasmaquelle, die oben beschrieben wird, ist Fachleuten auf diesem Gebiet bekannt.
  • In der Ausführungsform, die in 9 gezeigt ist, kann das Plasmaverarbeitungssystem 1e zum Beispiel den Ausführungsformen von 4, 5 und 6 ähnlich sein und kann es ferner einen zweiten HF-Generator 44 umfassen, der für die Einkopplung von HF-Energie in den Substrathalter 20 über ein weiteres optionales Impedanzanpassungsnetzwerk 46 ausgelegt ist. Eine typische Frequenz für die Einbringung von HF-Energie in den Substrathalter 20 kann im Bereich von etwa 0,1 MHz bis etwa 200 MHz, entweder für den ersten HF-Generator 40 oder den zweiten HF-Generator 44 oder beide, liegen. Die HF-Frequenz für den zweiten HF-Generator 44 kann verhältnismäßig höher als die HF-Frequenz für den ersten HF-Generator 44 sein. Die HF-Energie für den Substrathalter 20 von HF-Generator 40 kann ferner amplitudenmoduliert sein, oder die HF-Energie für den Substrathalter 20 von HF-Generator 44 kann amplitudenmoduliert sein, oder beide HF-Energien können amplitudenmoduliert sein. Die HF-Energie mit der höheren HF-Frequenz kann amplitudenmoduliert sein. Der Controller 90 ist außerdem mit dem zweiten HF-Generator 44 und dem Impedanzanpassungsnetzwerk 46 gekoppelt, um das Anlegen von HF-Energie an den Substrathalter 20 zu steuern. Der Aufbau und die Realisierung eines HF-Systems für einen Substrathalter sind den Fachleuten auf dem Gebiet bekannt.
  • In der folgenden Diskussion wird ein Verfahren zum Ätzen eines dünnen Films unter Verwendung eines Plasmaverarbeitungssystems angegeben. Das Plasmaverarbeitungssystem kann zum Beispiel verschiedene Elemente, wie sie zum Beispiel in den 4 bis 9 beschrieben sind, oder Kombinationen derselben umfassen.
  • Unter Bezugnahme nun auf 10 wird ein als Beispiel dienendes Verfahren zur Erzeugung eines Kondensators mit tiefem Graben in einem Siliziumsubstrat illustriert. Es ist eine Filmschichtenfolge 400 dargestellt, die folgendes umfaßt: das Substrat 410, eine Isolierschicht 415, welche Siliziumnitrid umfaßt und auf dem Substrat 410 ausgebildet ist, eine Verbundschicht 420, welche auf der Isolierschicht 415 ausgebildet ist und welche eine erste Materialschicht 420B hat, die ein undotiertes Silikatglas umfaßt, eine Zwischenschicht 425, die Siliziumnitrid umfaßt, und eine zweite Materialschicht 420A, die undotiertes Silikatglas umfaßt, eine Hartmaskenschicht 422, welche Kohlenstoff umfaßt, eine Siliziumoxynitridschicht 434, welche auf der Hartmaskenschicht 422 ausgebildet ist, eine reflexionsmindernde Beschichtung („anti reflective coating", ARC-Schicht) 432, welche auf der Siliziumoxynitridschicht 434 ausgebildet ist, und eine Maskenschicht 430, welche das lithographische Muster 435 aufweist, das auf der ARC-Schicht 432 gebildet ist.
  • Das Muster 435 wird in die darunter liegende Filmschichtenfolge 400 unter Verwendung mehrerer Prozeßschritte übertragen, die in einem Plasmaverarbeitungssystem, wie zum Beispiel dem in 7 beschriebenen, ausgeführt werden. Eine als Beispiel dienende Prozeßvorschrift, die mehrere Prozeßschritte zum Übertragen von Muster 435 auf jede Schicht umfaßt, wird unten beschrieben. Die Verfahren, die diskutiert werden, sollen jedoch in ihrem Geltungsbereich nicht durch diese als Beispiel dienende Darstellung eingeschränkt werden.
  • Das Muster 435 wird auf die ARC-Schicht 432 und Siliziumoxynitridschicht 434 unter Verwendung der folgenden als Beispiel dienenden Prozeßvorschrift übertragen: Kammerdruck = etwa 100 mTorr; HF-Leistung der oberen Elektrode = etwa 500 W; HF-Leistung der unteren Elektrode = etwa 600 W; Durchflußrate des Prozeßgases CF4/CHF3 = etwa 120/30 Norm-cm3/min („sccm"); Elektrodenabstand zwischen der Unterseite von Elektrode 70 (siehe 7) und der Oberseite von Substrat 25 auf dem Substrathalter 20 beträgt etwa 60 mm; Temperatur der unteren Elektrode (z.B. Substrathalter 20 in 7) = etwa 20°C; Temperatur der oberen Elektrode (z.B. Elektrode 70 in 5) = etwa 60°C; Temperatur der Kammerwand = etwa 60°C; rückseitiger Heliumdruck Mitte/Rand = etwa 10/35 Torr, und Ätzzeit von etwa 60 bis 120 Sekunden.
  • Das Muster 435 wird auf die Hartmaskenschicht 422 unter Verwendung der folgenden als Beispiel dienenden Prozeßvorschrift übertragen: Kammerdruck = etwa 10 mTorr; HF-Leistung der oberen Elektrode = etwa 1000 W; HF-Leistung der unteren Elektrode = etwa 300 W; Durchflußrate des Prozeßgases CO/O2 = etwa 300/30 Norm-cm3/min (sccm); Elektrodenabstand zwischen der Unterseite von Elektrode 70 (siehe 7) und der Oberseite von Substrat 25 auf dem Substrathalter 20 beträgt etwa 60 mm; Temperatur der unteren Elektrode (z.B. Substrathalter 20 in 7) = etwa 20°C; Temperatur der oberen Elektrode (z.B. Elektrode 70 in 5) = etwa 60 °C; Temperatur der Kammerwand = etwa 60°C; rückseitiger Heliumdruck Mitte/Rand = etwa 10/35 Torr, und Ätzzeit von etwa 120 bis 180 Sekunden.
  • Das Muster 435 wird auf die Materialschichten 420A, 420B unter Verwendung der folgenden als Beispiel dienenden Prozeßvorschrift übertragen: Kammerdruck = etwa 20 mTorr; HF-Leistung der oberen Elektrode = etwa 3000 W; HF-Leistung der unteren Elektrode = etwa 3800 W; Durchflußrate des Prozeßgases C4F6/C5F8/Ar/O2 = etwa 5/25/500/40 Normcm3/min (sccm); Elektrodenabstand zwischen der Unterseite von Elektrode 70 (siehe 7) und der Oberseite von Substrat 25 auf dem Substrathalter 20 beträgt etwa 60 mm; Temperatur der unteren Elektrode (z.B. Substrathalter 20 in 7) = etwa 20 °C; Temperatur der oberen Elektrode (z.B. Elektrode 70 in 5) = etwa 60 °C; Temperatur der Kammerwand = etwa 60 °C; rückseitiger Heliumdruck Mitte/Rand = etwa 10/30 Torr, und Ätzzeit von etwa 240 bis 300 Sekunden.
  • Das Muster, das in Materialschicht 420A während der Übertragung von Muster 435 auf Materialschicht 420A gebildet wird, wird unter Verwendung eines Korrekturätzprozesses korrigiert, um ein Verhältnis von kritischen Abmessungen (CDs) (z.B. Verhältnis Breite zu Länge eines rechteckigen Musters) bereitzustellen, das im wesentlichen dem anfänglichen Verhältnis entspricht, das in der/den darüber liegenden Maskenschicht(en) gebildet wird. Der Korrekturätzprozeß kann eine Erweiterung des Ätzprozesses sein, der oben beschrieben wurde, um Muster 435 auf die Materialschicht 420A zu übertragen. Der Korrekturätzprozeß kann Korrekturen bzw. Anpassungen an der oben beschriebenen, als Beispiel dienenden Prozeßvorschrift zum Übertragen von Muster 435 auf die Materialschicht 420A umfassen.
  • Das Muster 435 wird auf die Zwischenschicht 425 unter Verwendung der folgenden als Beispiel dienenden Prozeßvorschrift übertragen: Kammerdruck = etwa 100 mTorr; HF-Leistung der oberen Elektrode = etwa 500 W; HF-Leistung der unteren Elektrode = etwa 600 W; Durchflußrate des Prozeßgases CF4/CHF3 = etwa 120/30 Norm-cm3/min (sccm); Elektrodenabstand zwischen der Unterseite von Elektrode 70 (siehe 7) und der Oberseite von Substrat 25 auf dem Substrathalter 20 beträgt etwa 60 mm; Temperatur der unteren Elektrode (z.B. Substrathalter 20 in 7) = etwa 20 °C; Temperatur der oberen Elektrode (z.B. Elektrode 70 in 5) = etwa 60 °C; Temperatur der Kammerwand = etwa 60 °C; rückseitiger Heliumdruck Mitte/Rand = etwa 10/35 Torr, und Ätzzeit von etwa 60 bis 120 Sekunden.
  • Alternativ wird das Muster 435 auf die Zwischenschicht 425 unter Verwendung der folgenden als Beispiel dienenden Vorschrift übertragen: Kammerdruck = etwa 30 mTorr; HF-Leistung der oberen Elektrode = etwa 900 W; HF-Leistung der unteren Elektrode = etwa 500 W; Durchflußrate des Prozeßgases CH2F2/CHF3/CO/Ar/O2 = etwa 25/30/70/150/30 Normcm3/min (sccm); Elektrodenabstand zwischen der Unterseite von Elektrode 70 (siehe 7) und der Oberseite von Substrat 25 auf dem Substrathalter 20 beträgt etwa 60 mm; Temperatur der unteren Elektrode (z.B. Substrathalter 20 in 7) = etwa 20 °C; Temperatur der oberen Elektrode (z.B. Elektrode 70 in 5) = etwa 60 °C; Temperatur der Kammer wand = etwa 60 °C; rückseitiger Heliumdruck Mitte/Rand = etwa 10/30 Torr, und Ätzzeit von etwa 120 Sekunden.
  • Das Muster 435 wird auf die Isolierschicht 415 unter Verwendung der folgenden als Beispiel dienenden Vorschrift übertragen: Kammerdruck = etwa 30 mTorr; HF-Leistung der oberen Elektrode = etwa 900 W; HF-Leistung der unteren Elektrode = etwa 500 W; Durchflußrate des Prozeßgases CH2F2/CHF3/CO/Ar/O2 = etwa 25/30/70/150/30 Norm-cm3/min (sccm); Elektrodenabstand zwischen der Unterseite von Elektrode 70 (siehe 7) und der Oberseite von Substrat 25 auf dem Substrathalter 20 beträgt etwa 60 mm; Temperatur der unteren Elektrode (z.B. Substrathalter 20 in 7) = etwa 20 °C; Temperatur der oberen Elektrode (z.B. Elektrode 70 in 5) = etwa 60 °C; Temperatur der Kammerwand = etwa 60 °C; rückseitiger Heliumdruck Mitte/Rand = etwa 10/30 Torr, und Ätzzeit von etwa 120 Sekunden.
  • Nach der Übertragung von Muster 435 auf jede Schicht in der Filmschichtenfolge 400 bis zur, und einschließlich der, Isolierschicht 415, kann jeder restliche Teil der Hartmaskenschicht 422 unter Verwendung eines Ablösungsprozesses (z.B. eines Naßpolierprozesses) (der alle restlichen Teile einer Schicht oberhalb der Hartmaskenschicht 422 einschließt) abgezogen werden. Danach wird Muster 435 auf das Siliziumsubstrat 410 unter Verwendung der folgenden als Beispiel dienenden Prozeßvorschrift übertragen: Kammerdruck = etwa 100 mTorr bis etwa 200 mTorr; HF-Leistung der oberen Elektrode = etwa 800 W; HF-Leistung der unteren Elektrode = etwa 2000 W; Durchflußrate des Prozeßgases HBr/NF3/O2/SiF4 = etwa 500/300/50/20/20 Norm-cm3/min (sccm); Elektrodenabstand zwischen der Unterseite von Elektrode 70 (siehe 7) und der Oberseite von Substrat 25 auf dem Substrathalter 20 beträgt etwa 60 mm; Temperatur der unteren Elektrode (z.B. Substrathalter 20 in 7) = etwa 90°C; Temperatur der oberen Elektrode (z.B. Elektrode 70 in 5) = etwa 80°C; Temperatur der Kammerwand = etwa 70°C; rückseitiger Heliumdruck Mitte/Rand = etwa 10/50 Torr, und Ätzzeit von etwa 60 bis 120 Sekunden.
  • 11 zeigt ein Flußdiagramm eines Verfahrens zum Ätzen einer Filmschichtenfolge unter Verwendung eines Plasmaverarbeitungssystems gemäß einer Ausführungsform der vorliegenden Erfindung. Prozedur 500 beginnt bei 510 mit der Bildung einer Filmschichtenfolge auf einem Substrat, wobei die Filmschichtenfolge eine Verbundschicht umfaßt. Die Verbundschicht umfaßt zwei oder mehr Materialschichten, zwischen denen Zwischenschichten einer Materialzusammensetzung liegen, die sich von der der Materialschichten unterscheidet.
  • In 520 wird ein Muster, das in einer Maskenschicht gebildet wird, wie zum Beispiel einer lithographischen Maske, auf die obere Materialschicht unter Verwendung eines Ätzprozesses übertragen, bis die Zwischenschicht erreicht ist. In 530 wird ein Korrekturätzprozeß ausgeführt, um mindestens eine kritische Abmessung (CD) des Musters, das auf die obere Materialschicht übertragen wird, zu korrigieren, sobald die Zwischenschicht erreicht ist. Wenn zum Beispiel das Muster ein rechteckiges Strukturelement umfaßt, kann die CD eine Elementbreite entlang einer Hauptachse, eine Elementbreite entlang einer Nebenachse oder ein Seitenverhältnis (Verhältnis der zwei Elementbreiten entlang der Haupt- bzw. Nebenachse) oder eine Kombination von zwei oder mehr derselben umfassen. Vor dem Korrekturätzprozeß oder nach dem Korrekturätzprozeß oder vor und nach dem Korrekturätzprozeß kann optional zumindest eine CD unter Verwendung zum Beispiel eines optischen Diagnoseverfahrens gemessen werden.
  • In Schritt 540 wird das korrigierte Muster auf die Zwischenschicht übertragen. Danach, in Schritt 550, wird das korrigierte Muster auf den restlichen Teil der Verbundschicht übertragen.
  • Danach kann (optional) jeder restliche Teil der Maskenschicht entfernt werden, und die strukturierte Verbundschicht kann zum Übertragen des Musters auf das darunter liegende Substrat verwendet werden.
  • Obwohl nur bestimmte Ausführungsformen dieser Erfindung oben detailliert beschrieben wurden, werden Fachleute auf dem Gebiet ohne weiteres erkennen, daß viele Modifizierungen in den Ausführungsformen möglich sind, ohne wesentlich von den neuartigen Lehren und Vorteilen dieser Erfindung abzuweichen. Dementsprechend sollen alle solchen Modifizierungen als innerhalb des Geltungsbereichs dieser Erfindung liegend angesehen werden.

Claims (20)

  1. Verfahren zum Ätzen eines Substrats, umfassend: Ausbilden einer Filmschichtenfolge auf dem Substrat, wobei die Filmschichtenfolge eine Verbundschicht umfaßt, die eine obere Materialschicht, eine Zwischenschicht und eine untere Materialschicht hat, und eine Maskenschicht, die auf der Verbundschicht liegt und ein Muster hat, das darin ausgebildet ist; und Übertragen des Musters auf die Verbundschicht unter Verwendung von einem oder mehreren Ätzprozessen durch die folgenden Schritte: Übertragen des Musters auf die obere Materialschicht der Verbundschicht, bis die Zwischenschicht erreicht ist, Korrigieren oder Einstellen von mindestens einer kritischen Abmessung des Musters in der oberen Materialschicht, Übertragen des korrigierten bzw. eingestellten Musters in der oberen Materialschicht auf die Zwischenschicht, und Übertragen des korrigierten bzw. eingestellten Musters auf die untere Materialschicht der Verbundschicht.
  2. Verfahren nach Anspruch 1, wobei das Korrigieren oder Einstellen das Korrigieren bzw. Einstellen einer Breite des Musters umfaßt.
  3. Verfahren nach Anspruch 1, wobei der Schritt des Ausbildens das Ausbilden einer Filmschichtenfolge umfaßt, welche eine Maskenschicht umfaßt, die ein rechteckiges Muster hat, welches durch eine erste kritische Abmessung entlang einer Hauptachse des Musters und eine zweite kritische Abmessung entlang einer Nebenachse des Musters gekennzeichnet ist.
  4. Verfahren nach Anspruch 3, wobei das Korrigieren oder Einstellen das Korrigieren bzw. Einstellen der ersten kritischen Abmessung in der oberen Materialschicht der Verbundschicht, der zweiten kritischen Abmessung in der oberen Materialschicht in der Verbundschicht oder eines Verhältnisses der ersten kritischen Abmessung zur zweiten kritischen Abmessung in der oberen Materialschicht der Verbundschicht oder eine Kombination von zwei oder mehr derselben umfaßt.
  5. Verfahren nach Anspruch 3, wobei das Korrigieren oder Einstellen das Korrigieren bzw. Einstellen eines Verhältnisses der ersten kritischen Abmessung zur zweiten kritischen Abmessung in der oberen Materialschicht der Verbundschicht derart umfaßt, daß das korrigierte bzw. eingestellte Verhältnis in der oberen Materialschicht der Verbundschicht im wesentlichen dasselbe wie ein anfängliches Verhältnis der ersten kritischen Abmessung zur zweiten kritischen Abmessung in der Maskenschicht ist.
  6. Verfahren nach Anspruch 1, wobei das Muster in der Maskenschicht ein Muster für einen Kondensator mit tiefem Graben umfaßt, der im Substrat unter Verwendung der Verbundschicht als Hartmaske erzeugt werden soll.
  7. Verfahren nach Anspruch 1, wobei das Korrigieren oder Einstellen das Korrigieren bzw. Einstellen von mindestens einer kritischen Abmessung zur Korrektur von Abweichungen der kritischen Abmessung von einem Nennwert der kritischen Abmessung des Musters in der Maskenschicht während des Übertragens des Musters auf die obere Materialschicht der Verbundschicht umfaßt.
  8. Verfahren nach Anspruch 1, wobei das Übertragen des Musters auf die Verbundschicht das Übertragen des Musters auf die Verbundschicht umfaßt, die eine zweite Zwischenschicht unterhalb der unteren Materialschicht und eine zweite untere Materialschicht unterhalb der zweiten Zwischenschicht hat, wobei das Übertragen ferner folgendes umfaßt: Korrigieren oder Einstellen von mindestens einer kritischen Abmessung des Musters in der unteren Materialschicht, Übertragen des korrigierten bzw. eingestellten Musters in der unteren Materialschicht auf die zweite Zwischenschicht, und Übertragen des korrigierten bzw. eingestellten Musters in der unteren Materialschicht auf die zweite untere Materialschicht der Verbundschicht.
  9. Verfahren nach Anspruch 1, wobei das Ausbilden das Ausbilden einer mehrschichtigen Maskenschicht auf der Verbundschicht umfaßt.
  10. Verfahren nach Anspruch 1, ferner umfassend: optionales Entfernen der Maskenschicht von der Filmschichtenfolge; und Übertragen des Musters auf das Substrat.
  11. Verfahren nach Anspruch 10, wobei das Ausbilden das Positionieren der Zwischenschicht innerhalb der Verbundschicht derart umfaßt, daß die Zwischenschicht als Stoppschicht für das Übertragen des Musters auf das Substrat dient.
  12. Verfahren nach Anspruch 1, wobei das Ausbilden das Ausbilden einer Verbundschicht umfaßt, die eine Zwischenschicht mit einer wesentlich anderen Materialzusammensetzung als die obere Materialschicht und die untere Materialschicht hat.
  13. Verfahren nach Anspruch 12, wobei die Zwischenschicht Siliziumnitrid (SixNy) und die obere Materialschicht und die untere Materialschicht Siliziumoxid (SiO) umfassen.
  14. Verfahren nach Anspruch 1, wobei das Übertragen des Musters auf die Verbundschicht die Verwendung von mindestens einem Ätzprozeß umfaßt, der selektiv die obere Materialschicht mit einer höheren Rate ätzt, als die Zwischenschicht, und min destens einen anderen Ätzprozeß umfaßt, der selektiv die Zwischenschicht mit einer höheren Rate ätzt, als die obere Materialschicht oder die untere Materialschicht oder beide.
  15. Verfahren nach Anspruch 1, wobei das Korrigieren oder Einstellen das Verlängern des Ätzprozesses für die Übertragung des Musters auf die obere Materialschicht für einen Überätzungszeitraum umfaßt.
  16. Verfahren nach Anspruch 1, wobei das Korrigieren oder Einstellen das Korrigieren oder Einstellen des Ätzprozesses für die Übertragung des Musters auf die obere Materialschicht und das Ausführen des korrigierten bzw. eingestellten Ätzprozesses über einen Zeitraum zum Korrigieren bzw. Einstellen von mindestens einer kritischen Abmessung umfaßt.
  17. Verfahren nach Anspruch 1, ferner umfassend: Messen von mindestens einer kritischen Abmessung vor dem Korrigieren bzw. Einstellen oder nach dem Korrigieren bzw. Einstellen oder sowohl vor als auch nach dem Korrigieren bzw. Einstellen.
  18. Verfahren nach Anspruch 17, wobei das Messen das Messen von mindestens einer kritischen Abmessung unter Verwendung eines optischen Diagnoseverfahrens umfaßt.
  19. Computerlesbares Medium, das Programmbefehle zur Ausführung auf einem Computersystem enthält, die bei Ausführung durch das Computersystem bewirken, daß das Computersystem ein oder mehrere Verarbeitungssysteme zur Ausführung der folgenden Schritte steuert: Ausbilden einer Filmschichtenfolge auf dem Substrat, wobei die Filmschichtenfolge eine Verbundschicht umfaßt, die eine obere Materialschicht, eine Zwischenschicht und eine untere Materialschicht hat, und eine Maskenschicht umfaßt, die auf der Verbundschicht liegt und ein Muster hat, das darin ausgebildet ist; und Übertragen des Musters auf die Verbundschicht unter Verwendung von einem oder mehreren Ätzprozessen durch folgende Schritte: Übertragen des Musters auf die obere Materialschicht der Verbundschicht, bis die Zwischenschicht erreicht ist, Korrigieren oder Einstellen von mindestens einer kritischen Abmessung des Musters in der oberen Materialschicht, Übertragen des korrigierten bzw. eingestellten Musters in der oberen Materialschicht auf die Zwischenschicht, und Übertragen des korrigierten bzw. eingestellten Musters auf die untere Materialschicht der Verbundschicht.
  20. Maschinenlesbares Medium nach Anspruch 19, das ferner Programmbefehle für die folgenden Schritte umfaßt: optionales Entfernen der Maskenschicht von der Filmschichtenfolge; und Übertragen des Musters auf das Substrat.
DE102007036348A 2006-08-08 2007-08-02 Verfahren zum Korrigieren einer kritischen Abmessung in einem Srukturelement mit hohem Seitenverhältnis Ceased DE102007036348A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/463,133 2006-08-08
US11/463,133 US7732340B2 (en) 2006-08-08 2006-08-08 Method for adjusting a critical dimension in a high aspect ratio feature

Publications (1)

Publication Number Publication Date
DE102007036348A1 true DE102007036348A1 (de) 2008-02-14

Family

ID=38922343

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102007036348A Ceased DE102007036348A1 (de) 2006-08-08 2007-08-02 Verfahren zum Korrigieren einer kritischen Abmessung in einem Srukturelement mit hohem Seitenverhältnis

Country Status (2)

Country Link
US (1) US7732340B2 (de)
DE (1) DE102007036348A1 (de)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7858476B2 (en) * 2006-10-30 2010-12-28 Hynix Semiconductor Inc. Method for fabricating semiconductor device with recess gate
US7704849B2 (en) 2007-12-03 2010-04-27 Micron Technology, Inc. Methods of forming trench isolation in silicon of a semiconductor substrate by plasma
US8334213B2 (en) * 2009-06-05 2012-12-18 Magic Technologies, Inc. Bottom electrode etching process in MRAM cell
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US8916054B2 (en) 2011-10-26 2014-12-23 International Business Machines Corporation High fidelity patterning employing a fluorohydrocarbon-containing polymer
CN103091747B (zh) * 2011-10-28 2015-11-25 清华大学 一种光栅的制备方法
US8906248B2 (en) * 2011-12-13 2014-12-09 Lam Research Corporation Silicon on insulator etch
CN103309165A (zh) * 2012-03-09 2013-09-18 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US8492280B1 (en) 2012-05-07 2013-07-23 International Business Machines Corporation Method for simultaneously forming features of different depths in a semiconductor substrate
JP6096470B2 (ja) * 2012-10-29 2017-03-15 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR102403619B1 (ko) * 2017-09-18 2022-05-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10217626B1 (en) * 2017-12-15 2019-02-26 Mattson Technology, Inc. Surface treatment of substrates using passivation layers
US11495436B2 (en) * 2020-04-30 2022-11-08 Tokyo Electron Limited Systems and methods to control critical dimension (CD) shrink ratio through radio frequency (RF) pulsing

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6214696B1 (en) * 1998-04-22 2001-04-10 Texas Instruments - Acer Incorporated Method of fabricating deep-shallow trench isolation
US6794230B2 (en) * 2002-10-31 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Approach to improve line end shortening
US20050064714A1 (en) * 2003-09-19 2005-03-24 Applied Materials, Inc. Method for controlling critical dimensions during an etch process

Also Published As

Publication number Publication date
US7732340B2 (en) 2010-06-08
US20080038673A1 (en) 2008-02-14

Similar Documents

Publication Publication Date Title
DE102007036348A1 (de) Verfahren zum Korrigieren einer kritischen Abmessung in einem Srukturelement mit hohem Seitenverhältnis
US6924191B2 (en) Method for fabricating a gate structure of a field effect transistor
DE112005003338B4 (de) Niederdruck-Entfernung von Photoresist und Ätzresten
DE112005003376B4 (de) Niederdruck-Entfernung von Photoresist und Ätzresten
TWI390592B (zh) 利用二氧化碳以進行基板灰化之方法及裝置
US7531461B2 (en) Process and system for etching doped silicon using SF6-based chemistry
KR101142709B1 (ko) 마스크 에칭 시스템 및 방법
US7846645B2 (en) Method and system for reducing line edge roughness during pattern etching
JP2007529899A (ja) エッチング特性を改良するためのハードマスクを処理する方法およびシステム。
JP2007501533A (ja) 高k誘電体材料をエッチングするための方法とシステム
US7465673B2 (en) Method and apparatus for bilayer photoresist dry development
KR100989107B1 (ko) 다층 포토레지스트 건식 현상을 위한 방법 및 장치
TWI681443B (zh) 整合架構之各種階段期間用於圖案化之修整方法
US7344991B2 (en) Method and apparatus for multilayer photoresist dry development
DE19841964B4 (de) Verfahren zur Einstellung der Ätzgeschwindigkeit beim anisotropen Plasmaätzen von lateralen Strukturen
US8048325B2 (en) Method and apparatus for multilayer photoresist dry development
TWI390627B (zh) 利用側壁鈍化及遮罩鈍化的多層遮罩乾式顯影方法與系統
WO2007040717A2 (en) Process and system for etching doped silicon
US20050136666A1 (en) Method and apparatus for etching an organic layer
DE102022102109A1 (de) Endpunktkontrolle in ätzprozessen

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8131 Rejection