DE10119049A1 - Thermische Bearbeitungseinrichtung und thermisches Bearbeitungsverfahren - Google Patents

Thermische Bearbeitungseinrichtung und thermisches Bearbeitungsverfahren

Info

Publication number
DE10119049A1
DE10119049A1 DE10119049A DE10119049A DE10119049A1 DE 10119049 A1 DE10119049 A1 DE 10119049A1 DE 10119049 A DE10119049 A DE 10119049A DE 10119049 A DE10119049 A DE 10119049A DE 10119049 A1 DE10119049 A1 DE 10119049A1
Authority
DE
Germany
Prior art keywords
process chamber
bottom portion
cooling
cooling arrangement
target object
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE10119049A
Other languages
English (en)
Inventor
Masayuki Kitamura
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of DE10119049A1 publication Critical patent/DE10119049A1/de
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Abstract

Die Erfindung bezieht sich auf eine Thermobearbeitungsvorrichtung (100) zum schnellen Erhöhen und Vermindern einer Temperatur eines Zielobjekts W bei einem geringen Energieverbrauch. Das Zielobjekt W ist einer Wärmebehandlung in einer Prozeßkammer (110) ausgesetzt. Eine Wärmequelle (130) erwärmt das Zielobjekt von einer Seite einer ersten Oberfläche des Zielobjekts. Eine Kühlanordnung (114, 116) umfaßt einen Bodenabschnitt (114) der Prozeßkammer und kühlt das Objekt W von einer Seite einer zweiten, der ersten Oberfläche entgegengesetzten Oberfläche. Ein Gas mit einer hohen thermischen Leitfähigkeit wird in einem Raum zwischen dem Zielobjekt und dem Bodenabschnitt eingeführt, um eine Wärmeübertragung von dem Objekt auf den Bodenabschnitt (114) der Prozeßkammer (110) zu unterstützen. Ein Bewegungsmechanismus (118) bewegt wenigstens das Objekt oder wenigstens den Bodenabschnitt (114A) der Prozeßkammer (110), so daß das Objekt W mit einem geringeren Einfluß durch die Kühlanordnung (114A, 116), die entfernt von dem Zielobjekt W angeordnet ist, erwärmt und mittels der Kühlanordnung, die in der Nähe des Zielobjekts angeordnet ist, gekühlt werden kann.

Description

Hintergrund der Erfindung 1. Bereich der Erfindung
Die vorliegende Erfindung betrifft eine Wärmebehandlungsvorrichtung, insbesondere eine Wärmebehandlungsvorrichtung zum Anwenden einer Wärmebehandlung auf ein Substrat, beispielsweise ein Einkristall-Siliziumsubstrat oder ein Glassubstrat.
Die vorliegende Erfindung ist insbesondere für eine schnelle Thermoverarbeitungsvorrichtung (RTP) geeignet, die vorzugsweise für einen Herstellungsprozeß einer Halbleitereinrichtung genutzt wird, beispielsweise eine Speichereinrichtung oder eine integrierte Schaltung (IC). RTP umfaßt ein schnelles thermisches Erwärmen bzw. Tempern (RTA), erne schnelle Thermoreinigung (RTC), eine schnelle thermische, chemische Dampfbeschichtung (RTCVD), eine schnelle thermische Oxidation (RTO), eine schnelle thermische Nitrierung (RTN), usw.
2. Beschreibung verwandter Technik
Im Allgemeinen ist ein Halbleitersubstrat, beispielsweise ein Siliziumwafer" bei einem Herstellungsprozeß einer integrierten Halbleiterschaltung wiederholt verschiedenen thermischen Prozessen oder Wärmebehandlungsprozessen ausgesetzt. Die thermischen Prozesse können einen Filmbeschichtungsprozeß, einen Temperprozeß, einen Oxidations- Diffusions-Prozeß, einen Sputter-Prozeß, einen Ätzprozeß, einen Nitrierprozeß, usw. umfassen.
Der RTP-Technik, welche eine Temperatur eines zu behandelnden Objekts schnell erhöht und vermindert, wird große Aufmerksamkeit geschenkt, um eine Ausbeutungsrate und eine Qualität von Halbleiterprodukten zu verbessern. Eine herkömmliche RTP-Vorrichtung umfaßt im allgemeinen die folgenden Elemente: eine Einzelwafer-Prozeßkammer, in welcher ein zu behandelndes Objekt angeordnet ist, beispielsweise ein Halbleiterwafer, ein Glassubstrat zum Photomaskieren, ein Glassubstrat für eine Flüssigkristallanzeige oder ein Substrat für eine optische Scheibe; ein Quarzglasfenster, das an der Prozeßkammer angeordnet ist; eine Wärmelampe, beispielsweise eine Halogenlampe; und einen Reflektor, der hinsichtlich der Wärmelampe auf einer entgegengesetzten Seite des zu behandelnden Objekts angeordnet ist. Im Folgenden wird das zu bearbeitende Objekt als ein Zielobjekt bezeichnet.
Das Quarzglasfenster weist eine plattenähnliche Form oder eine runde Form auf, in welche das Zielobjekt eingebracht werden kann. Wenn das Gas innerhalb der Prozeßkammer mittels einer Vakuumpumpe evakuiert wird und in der Prozeßkammer ein negativer Umgebungsdruck aufrecht erhalten wird, weist das Quarzfenster eine Dicke von etwa 30 mm bis 40 mm auf, so daß es einer Druckdifferenz zwischen dem Innenraum der Prozeßkammer und einem atmosphärischen Druck standhält. Das Quarzglasfenster kann eine konkave Form aufweisen, so daß die Mitte hiervon von dem Prozeßraum innerhalb der Prozeßkammer entfernt ist, weil das Quarzfenster dazu tendiert, sich infolge eines Temperaturanstiegs in Richtung des Prozeßraums zu biegen.
Mehrere Halogenlampen sind so angeordnet, daß das Zielobjekt gleichmäßig erwärmt wird. Der Reflektor reflektiert ein Infrarotlicht auf das Zielobjekt gleichmäßig. Die Prozeßkammer weist typischer Weise an einer Seitenwand ein Absperr- bzw. Torventil auf, so daß das Zielobjekt hierdurch transportiert werden kann. Darüber hinaus ist mit der Seitenwand der Prozeßkammer eine Gaszuführdüse so verbunden, daß ein für eine Thermobehandlung bzw. -bearbeitung genutztes Prozeßgas eingeführt werden kann.
Weil die Temperatur des Zielobjekts eine Qualität des Prozesses beeinflußt (beispielsweise eine Dicke eines abgelagerten Films in einem Filmablagerungs- bzw. Beschichtungsprozeß), muß die Temperatur exakt erfaßt werden. Um eine schnelle Temperaturzunahme bzw. -abnahme zu erreichen, ist eine Temperaturmeßeinrichtung in der Prozeßkammer so vorgesehen, daß die Temperatur des Zielobjekts gemessen werden kann. Die Temperaturmeßeinrichtung kann von einem Thermoelement gebildet sein. Das Thermoelement kann jedoch das Zielobjekt infolge eines Metalls kontaminieren, das das Thermoelement bildet, weil das Thermoelement mit dem Zielobjekt in Kontakt gebracht werden muß.
Deshalb wurde ein Pyrometer vorgeschlagen, wie es beispielsweise in der offengelegten japanischen Patentanmeldung Nr. 11-258051 als eine Temperaturmeßeinrichtung zum Messen einer Temperatur des Zielobjekts offenbart ist. Das Pyrometer berechnet eine Temperatur des Zielobjekts mittels des Umwandelns eines Emissionsgrads ε in eine Temperatur. Der Emissionsgrad ε wird mit Hilfe der folgenden Gleichung (1) auf der Basis der Intensität einer Infrarotlichtstrahlung berechnet, die von einer Rückfläche des Zielobjekts abgestrahlt wird.
Em(T) = εEBB(T) (1)
In Gleichung (1) repräsentieren EBB(T) eine Intensität der Strahlung eines schwarzen Körpers mit einer Temperatur T, Em(T) eine Strahlungsintensität eines Zielobjekts und ε einen Emissionsgrad bzw. ein Emissionsvermögen des Zielobjekts.
Beim Betrieb wird das Zielobjekt durch das Absperr- bzw. Torventil in die Prozeßkammer eingeführt und mittels eines Halters an dessen Rand gestützt. Während eines Wärmeprozesses bzw. einer Wärmebehandlung wird in die Prozeßkammer durch Gaszuführdüsen ein Prozeßgas, beispielsweise Stickstoff oder Sauerstoff, eingeführt. Andererseits absorbiert das Zielobjekt ein Infrarotlicht, das von der Halogenlampe ausgestrahlt wird, wodurch die Temperatur des Zielobjekts zunimmt. Ein Ausgang der Halogenlampe ist rückkopplungsgesteuert in Übereinstimmung mit einem Meßergebnis der Temperaturmeßeinrichtung.
Bei der herkömmlichen RTP-Vorrichtung wird das Zielobjekt von beiden Seilen oder von einer Seite erwärmt. Es ist jedoch schwierig, mit einem niedrigen Energieverbrauch sowohl ein schnelles Erwärmen als auch ein schnelles Abkühlen zu erreichen. Dies bedeutet, daß es schwierig ist, in einer Anordnung zum Erwärmen von beiden Seiten des Zielobjekts ein schnelles Kühlen zu erreichen, weil eine solche Anordnung mit einem niedrigen Energieverbrauch ein schnelles Erwärmen erreicht, jedoch eine geringe Wärmeablaßeffizienz aufweist. Andererseits weist eine Anordnung zum Erwärmen einer einzelnen Seite des Zielobjekts, wie sie in der offengelegten japanischen Patentanmeldung Nr. 11-258051 offenbart ist, eine relativ hohe Abkühlrate auf, weil eine gekühlte Platte an einer der Erwärmungsseite entgegengesetzten Seite angeordnet ist. Diese Anordnung erfordert jedoch einen großen Energieverbrauch, weil eine Wärmemenge zunimmt, die während des Erwärmungsprozesses freigegeben wird.
Zusammenfassung der Erfindung
Es ist allgemeine Aufgabe der vorliegenden Erfindung, eine verbesserte und nützliche Thermobearbeitungsvorrichtung zu schaffen, bei der die oben erwähnten Probleme eliminiert sind.
Es ist insbesondere Aufgabe der vorliegenden Erfindung, eine Thermobearbeitungsvorrichtung zu schaffen, die bei einem niedrigen Energieverbrauch eine Temperatur eines Zielobjekts schnell erhöhen und vermindern kann.
Zum Lösen der oben erwähnten Aufgaben ist nach einem Aspekt der vorliegenden Erfindung eine Thermobearbeitungsvorrichtung zum Bearbeiten bzw. Behandeln eines zu bearbeitenden Objekts geschaffen, wobei das Objekt eine erste Oberfläche und eine zur ersten Oberfläche entgegengesetzte, zweite Oberfläche aufweist. Die Thermobearbeitungsvorrichtung umfaßt eine Prozeßkammer, in welcher das Objekt einer Thermobehandlung ausgesetzt ist; eine Wärmequelle, die das Objekt von einer Seite der ersten Oberfläche erwärmt; eine Kühlanordnung, die das Objekt von einer Seite der zweiten Oberfläche kühlt; und Einführmittel zum Einführen eines Gases mit einer vorbestimmten thermischen Leitfähigkeit in einen Raum zwischen das Objekt und die Kühlanordnung, um eine Wärmeübertragung von dem Objekt auf die Kühlanordnung zu unterstützen.
Gemäß der oben genannten Erfindung kann das Gas mit einer hohen thermischen Leitfähigkeit zwischen das zu bearbeitende Objekt und die Kühlanordnung eingeführt werden, nachdem die Wärmebehandlung abgeschlossen ist. Deshalb wird die Übertragung von Wärme von dem Objekt auf die Kühlanordnung mittels des Gases zwischen dem Objekt und der Kühlanordnung unterstützt.
Bei einer Ausführungsform der vorliegenden Erfindung können die Einführmittel Helium oder Wasserstoff in den Raum zwischen das Objekt und die Kühlanordnung einführen. Darüber hinaus kann die erfindungsgemäße Thermobearbeitungsvorrichtung einen Bewegungsmechanismus aufweisen, welcher wenigstens das Objekt oder wenigstens die Kühlanordnung relativ zum anderen bewegt. Des weiteren können die Einführmittel eine Sprüh- bzw. Duschplatte umfassen, die der zweiten Oberfläche des Objekts gegenübersteht. Die Sprüh- bzw. Duschplatte kann in einem Bodenabschnitt der Prozeßkammer gebildet sein.
Darüber hinaus ist nach einem anderen Aspekt der vorliegenden Erfindung eine Thermobearbeitungsvorrichtung zum Bearbeiten eines zu bearbeitenden Objekts vorgesehen, wobei das Objekt eine erste Oberfläche und eine zur ersten Oberfläche entgegengesetzte, zweite Oberfläche aufweist. Die Thermobearbeitungsvorrichtung umfaßt eine Prozeßkammer, in welcher das Objekt einer Wärmebehandlung ausgesetzt wird; eine Wärmequelle, die das Objekt von einer Seite der ersten Oberfläche erwärmt; eine Kühlanordnung, die das Objekt von einer Seite der zweiten Oberfläche kühlt; und einen Bewegungsmechanismus, welcher wenigstens das Objekt oder wenigstens die Kühlanordnung bewegt, so daß sich das Objekt und die Kühlanordnung relativ zueinander bewegen.
Gemäß der oben erwähnten Erfindung kann die Kühlanordnung von dem Objekt wegbewegt werden, wenn das Objekt der Wärmebehandlung ausgesetzt ist. Andererseits kann die Kühlanordnung nahe zu dem Objekt bewegt werden, wenn das Objekt gekühlt ist. Deshalb kann das Objekt mit weniger Einfluß durch die Kühlanordnung erwärmt werden, während das Objekt mittels der in die Nähe des Objekts bewegten Kühlanordnung effizient gekühlt werden kann.
Bei einer Ausführungsform der vorliegenden Erfindung kann die Kühlanordnung einen Bodenabschnitt der Prozeßkammer umfassen, wobei der Bodenabschnitt gekühlt wird und der zweiten Oberfläche des Objekts so gegenübersteht, daß das Objekt gekühlt wird. Des weiteren kann der Bodenabschnitt mittels des Bewegungsmechanismus relativ zu dem Objekt bewegt werden.
Darüber hinaus ist nach einem anderen Aspekt der Erfindung ein thermisches Bearbeitungs- bzw. Behandlungsverfahren zum Anwenden einer Wärmebehandlung auf ein zu bearbeitendes Objekt vorgesehen, wobei das Objekt eine erste Oberfläche und eine zu der ersten Oberfläche entgegengesetzte, zweite Oberfläche aufweist. Das thermische Bearbeitungsverfahren umfaßt die folgenden Schritte: Erwärmen der ersten Oberfläche des Objekts mittels einer Wärmequelle, so daß die Wärmebehandlung auf das Objekt angewendet wird; Kühlen der zweiten Oberfläche des Objekts mittels einer Kühlanordnung, die auf einer Seite der zweiten Oberfläche hinsichtlich des Objekts angeordnet ist, nachdem die Wärmebehandlung abgeschlossen ist; und Einführen eines Gases in einen Raum zwischen dem Objekt und der Kühlanordnung, so daß eine Wärmeübertragung zwischen dem Objekt und der Kühlanordnung unterstützt wird.
Der Einführschritt kann einen Schritt zum Einführen von Helium oder Wasserstoff in den Raum zwischen das Objekt und die Kühlanordnung umfassen. Das thermische Bearbeitungsverfahren kann nach Beendigung des Erwärmungsschritts weiterhin einen Schritt zum Bewegen wenigstens des Objekts oder wenigstens der Kühlanordnung so umfassen, daß das Objekt und die Kühlanordnung relativ zueinander bewegt werden.
Darüber hinaus ist nach einem anderen Aspekt der vorliegenden Erfindung ein thermisches Bearbeitungsverfahren zum Anwenden einer Wärmebehandlung auf ein zu bearbeitendes Objekt geschaffen, wobei das Objekt eine erste Oberfläche und eine zu der ersten Oberfläche entgegengesetzte, zweite Oberfläche aufweist. Das thermische Bearbeitungsverfahren umfaßt die folgenden Schritte: Erwärmen der ersten Oberfläche des Objekts mittels einer Wärmequelle, so daß die Wärmebehandlung auf das Objekt angewendet wird; Bewegen wenigstens des Objekts oder wenigstens eines Bodenabschnitts der Prozeßkammer nach Beendigung der Wärmebehandlung, so daß ein Abstand zwischen dem Objekt und dem Bodenabschnitt vermindert wird, wobei der Bodenabschnitt der zweiten Oberfläche des Objekts gegenüber steht; und Kühlen des Objekts mittels Kühlens des Bodenabschnitts der Prozeßkammer.
Darüber hinaus ist nach einem anderen Aspekt der Erfindung ein thermisches Bearbeitungsverfahren zum Anwenden einer Wärmebehandlung auf ein zu bearbeitendes Objekt geschaffen, wobei das Objekt eine erste Oberfläche und eine zu der ersten Oberfläche entgegengesetzte, zweite Oberfläche aufweist. Das thermische Bearbeitungsverfahren umfaßt die folgenden Schritte: Bewegen wenigstens des Objekts oder wenigstens eines Bodenabschnitts einer Prozeßkammer, in welcher das Objekt der Wärmebehandlung ausgesetzt ist, so daß die zweite Oberfläche des Objekts von dem Bodenabschnitt der Prozeßkammer um einen ersten Abstand getrennt wird, wobei der Bodenabschnitt der zweiten Oberfläche des Objekts gegenübersteht; Erwärmen der ersten Oberfläche des Objekts mittels einer Wärmequelle, so daß auf das Objekt die Wärmebehandlung angewendet wird, wobei die Wärmequelle hinsichtlich des Objekts auf einer Seite der ersten Oberfläche angeordnet ist; Bewegen wenigstens des Objekts oder wenigstens des Bodenabschnitts, nachdem die Wärmebehandlung beendet ist, so daß der erste Abstand in einen zweiten Abstand überführt wird, welcher schmaler als der erste Abstand ist und Kühlen des Objekts mittels des Kühlens des Bodenabschnitts der Prozeßkammer.
Das thermische Bearbeitungsverfahren kann weiterhin einen Schritt zum Einführen eines Gases in einen Raum zwischen dem Objekt und dem Bodenabschnitt aufweisen, so daß die Wärmeübertragung zwischen dem Objekt und dem Bodenabschnitt der Prozeßkammer unterstützt wird. Der Einführschritt kann einen Schritt zum Einführen von Helium oder Wasserstoff in einen Raum zwischen dem Objekt und dem Bodenabschnitt der Prozeßkammer umfassen.
Andere Ziele, Merkmale und Vorteile der vorliegenden Erfindung ergeben sich aus der folgenden detaillierten Beschreibung in Verbindung mit den zugehörigen Figuren.
Kurzbeschreibung der Figuren
Fig. 1 zeigt eine illustrative Querschnittsdarstellung einer Thermobearbeitungs- bzw. behandlungsvorrichtung gemäß einer Ausführungsform der vorliegenden Erfindung;
Fig. 2 ist eine Draufsicht eines Quarzfensters gemäß Fig. 1;
Fig. 3 ist eine vergrößerte Querschnittsdarstellung des Quarzfensters;
Fig. 4 ist eine Darstellung einer Doppelendlampe;
Fig. 5 ist eine illustrative Querschnittsdarstellung eines Abschnitts eines Reflektors mit dem Quarzfenster und Lampen zum Erklären eines Einflusses des Lichts, was von den Lampen abstrahlt;
Fig. 6 ist eine vergrößerte Querschnittsdarstellung eines Abschnitts eines Reflektors;
Fig. 7 ist eine vergrößerte Querschnittsdarstellung des Reflektors nach Fig. 6, wobei das Quarzfenster durch einen hierauf ausgeübten Druck deformiert ist;
Fig. 8 ist eine Draufsicht des Quarzfensters mit Lampen 130, die zwischen Rippen des Quarzfensters angeordnet sind;
Fig. 9 ist eine vergrößerte Querschnittsdarstellung eines Abschnitts der in Fig. 8 gezeigten Struktur;
Fig. 10 ist eine Querschnittsdarstellung eines Abschnitts des Reflektors mit den Doppelendlampen, die durch Einzelendlampen ersetzt sind;
Fig. 11 ist eine Draufsicht des Reflektors mit den Doppelendlampen, die durch Einzelend- Lampen ersetzt sind;
Fig. 12 ist eine vergrößerte Querschnittsdarstellung eines Strahlungsthermometers nach Fig. 1 und eines Abschnitts in der Nähe des Strahlungsthermometers;
Fig. 13 ist eine illustrative Draufsicht eines Choppers des Strahlungsthermometers;
Fig. 14 ist eine Grafik, die eine Beziehung zwischen einer Temperatur des Zielobjekts und einer Temperatur der Mitte des Zielobjekts zeigt;
Fig. 15 ist eine Grafik, die eine Beziehung zwischen einer Temperatur des Zielobjekts und einer Temperatur einer Kante des Zielobjekts zeigt;
Fig. 16 ist eine illustrative Querschnittsdarstellung zum Erklären von Fehlern, die in der Messung der Temperatur des Zielobjekts enthalten sind;
Fig. 17 ist eine Grafik, die eine Beziehung zwischen einer realen Temperatur des Zielobjekts und einer Temperatur der Mitte des Zielobjekts zeigt, die mittels des Strahlungsthermometers nach Fig. 1 erhalten wird;
Fig. 18 ist eine Grafik, die eine Beziehung zwischen einer realen Temperatur des Zielobjekts und einer Temperatur einer Kante des Zielobjekts zeigt, die mittels des Strahlungsthermometers nach Fig. 1 erhalten wird;
Fig. 19 ist eine Grafik, die ein Ergebnis einer Simulation bezüglich einer Kühlrate des Zielobjekts zeigt;
Fig. 20 ist eine illustrative Querschnittsdarstellung einer Thermobearbeitungsvorrichtung mit einem Bodenabschnitt, der relativ zu dem Zielobjekt bewegbar ist;
Fig. 21 ist eine illustrative Querschnittsdarstellung der Thermobearbeitungsvorrichtung nach Fig. 20 zum Erklären einer Positionsbeziehung zwischen dem Zielobjekt und dem Bodenabschnitt, wenn das Zielobjekt einer Wärmebearbeitung ausgesetzt ist;
Fig. 22 ist eine illustrative Querschnittsdarstellung der Thermobearbeitungsvorrichtung nach Fig. 20 zum Erklären einer Positionsbeziehung zwischen dem Zielobjekt und dem Bodenabschnitt, wenn das Zielobjekt einem Kühlprozeß ausgesetzt ist; und
Fig. 23 ist eine illustrative, vergrößerte Querschnittsdarstellung des Bodenabschnitts nach Fig. 22 zum Erklären des Zuführens von Heliumgas.
Detaillierte Beschreibung der bevorzugten Ausführungsform
Unter Bezugnahme auf Fig. 1 wird nun eine Thermobearbeitungs- bzw. behandlungsvorrichtung 100 gemäß einer Ausführungsform der vorliegenden Erfindung beschrieben. Fig. 1 ist eine illustrative Querschnittsdarstellung der Thermobearbeitungsvorrichtung 100 gemäß einer Ausführungsform der vorliegenden Erfindung.
Gemäß Fig. 1 umfaßt die Thermobearbeitungsvorrichtung eine Prozeßkammer 110, ein Quarzfenster 120, eine Wärmelampe 130, einen Reflektor 140, einen Stützring 150, ein Lager 160, einen Permanentmagneten 170, einen Gaseinführabschnitt 180, einen Abgasabschnitt 190, ein Strahlungsthermometer 200 und eine Steuereinheit 300.
Die Prozeßkammer 110 ist aus rostfreiem Stahl oder Aluminium gebildet und mit dem Quarzfenster 120 verbunden. Eine Seitenwand 112 der Prozeßkammer 110 und das Quarzfenster 120 definieren zusammen einen Prozeßraum zum Anwenden eines Thermoprozesses bzw. Wärmeprozesses auf ein Zielobjekt W. Ein Stützring 150 und ein Stützabschnitt bzw. -teil, der (das) mit dem Stützring verbunden ist, sind in dem Prozeßraum angeordnet. Das Zielobjekt W, beispielsweise ein Halbleiterwafer, ist auf dem Stützring 150 angeordnet. Der Gaseinführabschnitt 180 und der Abgasabschnitt 190 sind mit der Seitenwand 112 der Prozeßkammer 110 verbunden. Mittels des Evakuierens durch den Abgasabschnitt 190 wird in dem Prozeßraum ein negativer Umgebungsdruck aufrecht erhalten. Es wird darauf hingewiesen, daß ein Absperr- bzw. Torventil, durch welches das Zielobjekt W transportiert wird, in Fig. 1 weggelassen wurde.
Ein Bodenabschnitt 114 der Prozeßkammer 110 ist mit Kühlrohren 116a und 116b (im Folgenden einfach als Kühlrohr 116 bezeichnet) so verbunden, daß der Bodenabschnitt 114 als eine Kühlplatte dienen kann. Wenn dies notwendig ist, kann an der Kühlplatte 114 eine Temperatursteueranordnung vorgesehen sein. Die Temperatursteueranordnung kann eine Steuereinheit 300, einen Temperatursensor und einen Erwärmer umfassen. Darüber hinaus wird von einer Wasserquelle, beispielsweise einer Wasserleitung, Kühlwasser zugeführt. Anstelle von Kühlwasser können andere Kühlmittel genutzt werden, beispielsweise Alkohol, "gulden" oder Chlorfluorkohlenstoff. Für die Temperatur kann ein bekannter Sensor genutzt werden, beispielsweise ein PTC-Thermistor, ein Infrarotsensor oder ein Thermoelement. Der Erwärmer bzw. Heizer kann ein Heizdraht sein, welcher auf einem Rand des Kiihlrohrs 116 so gewunden ist, daß eine Temperatur des durch das Kühlrohr 116 fließenden Wassers mittels der Steuerung eines dem Heizdraht zugeführten Stroms eingestellt wird.
Das Quarzfenster 120 ist luftdicht an der Prozeßkammer 110 so montiert, daß die Druckdifferenz zwischen dem negativen Druck in der Prozeßkammer 110 und einer Atmosphäre während des Übertragens eines von der Lampe 130 ausgestrahlten Wärmestrahlungslichts aufrecht erhalten wird. Gemäß den Fig. 2 und 3 umfaßt das Quarzfenster 120 eine runde Quarzplatte 121 und Rippen 122. Die Quarzplatte 122 weist einen Radius von etwa 400 mm und eine Dicke von etwa 2 mm bis 6 mm auf. Fig. 2 ist eine Draufsicht des Quarzfensters 120. Fig. 3 ist eine vergrößerte Querschnittsdarstellung des Quarzfenster 120 mit den Lampen 130 und dem Reflektor 140.
Die Rippen 122 umfassen umlaufende Rippen 124 und radiale Rippen 126. Jede der umlaufenden Rippen 124 erstreckt sich in einer Umlaufrichtung, so daß das Quarzfenster 120 in der Umlaufrichtung verstärkt wird. Jede der radialen Rippen 126 erstreckt sich in einer Radialrichtung, so daß das Quarzfenster 120 in der Radialrichtung verstärkt wird. Luftdurchgänge 128 sind in vorbestimmten Positionen auf den umlaufenden Rippen 124 so gebildet, daß Kühlluft zum Kühlen des Quarzfensters 120 und der Lampen 130 zugeführt wird. Die Breite jeder umlaufenden Rippe 124 und jeder Radialrippe 126 ist vorzugsweise gleich oder geringer als 10 mm, sie beträgt vorteilhaft 2 mm bis 6 mm. Die Höhe jeder umlaufenden Rippe 124 und jeder radialen Rippe 126 ist vorzugsweise gleich oder größer als 10 mm. Obwohl die Rippen 124 und 126 den Lampen 130 in der vorliegenden Ausführungsform gegenüberliegen, können die Rippen 124 und 126 auf der Seite des Quarzfensters 120, welche den Lampen gegenübersteht 130, oder auf beiden Seiten des Quarzfensters 120 vorgesehen sein.
Weil die Quarzplatte 121 mittels der Rippen 120 verstärkt ist, muß die Quarzplatte 121 nicht in einer Richtung von der Prozeßkammer 110 weg gebogen sein. Das heißt, die Quarzplatte 121 kann eine flache Form aufweisen. Dieses führt dazu, daß die Quarzplatte 121 leichter als herkömmliche Quarzplatten produziert werden kann. Bei der vorliegenden Ausführungsform sind die Rippen 122 integral mit der Quarzplatte 121 ausgebildet. Die Rippen 122 können jedoch an die Quarzplatte 121 geschweißt sein.
Wie oben erwähnt wurde, ist die Gesamtdicke des Quarzfensters 120 geringer als die Dicke eines herkömmlichen Quarzfensters, die etwa einige Millimeter (etwa 30 mm bis 40 mm) beträgt, weil die Dicke der Quarzplatte 121 gleich oder geringer als 10 mm und die Höhe der Rippen 122 auch gleich oder geringer als 10 mm sind, vorzugsweise 2 mm bis 6 mm. Im Ergebnis hat das Quarzfenster 120 einen Vorteil gegenüber den herkömmlichen Quarzfenstern, dahingehend, daß eine Menge des mittels der Lampen 130 ausgestrahlten Lichts, die von dem Quarzfenster 120 absorbiert wird, gering ist. Deshalb weist das Quarzfenster 120 die folgenden Vorteile auf.
Erstens kann mit einem verminderten Energieverbrauch ein schneller Temperaturanstieg erreicht werden, weil die Einstrahlungseffizienz des von den Lampen 130 ausgestrahlten Lichts auf das Zielobjekt verbessert ist. Zweitens bricht das Quarzfenster 120 nur schwer, weil die Temperaturdifferenz (d. h. eine Differenz der Thermospannung bzw. -beanspruchung) zwischen der oberen und der unteren Oberfläche hiervon geringer als die bei herkömmlichen Quarzplatten gehalten werden kann. Diese Wirkung liegt auch für die Rippen 122 vor. Drittens wird die Ablagerung eines Films oder eines Nebenproduktmaterials auf dem Quarzfenster 120 verhindert, weil die Temperatur des Quarzfensters geringer als die herkömmlicher Quarzfenster ist. Dementsprechend wird die Temperaturwiederholbarkeit aufrecht erhalten und eine Häufigkeit von Reinigungsoperationen für die Prozeßkammer 120 kann vermindert werden.
Jede der Lampen 130 kann eine Doppelend- oder eine Einzelend-Lampe sein. Die Lampen 130 können durch elektrische Heizdrähte oder andere Wärmestrahlungsquellen ersetzt werden. Fig. 4 ist eine Darstellung einer Doppelend-Lampe 130, welche zwei entgegengesetzte Endelektroden 132 aufweist. Die Einzelend-Lampe weist eine Form auf, die ähnlich zu einer Glühbirne mit einer einzelnen Endelektrode ist. Die Lampen 130 dienen als eine Wärmequelle zum Erwärmen des Zielobjekts. Die Lampen 130 können bei der vorliegenden Ausführungsform Halogenlampen sein; eine Begrenzung auf Halogenlampen besteht jedoch nicht. Der Ausgang jeder der Lampen 130 wird mittels eines Lampentreibers 130 bestimmt, welcher mit Hilfe der Steuereinheit 300, die später beschrieben wird, so gesteuert wird, daß jeder der Lampen 130 eine geeignete Energie zugeführt wird.
Gemäß Fig. 4 weist jede der Lampen 130 zwei entgegengesetzte Endelektroden 132 und ein Lampengehäuse 134 auf. Das Lampengehäuse 134 umfaßt eine mit den zwei Elektroden 134 verbundene Faser auf. Die den Elektroden 132 zugeführte Energie wird mittels des Lampentreibers 310 bestimmt, welcher von der Steuereinheit 300 gesteuert wird. Ein Abschnitt zwischen jeder der Elektroden 132 und dem Lampentreiber 310 wird mittels eines Dichtabschnitts 136 abgedichtet, was später beschrieben wird.
Gemäß Fig. 4 umfaßt das Lampengehäuse 134 einen bogenförmigen, horizontalen Abschnitt 134b und zwei vertikale Abschnitte 134a, die sich von entgegengesetzten Enden des horizontalen Abschnitts 134b in eine Richtung senkrecht zu dem horizontalen Abschnitt 134b erstrecken. Die Länge des horizontalen Abschnitts 134b ist so bestimmt, daß der horizontale Abschnitt 134b zwischen die benachbarten umlaufenden Rippen 124, die konzentrische Kreise bilden, und zwischen die radialen Rippen 126 paßt. Jede der Lampen 130 bedeckt jedoch nicht immer den Raum zwischen den benachbarten radialen Rippen 126 vollständig, und die Lampen 130 können mit einem vorbestimmten Intervall angeordnet werden.
Dementsprechend sind die Lampen 130 bei der vorliegenden Ausführungsform bezüglich des runden Zielobjekts W konzentrisch angeordnet. Entlang einer umlaufenden Richtung des Quarzfensters 120 sind mehrere Lampen 130 angeordnet, die jeweils eine bogenförmige Form und bezüglich der Mitte des Quarzfensters 120 den gleichen Radius aufweisen. Andererseits sind entlang einer radialen Richtung mehrere Lampen 130 mit verschiedenen Radien angeordnet.
Die vorliegende Erfindung schließt die Nutzung einer Doppelend-Lampe mit einem geraden, horizontalen Abschnitt nicht aus. Wenn eine solche Doppelend-Lampe mit einem geraden, horizontalen Abschnitt genutzt wird, können die Formen der Rippen 122 so geändert werden, daß die Lampen aufgenommen werden können. Die Lampen 130 gemäß der vorliegenden Ausführungsform sind jedoch besser gegenüber der Doppelend-Lampe mit einem geraden, horizontalen Abschnitt, weil die Doppelend-Lampe mit einem geraden, horizontalen Abschnitt einen großen Bereich des Zielobjekts W bedeckt und angeordnet ist, um die Oberfläche des Zielobjekts W zu überqueren. Dieses bedeutet, daß die Doppelend-Lampe mit einem geraden, horizontalen Abschnitt eine geringere Richtfähigkeit aufweist und es schwierig ist, eine Steuerung auf eine einzelne Flächenbasis auszuführen. Andererseits kann die Temperatursteuerung einer einzelnen Flächenbasis leicht erreicht werden, weil die Lampen 130 gemäß der vorliegenden Ausführungsform im wesentlichen in einer konzentrischen Art angeordnet sind, wodurch eine gute Richtfähigkeit geschaffen ist. Deshalb kann eine direkte Bestrahlung des Zielobjekts W in effizienter Weise ausgeführt werden.
Der Reflektor 140 hat eine Funktion zum Reflektieren des Kernstrahlungslicht der Lampe 130. Der Reflektor 140 weist mehrere vertikale Löcher 142 auf, in welche die vertikalen Abschnitte 134 der Lampen 130 eingeführt sind. Der Reflektor 140 umfaßt zusätzlich auf dem Boden mehrere konzentrisch angeordnete, horizontale Rillen 144 zum Aufnehmen der horizontalen Abschnitt 134b der Lampen 130. Ein Kühlrohr (in der Figur nicht dargestellt) ist auf oder in dem oberen Abschnitt des Reflektors 140 vorgesehen. Gemäß Fig. 3 umfaßt der Reflektor 140 horizontale Abschnitte 145, die jeweiligen Rippen 122 des Quarzfensters 120 gegenüberstehen.
Fig. 5 ist eine illustrative Querschnittsdarstellung eines Abschnitts des Reflektors 140 mit dem Quarzfenster 120 und den Lampen 130 zum Erklären eines Einflusses des von den Lampen 130 abgestrahlten Lichts. Gemäß des Reflektors 140 ist die Länge eines optischen Wegs 2 innerhalb der Rippe 122 länger als die Länge eines optischen Wegs 1 innerhalb der Quarzplatte 121. Dementsprechend absorbiert die Rippe 120 mehr Wärme als die Quarzplatte 121. Es existiert deshalb eine Temperaturdifferenz zwischen der Quarzplatte 121 und den Rippen 122, und in einem Verbindungsabschnitt 123 zwischen der Quarzplatte 121 und den Rippen 122 kann deshalb ein Bruch wegen einer Differenz der thermischen Ausdehnung zwischen der Quarzplatte 121 und den Rippen 122 auftreten. Ein solches Problem kann mittels des Anpassens der Dicke der Rippen 122 gelöst werden. Alternativ kann ein solches Problem mittels der Nutzung eines Reflektors 140A gemäß Fig. 6 gelöst werden.
Fig. 6 ist eine vergrößerte Querschnittsdarstellung eines Abschnitts des Reflektors 140A. Der Reflektor 140A unterscheidet sich von dem Reflektor 140 dadurch, daß der Reflektor 140 Rillen 144A, welche tiefer als die Rillen 144 sind, und Schlitze 146 zum Aufnehmen der Rippen 122 aufweist. Gemäß Reflektor 140A wird das von den Lampen 130 abgestrahlte Licht an einem direkten Einfall auf die Rippen 122 gehindert, weil die Rippen 122 in die jeweiligen Rillen 146 eingeführt sind. Darüber hinaus hat die Struktur des Reflektors 140A den Vorteil, daß das Quarzfenster 120 daran gehindert wird, sich infolge eines atmosphärischen Drucks zu verformen oder aus diesem Grund zu brechen, wenn ein Vakuum in der Prozeßkammer 110 gebildet wird, weil die Rippen 122 des Quarzfensters 120 mit den inneren Wänden der Rillen 146 in Kontakt gebracht werden, wenn das Quarzfenster 120 gemäß Fig. 7 deformiert wird. Fig. 7 ist eine vergrößerte Querschnittsdarstellung des Reflektors, wobei das Quarzfenster 120 durch einen auf das Quarzfenster 120 ausgeübten Druck deformiert ist. Es wird darauf hingewiesen, daß der Reflektor Auskragungen bzw. Vorsprünge zur Unterstützung der Rippen 122 aufweisen kann, um das Quarzfenster 120 zu stärken.
Im Folgenden wird unter Bezugnahme auf die Fig. 8 und 9 eine Beziehung zwischen den Luftdurchgängen 128 und den Abschluß- bzw. Dichtteilen 136 beschrieben. Fig. 8 ist eine Draufsicht des Quarzfensters 120 mit den Lampen 130, die zwischen den Rippen 122 angeordnet sind. Fig. 9 ist eine vergrößerte Querschnittsdarstellung eines Abschnitts der Struktur nach Fig. 8.
Durch die Luftdurchgänge 128 gelangt Kühlluft hindurch (vgl. Fig. 8). Kreise in Fig. 8 zeigen Positionen der Dichtabschnitte 136 der Lampen 130. Jeder Lampe 130 wird durch die Elektrode 132 und den Dichtabschnitt 136, der in dem vertikalen Abschnitt 134a des Lampengehäuses 134 vorgesehen ist, eine elektrische Energie zugeführt. Die Elektrode 132 und der Dichtabschnitt 136 sind innerhalb eines Durchgangslochs 142 angeordnet, welches in dem Reflektor 140A gebildet ist. Die Kühlluft gelangt durch das Durchgangsloch 142, so daß der Dichtabschnitt 136 effektiv gekühlt wird. Es wird darauf hingewiesen, daß Kühllufteinführmittel in Fig. 1 nicht gezeigt sind.
Bei der vorliegenden Ausführungsform können die Doppelend-Lampen 130 durch Einzelend- Lampen gemäß den Fig. 10 und 11 ersetzt werden. Fig. 10 ist eine Querschnittsdarstellung eines Abschnitts des Reflektors 140A, wobei die Doppelend-Lampen 130 durch Einzelend-Lampen 130A ersetzt sind. Fig. 11 ist eine Draufsicht des Reflektors 140A, wobei die Doppelend-Lampen 130 durch Einzelend-Lampen 130A ersetzt sind. Die Einzelend-Lampen 130A liefern eine gute Richtfähigkeit und eine gute Steuerbarkeit des Erwärmungs- bzw. Heizstrahlungslichts.
Unter Bezugnahme auf Fig. 12 und 13 wird nun das Strahlungsthermometer 200 nach Fig. 1 beschrieben. Fig. 12 ist eine vergrößerte Querschnittsdarstellung des Strahlungsthermometers 200 und eines Abschnitts in der Nähe des Strahlungsthermometers 200. Fig. 13 ist eine illustrative Draufsicht eines Choppers 230 des Strahlungsthermometers 200. Das Strahlungsthermometer 200 ist bezüglich des Zielobjekts W auf der zu den Lampen 130 entgegengesetzten Seite angeordnet. Die vorliegenden Erfindung schließt eine Struktur nicht aus, bei der das Strahlungsthermometer und die Lampen 130 bezüglich des Zielobjekts W auf derselben Seite angeordnet sind. Es wird jedoch bevorzugt, daß das von den Lampen ausgestrahlte Licht an einem Einfall auf das Strahlungsthermometer 200 gehindert wird.
Das Strahlungsthermometer 200 ist an einem Bodenabschnitt 114 der Prozeßkammer 110 montiert. Eine Oberfläche 114a des Bodenabschnitts 114 der Prozeßkammer 110 weist eine Goldplattierung oder dergleichen auf, so daß die Oberfläche 114a als eine Reflexionsoberfläche dient (Hoch-Reflexions-Oberfläche). Wenn die Oberfläche 114a eine niedrige Reflexion aufweist, beispielsweise eine schwarze Oberfläche ist, absorbiert die Oberfläche 144a von dem Zielobjekt W abgestrahlte Wärme, was dazu führt, daß ein Ausgang der Lampen 130 in ungewünschter Weise vergrößert wird. Das Strahlungsthermometer 200 umfaßt einen Stab 210, ein Gehäuse bzw. einen Kasten 220, einen Chopper oder Sektor 230, einen Motor 240, eine Linse 250, eine optische Faser 260 und einen Strahlungsdetektor 270. Der Stab 210 ist in ein zylindrisches Durchgangsloch 115 eingeführt, welches in dem Bodenabschnitt 114 der Prozeßkammer 110 ausgebildet ist.
Bei der vorliegenden Ausführungsform ist der Stab 210 aus Saphir oder Quarz gebildet. Saphir oder Quarz werden genutzt, weil sie einen guten Wärmewiderstand und gute optische Eigenschaften aufweisen, was später beschrieben wird. Der Stab 210 ist jedoch nicht zwingend aus Saphir oder Quarz. Weil der Stab 210 einen guten Wärmewiderstand aufweist, besteht keinen Notwendigkeit, einen Kühlanordnung zum Kühlen des Stabs vorzusehen, was zur Miniaturisierung der Vorrichtung 100 beiträgt.
Der Stab 210 kann um einen vorbestimmte Länge in eine Richtung in das Innere der Prozeßkammer 110 vorstehen, soweit dies notwendig ist. Der Stab 210 ist in das Durchgangsloch 115 eingeführt, das in dem Bodenabschnitt 114 der Prozeßkammer vorgesehen ist, und mittels eines O-Rings 119 abgedichtet. Hierdurch kann in der Prozeßkammer 110 ein negativer Druck aufrecht erhalten werden, obwohl das Durchgangsloch 115 in dem Bodenabschnitt 114 der Prozeßkammer 110 ausgebildet ist.
Der Stab 210 kann das hierauf einfallende Wärmestrahlungslicht enthalten und führt das Wärmestrahlungslicht zu dem Kasten 230 mit geringer Dämpfung. Dementsprechend hat der Stab 210 eine sehr gute Lichtsammeleffizienz. Darüber hinaus ermöglicht der Stab 210 eine Mehrfachreflexion des Strahlungslichts zwischen einer hoch reflektierenden Oberfläche 232 des Choppers 230 und dem Zielobjekt W. Die Temperatur des Zielobjekts W kann mittels der Positionierung des Stabs 210 nahe dem Zielobjekt W gemessen werden.
Der Stab 210 ermöglicht die Trennung des Kastens 220 von dem Zielobjekt W. Deshalb kann der Stab 210 auf eine Kühlanordnung zum Kühlen des Mantels bzw. Kastens 220 verzichten und trägt zur Miniaturisierung der Vorrichtung 100 bei. Wenn die Kühlanordnung zum Kühlen des Kastens 220 vorgesehen ist, kann der Stab 210 eine Energie minimieren, die der Kühlanordnung des Stabs 210 zugeführt wird.
Der Stab 210 gemäß der vorliegenden Ausführungsform kann aus Quarz oder Saphir mit einer optischen Mehrkernfaser gebildet sein. In einem solchen Fall ist die optische Mehrkernfaser zwischen dem Quarz- oder Saphir-Stab und dem Chopper 230 vorgesehen. Hierdurch weist der Stab 210 eine Elastizität bzw. Flexibilität auf, was die Freiheitsgrade bezüglich der Anordnung des Strahlungsthermometers 200 vergrößert. Weil ein Hauptkörper oder der Kasten 220 des Strahlungsthermometers 200 von dem Zielobjekt W getrennt werden kann, ist zusätzlich jedes Teil des Strahlungsthermometers 200 daran gehindert, sich infolge des Einflusses der Temperatur des Zielobjekts W zu verformen, wodurch eine exakte Messung der Temperatur des Zielobjekts W aufrecht erhalten wird.
Der Mantel bzw. Kasten 220 hat eine im wesentlichen zylindrische Form und ist an dem Bodenabschnitt 114 vorgesehen, so daß das Durchgangsloch 115 bedeckt ist.
Der Chopper 230 hat eine scheibenähnliche Form und ist vertikal so angeordnet, daß ein Abschnitt des Choppers 230 unter dem Durchgangsloch 115, innerhalb des Kasten 220 angeordnet ist. Der Chopper 230 ist an seiner Mitte mit einer Drehachse des Motors 240 so verbunden, daß er mittels des Motors 240 gedreht werden kann. Die Oberfläche des Choppers 230 ist in vier gleiche Abschnitte unterteilt, einschließlich zweier hoch-reflektierender Oberflächen 232 und zweier niedrig-reflektierender Oberflächen 234. Die Oberflächen 232 und 234 sind alternierend angeordnet, und jede der Oberflächen 232 und 234 weist einen Schlitz 231 auf. Die hoch reflektierenden Oberflächen 232 sind beispielsweise mittels eines Aluminiums- oder eines Goldüberzugs gebildet. Die niedrig reflektierenden Oberflächen 234 sind beispielsweise mittels eines schwarzen Anstrichs gebildet. Jede der hoch reflektierenden Oberflächen 232 weist entsprechend zu dem Schlitz 231 eine Meßoberfläche 232a und eine sich von dem Schlitz 231 unterscheidende Meßfläche 232b auf. In ähnlicher Weise weist jede der niedrig reflektierenden Oberflächen 234 entsprechend dem Schlitz 231 eine Meßfläche 234a und eine sich von dem Schlitz 231 unterscheidende Meßfläche 234b auf.
Der Chopper 230 kann eine von der Struktur in Fig. 13 sich unterscheidende Struktur aufweisen. Beispielsweise kann der Chopper eine halbrunde, hoch-reflektierende Oberfläche mit dem Schlitz 231 aufweisen. Alternativ kann der Chopper in vier oder sechs gleiche Teile mit hoch-reflektierenden Oberflächen mit Schlitzen 231 und alternierend angeordneten Kerbabschnitten unterteilt sein. Der Schlitz 231 kann nur auf den hoch reflektierenden Oberflächen vorgesehen sein.
Wenn der Chopper 230 mittels des Motors 240 gedreht wird, erscheinen die hoch­ reflektierende Oberfläche 232 und die niedrig-reflektierende Oberfläche 234 alternierend unter dem Stab 210. Wenn die hoch-reflektierende Oberfläche 232 unter dem Stab 210 angeordnet ist, wird ein großer Teil des sich durch den Stab 210 ausbreitenden Lichts mittels der hoch reflektierenden Oberfläche 232 reflektiert und breitet sich erneut durch den Stab 210 aus und strahlt auf das Zielobjekt W. Andererseits wird ein großer Teil des sich durch den Stab 210 ausbreitenden Lichts mittels der niedrig reflektierenden Oberfläche 234 absorbiert, wenn die niedrig-reflektierende Oberfläche 234 unter dem Stab 210 angeordnet ist. Deshalb wird nur eine sehr geringe Menge des Lichts von der niedrig reflektierenden Oberfläche 234 reflektiert. Die Schlitze 231 führen das Strahlungslicht von dem Zielobjekt W oder mehrfach reflektiertes Licht zu dem Detektor 270.
Der Detektor 270 umfaßt eine Abbildungslinse (in der Figur nicht dargestellt), eine Si- Photozelle und eine Verstärkerschaltung. Das auf die Abbildungslinse einfallende Strahlungslicht wird nach dem Umwandeln in ein elektrisches Signal, was die Strahlungsintensitäten E1(T) und E2(T) repräsentiert, der Steuereinheit 300 zugeführt, was später beschrieben wird. Die Steuereinheit 300 umfaßt eine CPU und einen Speicher, um den Emissionsgrad ε und die Temperatur T des Zielobjekts W in Übereinstimmung mit den Strahlungsintensitäten E1(T) und E2(T) zu berechnen. Es wird darauf hingewiesen, daß die Berechnung mittels einer arithmetischen Einheit (in der Figur nicht dargestellt) des Strahlungsthermometers 200 ausgeführt werden kann.
Das durch den Schlitz 231 gelangte Licht wird mittels der Linse 250 gesammelt und mittels der optischen Faser 260 an den Detektor 270 übertragen. Die Strahlungsintensitäten an der hoch-reflektierenden Oberfläche 232 und der niedrig eflektierenden Oberfläche 234 werden mittels der folgenden Gleichung 2 bzw. 4 repräsentiert.
E1(T) = εEBB(T)/[1-R(1-ε)] (2)
E1(T) ist eine mittels des Detektors 270 erhaltene Strahlungsintensität der hoch­ reflektierenden Oberfläche 232 bei einer Temperatur T. R ist ein effektiver Reflexionsgrad der hoch reflektierenden Oberfläche 232. ε ist ein Reflexionsgrad des Zielobjekts W. EBB(T) ist eine Strahlungsintensität eines schwarzen Körpers bei der Temperatur T. Die Gleichung (2) wird aus der folgenden Gleichung (3) erhalten. Es wird angenommen, daß das Zielobjekt W keine Wärmestrahlung hat.
E1(T) = εEBB(T) + εR(1-ε)EBB(T) + ε[R(1-ε)]2 +. . .∞
= εEBB(T)/[1-R(1-ε)] (3)
E2(T) = εEBB(T) (4)
E2(T) ist eine mittels des Detektors 270 erhaltene Strahlungsintensität der niedrig­ reflektierenden Oberfläche 234 bei der Temperatur T. Die Gleichung (4) wird aus dem Planckschen Gesetz erhalten. Der Emissionsgrad ε wird durch die folgende Gleichung (5) repräsentiert.
ε = [E2(T)/E1(T) + R-1]/R (5)
Im allgemeinen ist die spektrale Konzentration einer spezifischen Ausstrahlung einer elektromagnetischen Welle, die von einem schwarzen Körper abgestrahlt wird, durch das Plancksche Gesetz gegeben. Wenn das Strahlungsthermometer 200 eine Temperatur eines schwarzen Körpers mißt, kann die Beziehung zwischen der Temperatur des schwarzen Körpers und der Strahlungsintensität EBB(T) durch die folgenden Gleichungen (6) und (7) mittels einer Nutzung der Konstanten A, B und C repräsentiert werden, welche durch ein optisches System des Strahlungsthermometers 200 bestimmt werden.
EBB(T) = Cexp [-C2/(AT+B)] (6)
T = C2/A[InC - InEBB(T)] - R/A (7)
C2 ist eine zweite Strahlungskontstante.
Der Detektor 270 oder die Steuereinheit 300 können die Strahlungsintensität EBB(T) erhalten. Hierdurch kann die Temperatur T mittels des Einsetzens der Strahlungsintensität EBB(T) in die Gleichung (7) erhalten werden. Deshalb kann die Steuereinheit 300 die Temperatur T des Zielobjekts W erhalten.
In der Praxis umfaßt die mittels der Gleichung (7) erhaltene Temperatur jedoch eine Fehler von etwa 20°C bis 40°C (vgl. Fig. 14 und 15) im Vergleich zu der realen Temperatur des Zielobjekts W. Fig. 14 ist eine Grafik, die eine Beziehung zwischen einer Temperatur des Zielobjekts W und einer Temperatur der Mitte des Zielobjekts W zeigt, welche mittels des Strahlungsthermometers 200 unter Nutzung der Gleichung (1) erhalten wird. Fig. 15 ist eine Grafik, die eine Beziehung zwischen einer Temperatur der Zieltemperatur W und einer Temperatur einer Kante des Zielobjekts W zeigt, die mittels des Strahlungsthermometers 200 und der Nutzung der Gleichung (1) erhalten wird.
Die Erfinder der vorliegenden Erfindung analysierten den Grund für den Fehler und fanden heraus, daß einige Fehler in Betracht zu ziehen sind, wenn die Gleichung (1) zum Messen der Temperatur des Zielobjekts W genutzt wird. Gemäß Fig. 16 umfassen die Fehler darüber hinaus: 1) ein mehrfach reflektiertes Licht J, welches durch das Zielobjekt W abgestrahlt wird und von der Oberfläche 114a reflektiert wird; 2) ein Licht K, das von dem Zielobjekt W abgestrahlt wird; 3) einen Übertragungsverlust L infolge der Reflexion an einer Kante des Stabs 210; und 4) einen Absorbtionsverlust M des Stabs 210. Das Licht J und das Licht K können als Streulicht bezeichnet werden. Das Streulicht liefert einen großen Einfluß auf den Meßfehler, insbesondere in der Einzelwafer-Prozeßkammer 110, in welcher ein Reflexionsgrad einer inneren Oberfläche der Prozeßkammer 110 und von Abschnitten, die das Zielobjekt W umgeben, hoch ist, um eine thermische Effizienz zu erhöhen. Fig. 16 ist eine illustrative Querschnittsdarstellung zum Erklären der in der Messung der Temperatur des Zielobjekts enthaltenen Fehler, wobei die Messung mittels der Nutzung der Gleichung 1 erhalten wird.
Um die Fehler zu kompensieren, haben die Erfinder der vorliegenden Erfindung die Gleichung (1) zu Gleichung (8) verändert.
Em(T) = G{[ε/[(1-α(1-ε))-β]} {EBB(T) + S} (8)
In der Gleichung (8) sind der Fehler 1), welcher durch das mehrfach reflektierte Licht J verursacht wird, mittels ε/[(1-α(1-ε)) korrigiert; der Fehler 2), welcher durch das von dem Zielobjekt W abgestrahlte Licht K verursacht wird, durch S korrigiert; der Fehler 3), welcher durch den Übertragungsverlust L infolge der Reflexion an einem Ende des Stabs und der Faser verursacht wird, mittels β korrigiert; und der Fehler 4), welcher durch den Absorbtionsverlust M verursacht wird, durch G (Verstärkung) korrigiert. Es wird darauf hingewiesen, daß das Ergebnis der Temperaturberechnung auf der Basis der Gleichung (1) dadurch angenähert werden kann, daß nicht alle aber wenigstens eine der oben genannten Korrekturen vorgenommen wird. Das Temperaturmeß-Berechnungsprogramm, welches die Gleichung (8) oder die Gleichung (8) mit Berücksichtigung wenigstens einer der Korrekturen nutzt, kann in einem computerlesbaren Medium, beispielsweise einer Diskette oder einer CD- ROM, gespeichert werden. Alternativ kann das Programm durch ein Kommunikationsnetzwerk, beispielsweise das Internet verteilt werden.
Die Fig. 17 und 18 zeigen Grafiken, in denen eine unter Nutzung der Gleichung (8) gemessene Temperatur mit einer realen Temperatur des Zielobjekts W verglichen wird. Fig. 17 ist insbesondere eine Grafik, die eine Beziehung zwischen der realen Temperatur des Zielobjekts W und einer Temperatur der Mitte des Zielobjekts W zeigt, die mittels des Strahlungsthermometers 200 und unter Nutzung der Gleichung (8) erhalten wird. Fig. 18 ist eine Grafik, die eine Beziehung zwischen der realen Temperatur des Zielobjekts W und der Temperatur an einer Kante des Zielobjekts W zeigt, die mittels des Strahlungsthermometers 200 und unter Nutzung der Gleichung (8) erhalten wird. Aus den Fig. 17 und 18 kann herausgelesen werden, daß die Differenz zwischen der realen Temperatur und der Temperatur, die mittels des Strahlungsthermometers 200 und unter Nutzung der Gleichung (8) gemessen wurde, innerhalb eines Bereiches von ±3°C gehalten werden kann.
Die Steuereinheit 300 weist eine CPU und einen Speicher auf, so daß der Ausgang der Lampen 130 mittels des Erfassens der Temperatur T des Zielobjekts W und des Steuerns des Lampentreibers 310 rückgekoppelt gesteuert werden kann. Darüber hinaus sendet die Steuereinheit 300 ein Treibersignal an den Motortreiber 320 bei einer vorbestimmten Zeiteinstellung, um eine Drehgeschwindigkeit des Zielobjekts W zu steuern.
Der Gaseinführabschnitt 180 umfaßt beispielsweise eine Gaszuführquelle (in der Figur nicht dargestellt), ein Fließeinstellventil, eine Massenflußsteuereinrichtung, ein Gaszuführventil und einen Gaszuführdurchgang, der die vorgenannten Abschnitte verbindet. Der Gaseinführabschnitt 180 führt in die Prozeßkammer 110 ein Prozeßgas ein, das für die Wärmebehandlung genutzt wird. Es wird darauf hingewiesen, daß die Position des Gaseinführabschnitts 180 nicht auf die Seite der Prozeßkammer 110 begrenzt ist, obwohl der Gaseinführabschnitt 180 bei der vorliegenden Ausführungsform in der Seitenwand 112 der Prozeßkammer vorgesehen ist. Der Gaseinführabschnitt kann beispielsweise als ein Duschkopf ausgeführt sein, welcher ein Prozeßgas von einem oberen Abschnitt der Prozeßkammer 110 einführt.
Wenn ein Erwärmen auf eine bestimmte Temperatur bzw. ein Tempern ausgeführt werden, kann N2 oder Ar als Prozeßgas genutzt werden. Wenn ein Nitrieren ausgeführt wird, kann N2 oder NH3 genutzt werden. Darüber hinaus kann NH3, SiH2Cl2 oder SiH4 genutzt werden, wenn eine Filmablagerung ausgeführt wird. Das Prozeßgas ist jedoch nicht auf die vorgenannten Gase beschränkt. Die Massen- bzw. Mengenflußsteuereinrichtung steuert eine Flußrate des Prozeßgases. Die Mengenflußsteuereinrichtung umfaßt beispielsweise eine Brückenschaltung, eine Verstärkerschaltung, eine Komperator-Steuerschaltung, ein Flußeinstellventil, etc. Die Mengenflußsteuereinrichtung mißt eine Flußrate mittels des Erfassens einer Wärmeübertragung von einem Aufwärtsstrom auf einen Abwärtsstrom des Gasflusses, um das Flußeinstellventil zu steuern. Der Gaszuführdurchlaß kann von einem nahtlosen Rohr und einer geätzten Kopplung gebildet sein. Es kann auch eine Metalldichtungskopplung genutzt werden, um das Eindringen von Verunreinigungen in das Prozeßgas zu verhindern, was durch den Gaszuführdurchlaß zugeführt wird. Um die Erzeugung von Staubpartikeln infolge von Dreck oder Korrosion des Inneren des Rohres zu verhindern, ist das Rohr aus einem korrosionsbeständigen Material oder die innere Wand des Rohres ist mit einem isolierenden Material beschichtet, beispielsweise PTFE (Teflon), PFA, Polyimid, PBI oder dergleichen. Darüber hinaus kann ein Elektropolieren auf die Innenwand angewendet werden. Des weiteren kann ein Staubteilchenfangfilter in dem Gaseinführabschnitt 180 vorgesehen sein.
Der Abgasabschnitt 190 ist bei der vorliegenden Ausführungsform im wesentlichen parallel zu dem Gaseinführabschnitt 180 vorgesehen. Die Position und die Anzahl der Abgasabschnitte 190 sind jedoch nicht auf eine solche Anordnung begrenzt. Eine gewünschte Vakuumpumpe, beispielsweise eine Turbomolekülpumpe, eine Sputter-Ionen-Pumpe, eine Getter-Pumpe, eine Sorbtions-Pumpe oder eine Cryostat-Pumpe, ist mit dem Abgasabschnitt 190 zusammen mit einer Druckeinstellpumpe verbunden. Es wird darauf hingewiesen, daß bei der vorliegenden Ausführungsform in der Prozeßkammer 110 ein negativer Druck aufrecht­ erhalten wird; die vorliegenden Erfindung verlangt jedoch nicht immer eine solche negative Druckumgebung. Die vorliegenden Erfindung ist beispielsweise für eine Vorrichtung anwendbar, die ein Verfahren bei einem Druck zwischen 133 Pa und einem atmosphärischen Druck ausführt. Der Abgasabschnitt 190 hat weiterhin eine Funktion zum Evakuieren von Heliumgas bevor ein folgendes Verfahren gestartet wird, was später unter Bezugnahme auf die Fig. 20 bis 24 beschrieben wird.
Fig. 19 ist eine Grafik, die ein Ergebnis einer Simulation bezüglich einer Kühlrate des Zielobjekts W zeigt. In Fig. 19 steht eine Lücke für einen Abstand zwischen dem Zielobjekt W und dem Bodenabschnitt 114 der Prozeßkammer 110. Aus Fig. 19 ergibt sich: 1) Die Kühlrate nimmt zu, wenn die Lücke kleiner wird; und 2) die Kühlrate nimmt beim Zuführen von Heliumgas, welches eine hohe thermische Leitfähigkeit aufweist, in einen Raum zwischen dem Zielobjekt W und dem Bodenabschnitt 114 merklich zu.
In der Struktur der in Fig. 1 gezeigten RTP-Vorrichtung 100 wird eine obere Oberfläche des Zielobjekts W mittels der Lampen 130 erhitzt und der Bodenabschnitt 114 dient als eine Kühlplatte, die einer unteren Oberfläche des Zielobjekts W gegenübersteht. Dementsprechend weist die Struktur nach Fig. 1 eine hohe Kühlrate auf, verlangt jedoch eine große Energie zum schnellen Anheben der Temperatur des Zielobjekts W, weil die Wärme groß ist, die von dem Zielobjekt W abgestrahlt wird. Um die Wärmeabstrahlung von dem Zielobjekt W zu vermindern, kann die Zuführung des Kühlwassers 116 zu dem Kühlrohr 116 gestoppt werden. Dieses Verfahren wird jedoch nicht bevorzugt, weil eine Gesamtverfahrenszeit vergrößert wird, welche die Ausbeutungsrate vermindert.
Gemäß den Fig. 20 bis 22 kann die Bodenplatte 114, welche als eine Kühlplatte dient, dementsprechend durch einen Bodenabschnitt 114A ersetzt werden, welcher relativ zu dem Zielobjekt W bewegbar ist. Vorzugsweise wird Heliumgas mit einer hohen thermischen Leitfähigkeit in einen Raum zwischen dem Zielobjekt W und dem Bodenabschnitt 114A zugeführt, um die Kühleffizienz zu vergrößern. Fig. 20 ist eine illustrative Querschnittsdarstellung der Thermobearbeitungsvorrichtung mit einem Bodenabschnitt 114A, welcher relativ zu dem Zielobjekt W bewegbar ist. Fig. 21 ist eine illustrative Querschnittsdarstellung der Thermobearbeitungsvorrichtung gemäß Fig. 20 zum Erklären einer Positionsbeziehung zwischen dem Zielobjekt und dem Bodenabschnitt 114A, wenn das Zielobjekt einer Wärmebehandlung ausgesetzt ist. Fig. 22 ist eine illustrative Querschnittsdarstellung der Thermobearbeitungsvorrichtung gemäß Fig. 20 zum Erklären einer Positionsbeziehung zwischen dem Zielobjekt und dem Bodenabschnitt 114A, wenn das Zielobjekt einem Kühlprozeß ausgesetzt ist. Es wird darauf hingewiesen, daß in den Fig. 20 bis 22 das Strahlungsthermometer 200 und das Kühlrohr 116 zur Vereinfachung der Figuren weggelassen sind.
Gemäß Fig. 20 ist der Bodenabschnitt 114A relativ zu dem Zielobjekt W vertikal bewegbar. Ein Blase- bzw. Faltbalk 117 ist zwischen der Seitenwand 112 der Prozeßkammer 110 und dem Bodenabschnitt 114A so vorgesehen, daß in der Prozeßkammer 110 ein negativer Druck aufrechterhalten werden kann. Der Bodenabschnitt 114A wird mittels eines Vertikalbewegungsmechanismus 118 vertikal bewegt, welcher als beliebiger, herkömmlicher Bewegungsmechanismus ausgebildet sein kann. Es wird darauf hingewiesen, daß anstelle der Bewegung des Bodenabschnitts 114A das Zielobjekt W oder der Stützring 150 relativ zu dem Bodenabschnitt 114A bewegt werden können. Wenn das Zielobjekt W erwärmt wird, wird der Bodenabschnitt 114A von dem Zielobjekt W wegbewegt (vgl. Fig. 21) und die Zuführung des Heliumgases wird gestoppt. Zu diesem Zeitpunkt beträgt der Abstand zwischen dem Zielobjekt W und dem Bodenabschnitt 114A beispielsweise 10 mm. Weil der Abstand zwischen dem Zielobjekt W und dem Bodenabschnitt 114A groß ist, unterliegt das Zielobjekt W nur schwer einem Einfluß des Bodenabschnitts 114A, wodurch ein schneller Temperaturanstieg ermöglicht wird. Die Position des Bodenabschnitts 114A gemäß Fig. 21 wird als eine Ausgangsstellung gesetzt.
Wenn das Zielobjekt W gekühlt wird, wird der Bodenabschnitt 114A vertikal in Richtung des Zielobjekts W bewegt und die Zuführung des Heliumgases wird gestartet (vgl. Fig. 22). Weil der Abstand zwischen dem Bodenabschnitt 114A und dem Zielobjekt W klein ist, unterliegt das Zielobjekt dem Einfluß des Bodenabschnitts 114A, wodurch ein schneller Kühlprozeß ermöglicht ist. In diesem Zustand beträgt der Abstand zwischen dem Zielobjekt W und dem Bodenabschnitt 114A beispielsweise 1 mm. Fig. 23 ist eine illustrative, vergrößerte Querschnittsdarstellung des Bodenabschnitts 114A zum Erklären der Zuführung des Heliumgases. Gemäß Fig. 23 weist der Bodenabschnitt 114A viele kleine Löcher 115a auf, und eine Verkleidung bzw. ein Gehäuse 410 ist an die untere Oberfläche des Bodenabschnitts 114A montiert, um das Heliumgas in einem Raum zwischen dem Zielobjekt W und dem Bodenabschnitt 114A einzuführen. Ein Gehäuse 410 weist ein Ventil 400 auf, welches mit einem Heliumgas-Zuführrohr (in der Figur nicht dargestellt) verbunden ist.
Obwohl die vorliegende Ausführungsform eine relative Bewegung des Bodenabschnitts (Kühlplatte) 114A und des Zielobjekts W beschreibt, ist die vorliegende Erfindung auch für eine Relativbewegung zwischen den Lampen 130 und dem Zielobjekt W anwendbar.
Unter Bezugnahme auf Fig. 1 wird nun ein Drehmechanismus zum Drehen des Zielobjekts W beschrieben. Um eine gute elektrische Leistung und eine hohe Ausbeutungsrate der integrierten Schaltungselemente zu erhalten, die auf dem Zielobjekt W ausgebildet werden, ist es notwendig, eine gleichmäßige Wärmebehandlung über eine gesamte Oberfläche des Zielobjekts W auszuführen. Wenn die Temperaturverteilung des Zielobjekts W ungleich ist, kann eine Dicke eines auf dem Zielobjekt W abgelagerten Films ungleich sein oder ein Gleiten kann in dem Siliziumkristall infolge einer thermischen Beanspruchung auftreten. Dementsprechend kann die RTP-Vorrichtung 100 kein thermisches Verfahren hoher Qualität liefern. Eine solche ungleiche Temperaturverteilung kann durch eine ungleiche Verteilung der Einstrahlung durch die Lampen oder ein Entfernen von Wärme von der Oberfläche des Zielobjekts W durch den Prozeß des Gaseinführens in einen Raum in der Nähe des Gaseinführabschnitts 180 verursacht werden. Der Drehmechanismus erlaubt es dem Zielobjekt W, gleichmäßig mittels der Lampen 130 durch horizontales Drehen des Zielobjekts W erwärmt zu werden.
Der Drehmechanismus des Zielobjekts W umfaßt einen Stützring 150, einen ringförmigen Permanentmagneten 170, ein ringförmiges magnetisches Bauteil 172, einen Motortreiber 320 und einen Motor 330.
Der Stützring 150 ist beispielsweise aus einem Keramikmaterial mit einem Wärmewiderstand gebildet, beispielsweise aus SiC. Der Stützring 150 dient als eine Stufe, auf welcher das Zielobjekt W plaziert wird. Der Stützring 150 kann ein elektrostatisches Spannfutter oder einen Klemmechanismus aufweisen, um das Zielobjekt W hieran zu fixieren. Der Stützring 150 verhindert eine Verschlechterung der gleichmäßigen Erwärmung infolge der Wärme, die von einer Kante des Zielobjekts W abgegeben wird.
Ein äußerer Rand des Stützrings 150 ist mit einem Stützabschnitt 152 verbunden. Wenn notwendig, ist zwischen dem Stützring 150 und dem Stützabschnitt 152 ein thermisches Isolierbauteil, beispielsweise ein Quarzglas, eingebracht, um das magnetische Bauteil 172 thermisch zu schützen. Der Stützabschnitt 152 der vorliegenden Ausführungsform ist mittels eines undurchsichtigen Quarzbauteils mit einer holen zylindrischen Form gebildet. Ein Trägerbauteil 160 ist an dem Stützbauteil 152 und der inneren Wand 112 der Prozeßkammer 110 fixiert, um es dem Stützbauteil 152 zu ermöglichen, sich zu drehen, während in der Prozeßkammer 110 ein negativer Druck aufrechterhalten wird. Das magnetische Bauteil 172 ist an einem Ende des Stützabschnitts 152 angeordnet.
Der ringförmige Permanentmagnet 170 und das magnetische Bauteil 172 sind magnetisch gekoppelt. Der Permanentmagnet wird mittels des Motors 330 gedreht. Der Motor 330 wird mittels des Motortreibers 320 getrieben, welcher mit Hilfe der Steuereinheit 300 gesteuert wird.
Im Ergebnis dreht sich das magnetisch gekoppelte, magnetische Bauteil 172 zusammen mit dem Stützabschnitt 152, wenn der Permanentmagnet gedreht wird, wodurch der Stützring 150 und das Zielobjekt W gedreht werden. Die Drehgeschwindigkeit beträgt in dieser Ausführungsform 90 RPM (RPM-Umdrehungen pro Minute). Die Drehgeschwindigkeit kann jedoch auf der Basis des Materials und der Größe des Zielobjekts W oder einer Art oder einer Temperatur des Prozeßgases so bestimmt werden, daß eine gleichmäßige Temperaturverteilung in dem Zielobjekt W erreicht und ein turbulenter Fluß des Gases in der Prozeßkammer 110 verhindert wird. Der Permanentmagnet 170 und das magnetische Bauteil 172 können umgekehrt werden, solange sie magnetisch gekoppelt sind. Beide Bauteile können Magnete sein.
Im folgenden wird ein Betrieb der RTP-Vorrichtung beschrieben. Ein Transportarm einer Ansammlung von Werkzeugen (in der Figur nicht dargestellt) trägt das Zielobjekt W in die Prozeßkammer 110 durch ein Absperr- bzw. Torventil (in der Figur nicht dargestellt). Wenn der Transportarm, welcher das Zielobjekt W unterstützt, eine Stellung direkt über dem Stützring 150 erreicht, bewegt ein Vertikal-Aushebestift-Bewegungssystem (in der Figur nicht dargestellt) Aushebestifte (beispielsweise drei Stifte), um das Zielobjekt W hierauf zu stützen. Im Ergebnis verschiebt sich die Unterstützung des Zielobjekts W von dem Transportarm auf die Aushebestifte. Auf diese Weise kehrt der Transportarm durch das Absperrventil zurück. Hiernach wird das Absperrventil geschlossen, und der Transportarm kann sich in die Ausgangsstellung bewegen.
Andererseits bringt das Vertikal-Aushebestift-Bewegungssystem die Aushebestifte unter den Stützring 150, so daß das Zielobjekt W auf dem Stützring 150 angeordnet wird. Das Vertikal- Aushebestift-Bewegungssystem nutzt einen Faltbalg (in der Figur nicht dargestellt), um in der Prozeßkammer einen negativen Druck aufrechtzuerhalten, während die Aushebestifte vertikal bewegt werden, und um ein Fließen der Atmosphäre innerhalb der Prozeßkammer 110 aus der Prozeßkammer 110 zu verhindern.
Hiernach steuert die Steuereinheit 300 den Lampentreiber 310, um die Lampen 130 zu treiben. Als Reaktion treibt der Lampentreiber 310 die Lampen 130, um das Zielobjekt W beispielsweise auf eine Temperatur von 800°C zu erwärmen. Eine Wärmestrahlung der Lampen 130 gelangt durch das Quarzfenster 120 und wird auf die obere Oberfläche des Zielobjekts W eingestrahlt, um die Temperatur des Zielobjekts W mit einer Heizrate von etwa 200°C/s schnell anzuheben. Im allgemeinen gibt ein Randabschnitt des Zielobjekts W eine größere Wärmemenge als der Mittelabschnitt des Zielobjekts W ab. Die Lampen 130 gemäß der vorliegenden Ausführungsform sind deshalb konzentrisch angeordnet, was eine lokale Steuerung der den Lampen zugeführten Energie ermöglicht, um eine scharfe Richtfähigkeit und eine Temperatur-Steuerbarkeit zu liefern. Wenn die Vorrichtung 100 die in Fig. 20 gezeigte Struktur nutzt, ist der Bodenabschnitt 114A in der Ausgangsstellung gemäß Fig. 21. Weil das Zielobjekt W in der Struktur nach Fig. 21 von dem Bodenabschnitt 114A (Kühlplatte) beabstandet ist, wird das Zielobjekt W nur schwer von dem Bodenabschnitt 114A beeinflußt, wodurch ein effizientes Heizen erreicht wird. Gleichzeitig hält der Abgasabschnitt 190 vor oder nach dem Ausführen des Heizprozesses einen negativen Druck in der Prozeßkammer 110 aufrecht.
Zur selben Zeit steuert die Steuereinheit 300 den Motortreiber 320, um den Motor 330 zu treiben. Als Reaktion treibt der Motortreiber 320 den Motor 330, um den ringförmigen Permanentmagneten 170 zu drehen. Im Ergebnis wird der Stützabschnitt 152 gedreht und das Zielobjekt W dreht sich zusammen mit dem Stützring 150. Weil sich das Zielobjekt W dreht, kann die Temperatur des Zielobjekts gleichmäßig gehalten werden.
Das Quarzfenster liefert einige Vorteile, wenn das Heizverfahren ausgeführt wird, weil die Quarzplatte 121 des Quarzfensters 120 relativ dünn ist. Die Vorteile bestehen in Folgendem: 1) Eine Einstrahlungseffizienz auf das Zielobjekt W wird nicht verschlechtert, weil das Quarzfenster weniger Wärme absorbiert; 2) eine thermische Beanspruchungszerstörung tritt kaum auf, weil die Temperaturdifferenz zwischen der Vorder- und der Rückfläche der Quarzplatte 121 klein ist; 3) ein Ablagerungsfilm oder ein Nebenprodukt haften kaum auf der Oberfläche der Quarzplatte 121, weil der Temperaturanstieg der Quarzplatte 121 gering ist; und 4) eine Differenz zwischen einem negativen Druck in der Prozeßkammer 110 und dem atmosphärischen Druck kann aufrechterhalten werden, auch wenn die Dicke der Quarzplatte 121 klein ist, weil die Rippen 122 die Stärke des Quarzfensters 120 vergrößern. Darüber hinaus sind die Rippen 122 des Quarzfensters 120 in jeweilige Rillen 146 des Reflektors 140 eingeführt (vgl. Fig. 6), so daß 5) ein Brechen der Quarzplatte 120 und der Rippen 122 infolge einer thermischen Belastung verhindert ist, weil der Temperaturanstieg der Rippen 122 gering ist, und so daß 6) eine Widerstandscharakteristik bezüglich der Druckdifferenz zwischen dem negativen Druck in der Prozeßkammer 110 und dem Atmosphärendruck verbessert ist.
Die Temperatur des Zielobjekts W wird mittels des Strahlungsthermometers 200 gemessen. Die Steuereinheit 300 steuert den Lampentreiber 310 auf der Basis des Ergebnisses der Messung rückgekoppelt. Weil das Zielobjekt W gedreht wird, wird in dem Zielobjekt W eine gleichmäßige Temperaturverteilung erwartet. Das Strahlungsthermometer 200 kann jedoch Temperaturen mehrerer Positionen (beispielsweise der Mitte und des Rands) an dem Zielobjekt W messen, wenn dieses gewünscht ist. Deshalb kann die Steuereinheit 300 einen lokale Änderung des Ausgangs der Lampen 130 instruieren, wenn die Messung anzeigt, daß die Temperaturverteilung nicht gleichmäßig ist.
Der Hauptkörper des Strahlungsthermometers 200 erfährt nur schwer einen Einfluß des Zielobjekts W, weil das Strahlungsthermometer 200 den Stab 210 aufweist, welcher den Chopper 230 von dem Zielobjekt W trennt. Hierdurch weist das Strahlungsthermometer 200 eine hohe Meßgenauigkeit auf. Darüber hinaus kann die Kühlanordnung des Hauptkörpers des Strahlungsthermometers 200 weggelassen werden oder minimiert werden, was zur Miniaturisierung und zur Verbesserung der ökonomischen Effizienz der Vorrichtung 100 beiträgt. Wenn das Zielobjekt W während einer langen Zeitdauer einer Hochtemperaturumgebung ausgesetzt wird, verschlechtert sich die elektrische Eigenschaft der auf dem Zielobjekt W ausgebildeten, integrierten Schaltung. Dementsprechend ist die Temperatursteuerung des Zielobjekts W unverzichtbar, um ein schnelles Heizen und ein schnelles Kühlen zu erreichen. Das Strahlungsthermometer 200 erfüllt eine solche Anforderung. Die RTP-Vorrichtung 100 kann insbesondere eine thermische Behandlung hoher Qualität liefern, weil die Berechnung der Temperatur des Zielobjekts W mittels des Strahlungsthermometers 200 oder der Steuereinheit 300 unter Nutzung der Gleichung (8) den Fehler auf einen Bereich von ±3°C begrenzt.
Nachdem die Prozeßkammer 110 die vorbestimmte negative Druckumgebung erreicht, beginnt sich das Zielobjekt W zu drehen und das flußgesteuerte Prozeß- bzw. Verfahrensgas wird in die Prozeßkammer 110 von dem Gaseinführabschnitt (in der Figur nicht dargestellt) eingeführt. Anschließend, nachdem eine vorbestimmte Wärmebehandlung (beispielsweise 10 Sekunden) abgeschlossen ist, steuert die Steuereinheit 300 den Lampentreiber 310, um das Treiben der Lampen 130 zu stoppen. Als Reaktion stoppt der Lampentreiber 310 die Energie bzw. Spannungsversorgung der Lampen 130. Wenn die Vorrichtung 100 die Struktur gemäß Fig. 20 nutzt, steuert die Steuereinheit 300 den vertikalen Bewegungsmechanismus 118, um den Bodenabschnitt 114A in die Kühlstellung gemäß Fig. 22 zu bewegen. Vorzugsweise wird in einem Raum zwischen dem Zielobjekt W und dem Bodenabschnitt 114A (vgl. Fig. 23) Heliumgas eingeführt, was einen hohe Leitfähigkeit aufweist. Hierdurch wird eine Kühleffizienz des Zielobjekts W verbessert und ein schnelles Kühlen kann mit einem relativ niedrigen Energieverbrauch erreicht werden. Die Kühlrate beträgt beispielsweise 200°C/s.
Nach Abschluß der Wärmebehandlung wird das Zielobjekt W aus der Prozeßkammer 110 durch das Absperrventil mittels des Transportarms herausgetragen, wobei der Transportarm die oben beschriebenen Operationen in umgekehrter Reihenfolge ausführt. Anschließend trägt der Transportarm das Zielobjekt W zu einer Vorrichtung für den nächsten Schritt, beispielsweise eine Filmablagerungsvorrichtung, wenn dieses notwendig ist.
Die vorliegende Erfindung ist nicht auf die spezifischen, offenbarten Ausführungsformen begrenzt. Veränderungen und Modifikationen können gemacht werden, ohne daß der Bereich der vorliegenden Erfindung verlassen wird.
Die vorliegende Anmeldung basiert auf der japanischen Prioritätsanmeldung Nr. 2000- 121611, die am 21. April 2000 eingereicht wurde und deren gesamter Inhalt hierdurch mittels Referenz eingefügt wird.

Claims (15)

1. Thermobearbeitungsvorrichtung zum Bearbeiten eines zu bearbeitenden Objekts (W), wobei das Objekt (W) eine erste Oberfläche und eine zu dieser ersten Oberfläche entgegengesetzte, zweite Oberfläche aufweist, die Thermobearbeitungsvorrichtung umfassend:
eine Prozeßkammer (110), in welcher das Objekt (W) einer Wärmebehandlung ausgesetzt ist;
eine Wärmequelle (130) zum Erwärmen des Objekts von einer Seite der ersten Oberfläche; und
eine Kühlanordnung (114, 116) zum Kühlen des Objekts (W) von einer Seite der zweiten Oberfläche;
gekennzeichnet durch Einfuhrmittel zum Einführen eines Gases mit einer vorbestimmten thermischen Leitfähigkeit in einen Raum zwischen dem Objekt (W) und der Kühlanordnung, um eine Wärmeübertragung von dem Objekt (W) auf die Kühlanordnung zu unterstützen.
2. Vorrichtung nach Anspruch 1, dadurch gekennzeichnet, daß die Einführmittel Helium oder Wasserstoff in den Raum zwischen dem Objekt (W) und der Kühlanordnung (114, 116) einführen.
3. Vorrichtung nach Anspruch 1, dadurch gekennzeichnet, daß ein Bewegungsmechanismus (118) wenigstens das Objektiv oder wenigstens die Kühlanordnung bewegt, so daß sich das Objekt (W) und die Kühlanordnung relativ zueinander bewegen.
4. Vorrichtung nach Anspruch 1, dadurch gekennzeichnet, daß die Einführmittel eine Duschplatte umfassen, die der zweiten Oberfläche des Objekts (W) gegenübersteht.
5. Vorrichtung nach Anspruch 4, dadurch gekennzeichnet, daß die Duschplatte in einem Bodenabschnitt (114A) der Prozeßkammer (110) gebildet ist.
6. Thermobearbeitungsvorrichtung zum Bearbeiten eines zu bearbeitenden Objekts, wobei das Objekt eine erste Oberfläche und eine zu der ersten Oberfläche entgegengesetzte, zweite Oberfläche aufweist, die Thermobearbeitungsvorrichtung umfassend:
eine Prozeßkammer, in welcher das Objekt einer Wärmebehandlung ausgesetzt ist;
eine Wärmequelle zum Heizen des Objekts von einer Seite der ersten Oberfläche; und
eine Kühlanordnung (114A, 116) zum Kühlen des Objekts von einer Seite der zweiten Oberfläche;
gekennzeichnet durch einen Bewegungsmechanismus (118) zum Bewegen wenigstens des Objekts (W) oder wenigstens der Kühlanordnung (114A, 116), so daß sich das Objekts (W) und die Kühlanordnung (114A, 116) relativ zueinander bewegen.
7. Vorrichtung nach Anspruch 6, dadurch gekennzeichnet, daß die Kühlanordnung einen Bodenabschnitt (114A) der Prozeßkammer (110) umfaßt, wobei der Bodenabschnitt gekühlt wird und der zweiten Oberfläche des Objekts (W) gegenübersteht, um das Objekt (W) zu kühlen.
8. Vorrichtung nach Anspruch 7, dadurch gekennzeichnet, daß der Bodenabschnitt (114A) mittels des Bewegungsmechanismus (118) relativ zu dem Objekt (W) bewegbar ist.
9. Thermisches Bearbeitungsverfahren zum Anwenden einer thermischen Behandlung auf ein zu bearbeitendes Objekt (W), wobei das Objekt eine erste Oberfläche und eine zu der ersten Oberfläche entgegengesetzte, zweite Oberfläche aufweist; das thermische Bearbeitungsverfahren die folgenden Schritte umfassend:
Erwärmen der ersten Oberfläche des Objekts (W) mittels einer Wärmequelle (130), um die thermische Behandlung auf das Objekt (W) anzuwenden; und
Kühlen der zweiten Oberfläche des Objekts mittels einer Kühlanordnung (114, 116), die bezüglich des Objekts (W) an einer Seite der zweiten Oberfläche angeordnet ist, nachdem die thermische Behandlung abgeschlossen ist;
dadurch gekennzeichnet, daß
in einen Raum zwischen dem Objekt (W) und der Kühlanordnung (114, 116) ein Gas eingeführt wird, um eine Wärmeübertragung zwischen dem Objekt (W) und der Kühlanordnung (114, 116) zu unterstützen.
10. Verfahren nach Anspruch 9, dadurch gekennzeichnet, daß der Einführschritt einen Schritt zum Einführen von Helium oder Wasserstoff in den Raum zwischen dem Objekt (W) und der Kühlanordnung (114, 116) umfaßt.
11. Verfahren nach Anspruch 9, dadurch gekennzeichnet, daß ein Schritt zum Bewegen wenigstens des Objekts (W) oder wenigstens der Kühlanordnung (114, 116) vorgesehen ist, so daß sich das Objekt (W) und die Kühlanordnung (114A, 116) relativ zueinander bewegen, nachdem der Wärmeschritt abgeschlossen ist.
12. Thermisches Bearbeitungsverfahren zum Anwenden einer Wärmebehandlung auf ein zu bearbeitendes Objekts (W), wobei das Objekt eine erste Oberfläche und eine zu der ersten Oberfläche entgegengesetzte, zweite Oberfläche aufweist und wobei das thermische Bearbeitungsverfahren durch die folgenden Schritte charakterisiert ist:
Erwärmen der ersten Oberfläche des Objekts (W) mittels einer Wärmequelle (130), um die thermische Behandlung auf das Objekt (W) anzuwenden;
Bewegen wenigstens des Objekts (W) oder wenigstens eines Bodenabschnitts (114A) der Prozeßkammer (110), um einen Abstand zwischen dem Objekt (W) und dem Bodenabschnitt (114A) zu vermindern, nachdem die Wärmebehandlung abgeschlossen ist, wobei der Bodenabschnitt der zweiten Oberfläche des Objekts (W) gegenübersteht; und
Kühlen des Objekts mittels Kühlens des Bodenabschnitts (114A) der Prozeßkammer (110).
13. Thermisches Bearbeitungsverfahren zum Anwenden einer Wärmebehandlung auf ein zu bearbeitendes Objekt (W), wobei das Objekt eine ersten Oberfläche und eine zu der ersten Oberfläche entgegengesetzte, zweite Oberfläche aufweist, das Verfahren charakterisiert durch die folgenden Schritte:
Bewegen wenigstens des Objekts (W) oder wenigstens eines Bodenabschnitts (114A) einer Prozeßkammer (110), in welcher das Objekt (W) der thermischen Behandlung ausgesetzt ist, so daß die zweite Oberfläche des Objekts (W) um einen ersten Abstand von dem Bodenabschnitt (114A) der Prozeßkammer (110) getrennt wird, wobei der Bodenabschnitt (114A) der zweiten Oberfläche des Objekts (W) gegenübersteht;
Erwärmen der ersten Oberfläche des Objekts (W) mittels einer Wärmequelle (130), so daß die Wärmebehandlung auf das Objekt angewendet wird, wobei die Wärmequelle (130) bezüglich des Objekts auf einer Seite der ersten Oberfläche angeordnet ist;
Bewegen wenigstens des Objekts (W) oder wenigstens des Bodenabschnitts (114A), um den ersten Abstand in einen zweiten Abstand zu verändern, welcher geringer als der erste Abstand ist, nachdem die Wärmebehandlung abgeschlossen ist; und
Kühlen des Objekts (W) mittels des Kühlens des Bodenabschnitts (114A) der Prozeßkammer (110).
14. Verfahren nach Anspruch 13, dadurch gekennzeichnet, daß ein Schritt zum Einführen eines Gases in einen Raum zwischen dem Objekt (W) und dem Bodenabschnitt (114A) vorgesehen ist, um eine Wärmeübertragung zwischen dem Objekt (W) und dem Bodenabschnitt (114A) der Prozeßkammer (110) zu unterstützen.
15. Verfahren nach Anspruch 14, dadurch gekennzeichnet, daß der Einführschritt einen Schritt zum Einführen von Helium oder Wasserstoff in einen Raum zwischen dem Objekt (W) und dem Bodenabschnitt (114A) der Prozeßkammer (110) umfaßt.
DE10119049A 2000-04-21 2001-04-18 Thermische Bearbeitungseinrichtung und thermisches Bearbeitungsverfahren Withdrawn DE10119049A1 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000121611A JP2001308023A (ja) 2000-04-21 2000-04-21 熱処理装置及び方法

Publications (1)

Publication Number Publication Date
DE10119049A1 true DE10119049A1 (de) 2001-12-20

Family

ID=18632236

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10119049A Withdrawn DE10119049A1 (de) 2000-04-21 2001-04-18 Thermische Bearbeitungseinrichtung und thermisches Bearbeitungsverfahren

Country Status (3)

Country Link
US (1) US20010036706A1 (de)
JP (1) JP2001308023A (de)
DE (1) DE10119049A1 (de)

Families Citing this family (157)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004503108A (ja) * 2000-07-06 2004-01-29 アプライド マテリアルズ インコーポレイテッド 半導体基板の熱処理
JP4666427B2 (ja) * 2000-11-10 2011-04-06 東京エレクトロン株式会社 石英ウインドウ及び熱処理装置
US6744017B2 (en) 2002-05-29 2004-06-01 Ibis Technology Corporation Wafer heating devices for use in ion implantation systems
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
KR101360310B1 (ko) 2012-06-25 2014-02-12 (주) 예스티 기판의 열처리장치
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US11802340B2 (en) * 2016-12-12 2023-10-31 Applied Materials, Inc. UHV in-situ cryo-cool chamber
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10395955B2 (en) * 2017-02-15 2019-08-27 Globalfoundries Singapore Pte. Ltd. Method and system for detecting a coolant leak in a dry process chamber wafer chuck
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN111032889B (zh) * 2017-08-16 2022-04-08 玛特森技术公司 闭合形状工件的热加工
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN114351096A (zh) * 2022-01-26 2022-04-15 浙江最成半导体科技有限公司 溅射靶材、靶材组件及靶材组件的制作方法

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100634642B1 (ko) * 1998-11-20 2006-10-16 스티그 알티피 시스템즈, 인코포레이티드 반도체 웨이퍼의 급속 가열 및 냉각 장치

Also Published As

Publication number Publication date
US20010036706A1 (en) 2001-11-01
JP2001308023A (ja) 2001-11-02

Similar Documents

Publication Publication Date Title
DE10119049A1 (de) Thermische Bearbeitungseinrichtung und thermisches Bearbeitungsverfahren
DE10119047B4 (de) Thermische Bearbeitungsvorrichtung und thermisches Bearbeitungsverfahren
DE69916256T2 (de) Verfahren und vorrichtung zum messen von substrattemperaturen
EP1540717B1 (de) Verfahren zum oxidieren einer schicht und zugehörige aufnahmevorrichtungen für ein substrat
DE69535114T2 (de) Kammer für CVD-Behandlungen
EP0212718A2 (de) Verfahren und Vorrichtung zum Innenbeschichten von Rohren
EP1159589B1 (de) Vorrichtung und verfahren zum messen der temperatur von substraten
DE60302214T2 (de) Pumpenanschlusstück für prozesskammer für einzelne halbleiterscheiben frei von emissivitätsänderungen
DE102007058002B4 (de) Vorrichtung zum thermischen Behandeln von scheibenförmigen Halbleitersubstraten
DE69736267T2 (de) Plasmabehandlungsvorrichtung und -verfahren
DE4223133C2 (de)
WO2009049752A1 (de) Vorrichtung für eine bestrahlungseinheit
DE4092221C2 (de) Vakuumverarbeitungsapparatur und Vakuumverarbeitungsverfahren
DE69913906T2 (de) Lichtundurchlässiger Siliziumkarbidwerkstoff hoher Reinheit, ein lichtundurchlässiger Bauteil für eine Halbleiterbehandlungsapparatur, und eine Halbleiterbehandlungsapparatur
JP4346208B2 (ja) 温度測定方法、熱処理装置及び方法、並びに、コンピュータ可読媒体
DE102006017655B4 (de) Verfahren zur berührungslosen Temperaturmessung
EP1060504A2 (de) Vorrichtung für eine thermische behandlung von substraten
DE19905524B4 (de) Vorrichtung zum Messen der Temperatur von Substraten
WO2004066347A2 (de) Vorrichtung zur herstellung elektrisch leitfähiger durchgänge in einem halbleiterwafer mittels thermomigration
DE19934299C2 (de) Verfahren und Vorrichtung zum Kalibrieren von emissivitätsunabhängigen Temperaturmessungen
DE102017212874A1 (de) Optisches System sowie Lithographieanlage
EP1235090A1 (de) Vorrichtung zur Strahlführung eines Laserstrahls
DE19905050C2 (de) Vorrichtung für eine thermische Behandlung von Substraten
DE2314327A1 (de) Verfahren und vorrichtung zur temperaturbehandlung von halbleitermaterial
EP0982761A1 (de) Verfahren und Vorrichtung für die Wärmebehandlung von Halbleiterplatten

Legal Events

Date Code Title Description
8110 Request for examination paragraph 44
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee